MMC/project/simulation/MMC_phy_sim.v
2022-08-16 16:54:00 +08:00

173674 lines
7.8 MiB

// Verilog netlist created by TD v5.0.43066
// Tue Jul 19 23:14:53 2022
`timescale 1ns / 1ps
module CortexM0_SoC // ../rtl/topmodule/CortexM0_SoC.v(2)
(
RSTn,
RXD,
SWCLK,
clk,
col,
LED,
MSI_CS,
MSI_REFCLK,
MSI_SCLK,
MSI_SDATA,
TXD,
audio_pwm,
row,
seg,
sel,
SWDIO
);
input RSTn; // ../rtl/topmodule/CortexM0_SoC.v(8)
input RXD; // ../rtl/topmodule/CortexM0_SoC.v(13)
input SWCLK; // ../rtl/topmodule/CortexM0_SoC.v(10)
input clk; // ../rtl/topmodule/CortexM0_SoC.v(7)
input [3:0] col; // ../rtl/topmodule/CortexM0_SoC.v(21)
output [7:0] LED; // ../rtl/topmodule/CortexM0_SoC.v(11)
output MSI_CS; // ../rtl/topmodule/CortexM0_SoC.v(16)
output MSI_REFCLK; // ../rtl/topmodule/CortexM0_SoC.v(14)
output MSI_SCLK; // ../rtl/topmodule/CortexM0_SoC.v(17)
output MSI_SDATA; // ../rtl/topmodule/CortexM0_SoC.v(15)
output TXD; // ../rtl/topmodule/CortexM0_SoC.v(12)
output audio_pwm; // ../rtl/topmodule/CortexM0_SoC.v(18)
output [3:0] row; // ../rtl/topmodule/CortexM0_SoC.v(22)
output [7:0] seg; // ../rtl/topmodule/CortexM0_SoC.v(20)
output [3:0] sel; // ../rtl/topmodule/CortexM0_SoC.v(19)
inout SWDIO; // ../rtl/topmodule/CortexM0_SoC.v(9)
parameter ADDR_WIDTH = 12;
parameter FM_ADDR_WIDTH = 13;
wire [3:0] \FMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(49)
wire [31:0] FMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(461)
wire [12:0] FMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(463)
wire [3:0] FMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(465)
wire [4:0] \FM_Display/channel_NO ; // ../rtl/peripherals/FM_Display.v(21)
wire [25:0] \FM_Display/conter ; // ../rtl/peripherals/FM_Display.v(19)
wire [15:0] \FM_Display/counter_1Khz ; // ../rtl/peripherals/FM_Display.v(64)
wire [1:0] \FM_Display/ctrl_freq ; // ../rtl/peripherals/FM_Display.v(97)
wire [3:0] \FM_Display/frac_digit ; // ../rtl/peripherals/FM_Display.v(22)
wire [7:0] \FM_Display/n100 ;
wire [25:0] \FM_Display/n12 ;
wire [15:0] \FM_Display/n20 ;
wire [7:0] \FM_Display/n91 ;
wire [7:0] \FM_Display/n93 ;
wire [7:0] \FM_Display/n95 ;
wire [3:0] \FM_Display/percentage_digit ; // ../rtl/peripherals/FM_Display.v(24)
wire [7:0] \FM_Display/seg_pad ; // ../rtl/peripherals/FM_Display.v(13)
wire [3:0] \FM_Display/sel_pad ; // ../rtl/peripherals/FM_Display.v(14)
wire [3:0] \FM_Display/single_digit ; // ../rtl/peripherals/FM_Display.v(23)
wire [3:0] \FM_Display/thousand_digit ; // ../rtl/peripherals/FM_Display.v(25)
wire [11:0] \FM_HW/ADC_Data /* synthesis keep=1 */ ; // ../rtl/demodulation/FM_HW.v(106)
wire [11:0] \FM_HW/Audio_PWM/cnt ; // ../rtl/peripherals/Audio_PWM.v(14)
wire [11:0] \FM_HW/Audio_PWM/n2 ;
wire [2:0] \FM_HW/Channel ; // ../rtl/demodulation/FM_HW.v(92)
wire [7:0] \FM_HW/FM_Demodulation/IdataN ; // ../rtl/demodulation/FM_Demodulation.v(38)
wire [7:0] \FM_HW/FM_Demodulation/IdataN_1 ; // ../rtl/demodulation/FM_Demodulation.v(37)
wire [7:0] \FM_HW/FM_Demodulation/QdataN ; // ../rtl/demodulation/FM_Demodulation.v(40)
wire [7:0] \FM_HW/FM_Demodulation/QdataN_1 ; // ../rtl/demodulation/FM_Demodulation.v(39)
wire [16:0] \FM_HW/FM_Demodulation/demodulated_signal_temp ; // ../rtl/demodulation/FM_Demodulation.v(42)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[10] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[11] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[12] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[13] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[14] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[15] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[16] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[17] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[18] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[19] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[1] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[20] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[2] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[3] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[4] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[5] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[6] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[7] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[8] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [9:0] \FM_HW/FM_Demodulation/dmd_data_filter[9] ; // ../rtl/demodulation/FM_Demodulation.v(45)
wire [23:0] \FM_HW/FM_Demodulation/dmd_data_filtered ; // ../rtl/demodulation/FM_Demodulation.v(46)
wire [5:0] \FM_HW/FM_Demodulation/fm_sample/cnt ; // ../rtl/demodulation/clk_fm_demodulation.v(11)
wire [5:0] \FM_HW/FM_Demodulation/fm_sample/n4 ;
wire [17:0] \FM_HW/FM_Demodulation/n10 ;
wire [18:0] \FM_HW/FM_Demodulation/n12 ;
wire [15:0] \FM_HW/FM_Demodulation/n13 ;
wire [15:0] \FM_HW/FM_Demodulation/n14 ;
wire [18:0] \FM_HW/FM_Demodulation/n16 ;
wire [14:0] \FM_HW/FM_Demodulation/n17 ;
wire [15:0] \FM_HW/FM_Demodulation/n18 ;
wire [18:0] \FM_HW/FM_Demodulation/n19 ;
wire [12:0] \FM_HW/FM_Demodulation/n2 ;
wire [18:0] \FM_HW/FM_Demodulation/n20 ;
wire [15:0] \FM_HW/FM_Demodulation/n21 ;
wire [18:0] \FM_HW/FM_Demodulation/n22 ;
wire [19:0] \FM_HW/FM_Demodulation/n23 ;
wire [16:0] \FM_HW/FM_Demodulation/n24 ;
wire [19:0] \FM_HW/FM_Demodulation/n25 ;
wire [19:0] \FM_HW/FM_Demodulation/n26 ;
wire [16:0] \FM_HW/FM_Demodulation/n27 ;
wire [19:0] \FM_HW/FM_Demodulation/n28 ;
wire [20:0] \FM_HW/FM_Demodulation/n29 ;
wire [13:0] \FM_HW/FM_Demodulation/n3 ;
wire [17:0] \FM_HW/FM_Demodulation/n30 ;
wire [20:0] \FM_HW/FM_Demodulation/n31 ;
wire [17:0] \FM_HW/FM_Demodulation/n33 ;
wire [17:0] \FM_HW/FM_Demodulation/n36 ;
wire [17:0] \FM_HW/FM_Demodulation/n39 ;
wire [17:0] \FM_HW/FM_Demodulation/n42 ;
wire [18:0] \FM_HW/FM_Demodulation/n44 ;
wire [17:0] \FM_HW/FM_Demodulation/n46 ;
wire [17:0] \FM_HW/FM_Demodulation/n48 ;
wire [15:0] \FM_HW/FM_Demodulation/n5 ;
wire [17:0] \FM_HW/FM_Demodulation/n50 ;
wire [17:0] \FM_HW/FM_Demodulation/n52 ;
wire [17:0] \FM_HW/FM_Demodulation/n54 ;
wire [16:0] \FM_HW/FM_Demodulation/n56 ;
wire [16:0] \FM_HW/FM_Demodulation/n58 ;
wire [16:0] \FM_HW/FM_Demodulation/n6 ;
wire [15:0] \FM_HW/FM_Demodulation/n60 ;
wire [15:0] \FM_HW/FM_Demodulation/n62 ;
wire [14:0] \FM_HW/FM_Demodulation/n64 ;
wire [23:0] \FM_HW/FM_Demodulation/n65 ;
wire [16:0] \FM_HW/FM_Demodulation/n7 ;
wire [16:0] \FM_HW/FM_Demodulation/n8 ;
wire [17:0] \FM_HW/FM_Demodulation/n9 ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/Data_dump_state ; // ../rtl/demodulation/FM_Dump_Data.v(34)
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ;
wire [3:0] \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ;
wire [12:0] \FM_HW/FM_Dump_Data_IQ/dump_data_addr ; // ../rtl/demodulation/FM_Dump_Data.v(65)
wire [12:0] \FM_HW/FM_Dump_Data_IQ/n39 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/IdataN ; // ../rtl/demodulation/FM_RSSI.v(21)
wire [7:0] \FM_HW/FM_RSSI_SCAN/QdataN ; // ../rtl/demodulation/FM_RSSI.v(22)
wire [29:0] \FM_HW/FM_RSSI_SCAN/RSSI_SUM ; // ../rtl/demodulation/FM_RSSI.v(82)
wire [12:0] \FM_HW/FM_RSSI_SCAN/counter ; // ../rtl/demodulation/FM_RSSI.v(67)
wire [31:0] \FM_HW/FM_RSSI_SCAN/multlII/n1 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/multlII/n2 ;
wire [15:0] \FM_HW/FM_RSSI_SCAN/multlII/n6 ;
wire [31:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n1 ;
wire [7:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n2 ;
wire [15:0] \FM_HW/FM_RSSI_SCAN/multlQQ/n6 ;
wire [29:0] \FM_HW/FM_RSSI_SCAN/n15 ;
wire [12:0] \FM_HW/FM_RSSI_SCAN/n16 ;
wire [8:0] \FM_HW/FM_RSSI_SCAN/n2 ;
wire [9:0] \FM_HW/demodulated_signal_downsample ; // ../rtl/demodulation/FM_HW.v(147)
wire [16:0] \FM_HW/n0 ;
wire [31:0] \FM_HW/rd_DUMP ; // ../rtl/demodulation/FM_HW.v(24)
wire [31:0] \FM_HW/rd_SCAN ; // ../rtl/demodulation/FM_HW.v(25)
wire [3:0] FM_HW_state; // ../rtl/topmodule/CortexM0_SoC.v(578)
wire [31:0] HADDR; // ../rtl/topmodule/CortexM0_SoC.v(64)
wire [31:0] HRDATA; // ../rtl/topmodule/CortexM0_SoC.v(72)
wire [2:0] HSIZE; // ../rtl/topmodule/CortexM0_SoC.v(68)
wire [1:0] HTRANS; // ../rtl/topmodule/CortexM0_SoC.v(69)
wire [31:0] HWDATA; // ../rtl/topmodule/CortexM0_SoC.v(70)
wire [5:0] \Interconncet/SlaveMUX/hsel_reg ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(50)
wire [7:0] LED_pad; // ../rtl/topmodule/CortexM0_SoC.v(11)
wire [3:0] \RAMCODE_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
wire [31:0] RAMCODE_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(369)
wire [11:0] RAMCODE_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(370)
wire [3:0] RAMCODE_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(372)
wire [3:0] \RAMDATA_Interface/size_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(49)
wire [31:0] RAMDATA_RDATA; // ../rtl/topmodule/CortexM0_SoC.v(428)
wire [11:0] RAMDATA_WADDR; // ../rtl/topmodule/CortexM0_SoC.v(430)
wire [3:0] RAMDATA_WRITE; // ../rtl/topmodule/CortexM0_SoC.v(432)
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ;
wire [3:0] \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ;
wire [4:0] \SPI_TX/FIFO_SPI/rp ; // ../rtl/peripherals/FIFO_SPI.v(19)
wire [4:0] \SPI_TX/FIFO_SPI/wp ; // ../rtl/peripherals/FIFO_SPI.v(19)
wire [24:0] \SPI_TX/FIFOdata ; // ../rtl/peripherals/SPI_TX.v(16)
wire [13:0] \SPI_TX/counter ; // ../rtl/peripherals/SPI_TX.v(42)
wire [13:0] \SPI_TX/n31 ;
wire \SPI_TX/sel1/B11 ; // ../rtl/peripherals/SPI_TX.v(78)
wire \SPI_TX/sel1/B16 ; // ../rtl/peripherals/SPI_TX.v(78)
wire \SPI_TX/sel1/B19 ; // ../rtl/peripherals/SPI_TX.v(78)
wire \SPI_TX/sel1/B7 ; // ../rtl/peripherals/SPI_TX.v(78)
wire \SPI_TX/sel1/B9 ; // ../rtl/peripherals/SPI_TX.v(78)
wire [23:0] SPI_TX_Data; // ../rtl/topmodule/CortexM0_SoC.v(524)
wire [3:0] \UART_Interface/addr_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(30)
wire [3:0] \UART_RX/counter ; // ../rtl/peripherals/UART_RX.v(23)
wire [7:0] \UART_RX/shift_reg ; // ../rtl/peripherals/UART_RX.v(12)
wire [7:0] UART_RX_data; // ../rtl/topmodule/CortexM0_SoC.v(496)
wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_di ;
wire [3:0] \UART_TX/FIFO/al_ram_mem_c0_waddr ;
wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_di ;
wire [3:0] \UART_TX/FIFO/al_ram_mem_c1_waddr ;
wire [3:0] \UART_TX/FIFO/rp ; // ../rtl/peripherals/FIFO.v(17)
wire [3:0] \UART_TX/FIFO/wp ; // ../rtl/peripherals/FIFO.v(17)
wire [7:0] \UART_TX/FIFOdata ; // ../rtl/peripherals/UART_TX.v(15)
wire [3:0] \UART_TX/counter ; // ../rtl/peripherals/UART_TX.v(36)
wire [7:0] UART_TX_data; // ../rtl/topmodule/CortexM0_SoC.v(497)
wire [12:0] \clkuart_pwm/cnt ; // ../rtl/peripherals/clkuart_pwm.v(11)
wire [12:0] \clkuart_pwm/n4 ;
wire [3:0] col_pad; // ../rtl/topmodule/CortexM0_SoC.v(21)
wire [19:0] \filter_unit/cnt ; // ../rtl/peripherals/KeyScan.v(35)
wire [15:0] \filter_unit/key_reg0 ; // ../rtl/peripherals/KeyScan.v(46)
wire [15:0] \filter_unit/key_reg1 ; // ../rtl/peripherals/KeyScan.v(47)
wire [19:0] \filter_unit/n0 ;
wire [15:0] \filter_unit/n9 ;
wire [15:0] key_in; // ../rtl/topmodule/CortexM0_SoC.v(48)
wire [15:0] key_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(47)
wire [15:0] \pulse_gen_unit/key_reg_1 ; // ../rtl/peripherals/KeyScan.v(107)
wire [15:0] \pulse_gen_unit/key_reg_2 ; // ../rtl/peripherals/KeyScan.v(108)
wire [3:0] row_pad; // ../rtl/topmodule/CortexM0_SoC.v(22)
wire [31:0] \scan_unit/cnt ; // ../rtl/peripherals/KeyScan.v(6)
wire [31:0] \scan_unit/n2 ;
wire [31:0] \u_logic/Idfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1528)
wire [23:0] \u_logic/L6gpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1545)
wire [31:0] \u_logic/Mifpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1531)
wire [30:2] \u_logic/N5fpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1523)
wire [31:0] \u_logic/Ntkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1719)
wire [31:0] \u_logic/Nvkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1720)
wire [33:0] \u_logic/Nxkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1721)
wire [30:0] \u_logic/Qbfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1527)
wire [31:0] \u_logic/Tgfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1530)
wire [7:0] \u_logic/Vnfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1534)
wire [33:0] \u_logic/Vrkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1718)
wire [8:1] \u_logic/Xlfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1533)
wire [30:0] \u_logic/Zsfpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1537)
wire [13:0] \u_logic/n135 ;
wire [13:0] \u_logic/n159 ;
wire CDBGPWRUPACK; // ../rtl/topmodule/CortexM0_SoC.v(91)
wire CDBGPWRUPREQ; // ../rtl/topmodule/CortexM0_SoC.v(90)
wire CW_CLK_MSI /* synthesis keep=1 */ ; // ../rtl/topmodule/CortexM0_SoC.v(665)
wire \FMDATA_Interface/n10 ;
wire \FMDATA_Interface/n15 ;
wire \FMDATA_Interface/sel0_b0_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b1_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b2_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/sel0_b3_sel_o ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(37)
wire \FMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(63)
wire \FM_Display/ChannelNO_or_FREQ ; // ../rtl/peripherals/FM_Display.v(86)
wire \FM_Display/_al_u104_o ;
wire \FM_Display/_al_u105_o ;
wire \FM_Display/_al_u107_o ;
wire \FM_Display/_al_u108_o ;
wire \FM_Display/_al_u109_o ;
wire \FM_Display/_al_u110_o ;
wire \FM_Display/_al_u111_o ;
wire \FM_Display/_al_u112_o ;
wire \FM_Display/_al_u113_o ;
wire \FM_Display/_al_u114_o ;
wire \FM_Display/_al_u142_o ;
wire \FM_Display/_al_u143_o ;
wire \FM_Display/_al_u144_o ;
wire \FM_Display/_al_u145_o ;
wire \FM_Display/_al_u146_o ;
wire \FM_Display/_al_u147_o ;
wire \FM_Display/_al_u148_o ;
wire \FM_Display/_al_u150_o ;
wire \FM_Display/_al_u151_o ;
wire \FM_Display/_al_u153_o ;
wire \FM_Display/_al_u155_o ;
wire \FM_Display/_al_u157_o ;
wire \FM_Display/_al_u158_o ;
wire \FM_Display/_al_u159_o ;
wire \FM_Display/_al_u160_o ;
wire \FM_Display/_al_u164_o ;
wire \FM_Display/_al_u166_o ;
wire \FM_Display/_al_u168_o ;
wire \FM_Display/_al_u169_o ;
wire \FM_Display/_al_u170_o ;
wire \FM_Display/_al_u171_o ;
wire \FM_Display/_al_u172_o ;
wire \FM_Display/_al_u173_o ;
wire \FM_Display/_al_u174_o ;
wire \FM_Display/_al_u175_o ;
wire \FM_Display/_al_u176_o ;
wire \FM_Display/_al_u177_o ;
wire \FM_Display/_al_u178_o ;
wire \FM_Display/_al_u179_o ;
wire \FM_Display/_al_u180_o ;
wire \FM_Display/_al_u182_o ;
wire \FM_Display/_al_u183_o ;
wire \FM_Display/_al_u184_o ;
wire \FM_Display/_al_u185_o ;
wire \FM_Display/_al_u186_o ;
wire \FM_Display/_al_u187_o ;
wire \FM_Display/_al_u188_o ;
wire \FM_Display/_al_u189_o ;
wire \FM_Display/_al_u190_o ;
wire \FM_Display/_al_u191_o ;
wire \FM_Display/_al_u192_o ;
wire \FM_Display/_al_u193_o ;
wire \FM_Display/_al_u194_o ;
wire \FM_Display/_al_u195_o ;
wire \FM_Display/_al_u196_o ;
wire \FM_Display/_al_u197_o ;
wire \FM_Display/_al_u198_o ;
wire \FM_Display/_al_u199_o ;
wire \FM_Display/_al_u201_o ;
wire \FM_Display/_al_u202_o ;
wire \FM_Display/_al_u203_o ;
wire \FM_Display/_al_u206_o ;
wire \FM_Display/_al_u208_o ;
wire \FM_Display/_al_u209_o ;
wire \FM_Display/_al_u210_o ;
wire \FM_Display/_al_u211_o ;
wire \FM_Display/_al_u213_o ;
wire \FM_Display/_al_u214_o ;
wire \FM_Display/_al_u215_o ;
wire \FM_Display/_al_u216_o ;
wire \FM_Display/_al_u218_o ;
wire \FM_Display/_al_u219_o ;
wire \FM_Display/_al_u220_o ;
wire \FM_Display/_al_u221_o ;
wire \FM_Display/_al_u222_o ;
wire \FM_Display/_al_u225_o ;
wire \FM_Display/_al_u228_o ;
wire \FM_Display/_al_u230_o ;
wire \FM_Display/_al_u231_o ;
wire \FM_Display/_al_u232_o ;
wire \FM_Display/_al_u233_o ;
wire \FM_Display/_al_u234_o ;
wire \FM_Display/_al_u235_o ;
wire \FM_Display/_al_u236_o ;
wire \FM_Display/_al_u237_o ;
wire \FM_Display/_al_u238_o ;
wire \FM_Display/_al_u239_o ;
wire \FM_Display/_al_u240_o ;
wire \FM_Display/_al_u241_o ;
wire \FM_Display/_al_u69_o ;
wire \FM_Display/_al_u70_o ;
wire \FM_Display/_al_u71_o ;
wire \FM_Display/_al_u72_o ;
wire \FM_Display/_al_u74_o ;
wire \FM_Display/_al_u78_o ;
wire \FM_Display/_al_u80_o ;
wire \FM_Display/_al_u82_o ;
wire \FM_Display/_al_u83_o ;
wire \FM_Display/_al_u84_o ;
wire \FM_Display/_al_u85_o ;
wire \FM_Display/_al_u86_o ;
wire \FM_Display/add0/c11 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add0/c15 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add0/c19 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add0/c23 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add0/c3 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add0/c7 ; // ../rtl/peripherals/FM_Display.v(59)
wire \FM_Display/add1/c11 ; // ../rtl/peripherals/FM_Display.v(79)
wire \FM_Display/add1/c15 ; // ../rtl/peripherals/FM_Display.v(79)
wire \FM_Display/add1/c3 ; // ../rtl/peripherals/FM_Display.v(79)
wire \FM_Display/add1/c7 ; // ../rtl/peripherals/FM_Display.v(79)
wire \FM_Display/clk_1Hz ; // ../rtl/peripherals/FM_Display.v(20)
wire \FM_Display/clk_1KHz ; // ../rtl/peripherals/FM_Display.v(65)
wire \FM_Display/clk_1KHz_gclk_net ;
wire \FM_Display/ctrl_channel_NO ; // ../rtl/peripherals/FM_Display.v(96)
wire \FM_Display/lt0_c1 ;
wire \FM_Display/lt0_c11 ;
wire \FM_Display/lt0_c13 ;
wire \FM_Display/lt0_c15 ;
wire \FM_Display/lt0_c17 ;
wire \FM_Display/lt0_c19 ;
wire \FM_Display/lt0_c21 ;
wire \FM_Display/lt0_c23 ;
wire \FM_Display/lt0_c25 ;
wire \FM_Display/lt0_c3 ;
wire \FM_Display/lt0_c5 ;
wire \FM_Display/lt0_c7 ;
wire \FM_Display/lt0_c9 ;
wire \FM_Display/lt1_c1 ;
wire \FM_Display/lt1_c11 ;
wire \FM_Display/lt1_c13 ;
wire \FM_Display/lt1_c15 ;
wire \FM_Display/lt1_c3 ;
wire \FM_Display/lt1_c5 ;
wire \FM_Display/lt1_c7 ;
wire \FM_Display/lt1_c9 ;
wire \FM_Display/lt2/o_3_lutinv ; // ../rtl/peripherals/FM_Display.v(101)
wire \FM_Display/n11 ;
wire \FM_Display/n19 ;
wire \FM_Display/n28_lutinv ;
wire \FM_Display/n29 ;
wire \FM_Display/n3 ;
wire \FM_Display/n89 ;
wire \FM_HW/ADC_CLK ; // ../rtl/demodulation/FM_HW.v(72)
wire \FM_HW/Audio_PWM/N ; // ../rtl/peripherals/Audio_PWM.v(18)
wire \FM_HW/Audio_PWM/N_1 ; // ../rtl/peripherals/Audio_PWM.v(17)
wire \FM_HW/Audio_PWM/add0/c11 ; // ../rtl/peripherals/Audio_PWM.v(28)
wire \FM_HW/Audio_PWM/add0/c3 ; // ../rtl/peripherals/Audio_PWM.v(28)
wire \FM_HW/Audio_PWM/add0/c7 ; // ../rtl/peripherals/Audio_PWM.v(28)
wire \FM_HW/Audio_PWM/audio_pwm_reg ; // ../rtl/peripherals/Audio_PWM.v(15)
wire \FM_HW/Audio_PWM/lt1_c1 ;
wire \FM_HW/Audio_PWM/lt1_c11 ;
wire \FM_HW/Audio_PWM/lt1_c3 ;
wire \FM_HW/Audio_PWM/lt1_c5 ;
wire \FM_HW/Audio_PWM/lt1_c7 ;
wire \FM_HW/Audio_PWM/lt1_c9 ;
wire \FM_HW/CW_CLK /* synthesis keep=1 */ ; // ../rtl/demodulation/FM_HW.v(71)
wire \FM_HW/EOC ; // ../rtl/demodulation/FM_HW.v(23)
wire \FM_HW/EOC_gclk_net ;
wire \FM_HW/FM_Demodulation/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_Demodulation.v(41)
wire \FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ;
wire \FM_HW/FM_Demodulation/fm_sample/add0/c1 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/add0/c3 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/add0/c5 ; // ../rtl/demodulation/clk_fm_demodulation.v(19)
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c1 ;
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c3 ;
wire \FM_HW/FM_Demodulation/fm_sample/lt0_c5 ;
wire \FM_HW/FM_Demodulation/fm_sample/n2 ;
wire \FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ;
wire \FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ;
wire \FM_HW/FM_Demodulation/sub0_2/c1 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c11 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c13 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c15 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c3 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c5 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c7 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2/c9 ; // ../rtl/demodulation/FM_Demodulation.v(82)
wire \FM_HW/FM_Demodulation/sub0_2_co ;
wire \FM_HW/FM_Demodulation/u1/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u1/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u1/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u1/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u1/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u1/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u10/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u10/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u10/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u10/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u11/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u11/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u11/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u11/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u12/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u12/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u12/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u12/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u13/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u14/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u15/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u15/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u15/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u15/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u15/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u16/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u16/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u16/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u16/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u16/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u17/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u17/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u17/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u17/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u17/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u18/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u18/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u18/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u18/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u18/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u19/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u19/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u19/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u19/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u19/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u20/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u20/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u20/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u20/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u20/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u21/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u21/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u21/c19 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u21/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u21/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u3/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u4/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u5/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u6/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u7/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u8/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c1 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c11 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c13 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c15 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c17 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c3 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c5 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c7 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Demodulation/u9/c9 ; // ../rtl/demodulation/FM_Demodulation.v(113)
wire \FM_HW/FM_Dump_Data_IQ/add0/c11 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
wire \FM_HW/FM_Dump_Data_IQ/add0/c3 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
wire \FM_HW/FM_Dump_Data_IQ/add0/c7 ; // ../rtl/demodulation/FM_Dump_Data.v(88)
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ;
wire \FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ;
wire \FM_HW/FM_Dump_Data_IQ/and_n5_n3_n0_o ;
wire \FM_HW/FM_Dump_Data_IQ/dump_done_en ; // ../rtl/demodulation/FM_Dump_Data.v(62)
wire \FM_HW/FM_Dump_Data_IQ/dump_temp ; // ../rtl/demodulation/FM_Dump_Data.v(63)
wire \FM_HW/FM_Dump_Data_IQ/lt0_c1 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c11 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c13 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c3 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c5 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c7 ;
wire \FM_HW/FM_Dump_Data_IQ/lt0_c9 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c1 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c11 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c13 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c3 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c5 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c7 ;
wire \FM_HW/FM_Dump_Data_IQ/lt1_c9 ;
wire \FM_HW/FM_Dump_Data_IQ/n34 ;
wire \FM_HW/FM_Dump_Data_IQ/n38 ;
wire \FM_HW/FM_Dump_Data_IQ/n57 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 ;
wire \FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 ;
wire \FM_HW/FM_Dump_Data_IQ/n58 ;
wire \FM_HW/FM_Dump_Data_IQ/n61 ;
wire \FM_HW/FM_Dump_Data_IQ/u33_sel_is_2_o ;
wire \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate ; // ../rtl/demodulation/FM_RSSI.v(24)
wire \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ;
wire \FM_HW/FM_RSSI_SCAN/RSSI_reg_1 ; // ../rtl/demodulation/FM_RSSI.v(98)
wire \FM_HW/FM_RSSI_SCAN/RSSI_reg_2 ; // ../rtl/demodulation/FM_RSSI.v(99)
wire \FM_HW/FM_RSSI_SCAN/add2/c11 ; // ../rtl/demodulation/FM_RSSI.v(90)
wire \FM_HW/FM_RSSI_SCAN/add2/c3 ; // ../rtl/demodulation/FM_RSSI.v(90)
wire \FM_HW/FM_RSSI_SCAN/add2/c7 ; // ../rtl/demodulation/FM_RSSI.v(90)
wire \FM_HW/FM_RSSI_SCAN/done_signal ; // ../rtl/demodulation/FM_RSSI.v(68)
wire \FM_HW/FM_RSSI_SCAN/lt0_c1 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c11 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c13 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c3 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c5 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c7 ;
wire \FM_HW/FM_RSSI_SCAN/lt0_c9 ;
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ; // ../rtl/demodulation/lib_mult_8bit.v(33)
wire \FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ;
wire \FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ;
wire \FM_HW/FM_RSSI_SCAN/n0_lutinv ;
wire \FM_HW/FM_RSSI_SCAN/n14 ;
wire \FM_HW/FM_RSSI_SCAN/n25 ;
wire \FM_HW/FM_RSSI_SCAN/sub0/c1 ; // ../rtl/demodulation/FM_RSSI.v(30)
wire \FM_HW/FM_RSSI_SCAN/sub0/c3 ; // ../rtl/demodulation/FM_RSSI.v(30)
wire \FM_HW/FM_RSSI_SCAN/sub0/c5 ; // ../rtl/demodulation/FM_RSSI.v(30)
wire \FM_HW/FM_RSSI_SCAN/sub0/c7 ; // ../rtl/demodulation/FM_RSSI.v(30)
wire \FM_HW/U1/clk0_buf ; // al_ip/PLL_Demodulation.v(43)
wire \FM_HW/_al_u1000_o ;
wire \FM_HW/_al_u1001_o ;
wire \FM_HW/_al_u1003_o ;
wire \FM_HW/_al_u1004_o ;
wire \FM_HW/_al_u1005_o ;
wire \FM_HW/_al_u1006_o ;
wire \FM_HW/_al_u1007_o ;
wire \FM_HW/_al_u1008_o ;
wire \FM_HW/_al_u1009_o ;
wire \FM_HW/_al_u1010_o ;
wire \FM_HW/_al_u1011_o ;
wire \FM_HW/_al_u1012_o ;
wire \FM_HW/_al_u1013_o ;
wire \FM_HW/_al_u1015_o ;
wire \FM_HW/_al_u1016_o ;
wire \FM_HW/_al_u1017_o ;
wire \FM_HW/_al_u1018_o ;
wire \FM_HW/_al_u1019_o ;
wire \FM_HW/_al_u1020_o ;
wire \FM_HW/_al_u1021_o ;
wire \FM_HW/_al_u1022_o ;
wire \FM_HW/_al_u1023_o ;
wire \FM_HW/_al_u1024_o ;
wire \FM_HW/_al_u1025_o ;
wire \FM_HW/_al_u1026_o ;
wire \FM_HW/_al_u1027_o ;
wire \FM_HW/_al_u1028_o ;
wire \FM_HW/_al_u1029_o ;
wire \FM_HW/_al_u1030_o ;
wire \FM_HW/_al_u1031_o ;
wire \FM_HW/_al_u1032_o ;
wire \FM_HW/_al_u1033_o ;
wire \FM_HW/_al_u1034_o ;
wire \FM_HW/_al_u1035_o ;
wire \FM_HW/_al_u1036_o ;
wire \FM_HW/_al_u1037_o ;
wire \FM_HW/_al_u1038_o ;
wire \FM_HW/_al_u1039_o ;
wire \FM_HW/_al_u1040_o ;
wire \FM_HW/_al_u1041_o ;
wire \FM_HW/_al_u1042_o ;
wire \FM_HW/_al_u1043_o ;
wire \FM_HW/_al_u1044_o ;
wire \FM_HW/_al_u1046_o ;
wire \FM_HW/_al_u1048_o ;
wire \FM_HW/_al_u1050_o ;
wire \FM_HW/_al_u1051_o ;
wire \FM_HW/_al_u1053_o ;
wire \FM_HW/_al_u1055_o ;
wire \FM_HW/_al_u1057_o ;
wire \FM_HW/_al_u1058_o ;
wire \FM_HW/_al_u1060_o ;
wire \FM_HW/_al_u1061_o ;
wire \FM_HW/_al_u1063_o ;
wire \FM_HW/_al_u1065_o ;
wire \FM_HW/_al_u1066_o ;
wire \FM_HW/_al_u1067_o ;
wire \FM_HW/_al_u1068_o ;
wire \FM_HW/_al_u1069_o ;
wire \FM_HW/_al_u1070_o ;
wire \FM_HW/_al_u1071_o ;
wire \FM_HW/_al_u1072_o ;
wire \FM_HW/_al_u1073_o ;
wire \FM_HW/_al_u1074_o ;
wire \FM_HW/_al_u1075_o ;
wire \FM_HW/_al_u1077_o ;
wire \FM_HW/_al_u1078_o ;
wire \FM_HW/_al_u1079_o ;
wire \FM_HW/_al_u1080_o ;
wire \FM_HW/_al_u1082_o ;
wire \FM_HW/_al_u1084_o ;
wire \FM_HW/_al_u1085_o ;
wire \FM_HW/_al_u1086_o ;
wire \FM_HW/_al_u1088_o ;
wire \FM_HW/_al_u1090_o ;
wire \FM_HW/_al_u1091_o ;
wire \FM_HW/_al_u1092_o ;
wire \FM_HW/_al_u1093_o ;
wire \FM_HW/_al_u1095_o ;
wire \FM_HW/_al_u1096_o ;
wire \FM_HW/_al_u1097_o ;
wire \FM_HW/_al_u1098_o ;
wire \FM_HW/_al_u1099_o ;
wire \FM_HW/_al_u1100_o ;
wire \FM_HW/_al_u1101_o ;
wire \FM_HW/_al_u1102_o ;
wire \FM_HW/_al_u1103_o ;
wire \FM_HW/_al_u1104_o ;
wire \FM_HW/_al_u1106_o ;
wire \FM_HW/_al_u1108_o ;
wire \FM_HW/_al_u1109_o ;
wire \FM_HW/_al_u1110_o ;
wire \FM_HW/_al_u1111_o ;
wire \FM_HW/_al_u1112_o ;
wire \FM_HW/_al_u1113_o ;
wire \FM_HW/_al_u1114_o ;
wire \FM_HW/_al_u1115_o ;
wire \FM_HW/_al_u1116_o ;
wire \FM_HW/_al_u1117_o ;
wire \FM_HW/_al_u1118_o ;
wire \FM_HW/_al_u1119_o ;
wire \FM_HW/_al_u1120_o ;
wire \FM_HW/_al_u1121_o ;
wire \FM_HW/_al_u1122_o ;
wire \FM_HW/_al_u1123_o ;
wire \FM_HW/_al_u1124_o ;
wire \FM_HW/_al_u1125_o ;
wire \FM_HW/_al_u1126_o ;
wire \FM_HW/_al_u1127_o ;
wire \FM_HW/_al_u1128_o ;
wire \FM_HW/_al_u1129_o ;
wire \FM_HW/_al_u1130_o ;
wire \FM_HW/_al_u1131_o ;
wire \FM_HW/_al_u1133_o ;
wire \FM_HW/_al_u1134_o ;
wire \FM_HW/_al_u1135_o ;
wire \FM_HW/_al_u1136_o ;
wire \FM_HW/_al_u1137_o ;
wire \FM_HW/_al_u1138_o ;
wire \FM_HW/_al_u1139_o ;
wire \FM_HW/_al_u1141_o ;
wire \FM_HW/_al_u1142_o ;
wire \FM_HW/_al_u1143_o ;
wire \FM_HW/_al_u1144_o ;
wire \FM_HW/_al_u1145_o ;
wire \FM_HW/_al_u1146_o ;
wire \FM_HW/_al_u1147_o ;
wire \FM_HW/_al_u1148_o ;
wire \FM_HW/_al_u1149_o ;
wire \FM_HW/_al_u1151_o ;
wire \FM_HW/_al_u1152_o ;
wire \FM_HW/_al_u1153_o ;
wire \FM_HW/_al_u1154_o ;
wire \FM_HW/_al_u1156_o ;
wire \FM_HW/_al_u1158_o ;
wire \FM_HW/_al_u1159_o ;
wire \FM_HW/_al_u1160_o ;
wire \FM_HW/_al_u1161_o ;
wire \FM_HW/_al_u1162_o ;
wire \FM_HW/_al_u1164_o ;
wire \FM_HW/_al_u1165_o ;
wire \FM_HW/_al_u1166_o ;
wire \FM_HW/_al_u1167_o ;
wire \FM_HW/_al_u1168_o ;
wire \FM_HW/_al_u1169_o ;
wire \FM_HW/_al_u1170_o ;
wire \FM_HW/_al_u1171_o ;
wire \FM_HW/_al_u1172_o ;
wire \FM_HW/_al_u1173_o ;
wire \FM_HW/_al_u1174_o ;
wire \FM_HW/_al_u1175_o ;
wire \FM_HW/_al_u1176_o ;
wire \FM_HW/_al_u1177_o ;
wire \FM_HW/_al_u1178_o ;
wire \FM_HW/_al_u1179_o ;
wire \FM_HW/_al_u1180_o ;
wire \FM_HW/_al_u1181_o ;
wire \FM_HW/_al_u1182_o ;
wire \FM_HW/_al_u1183_o ;
wire \FM_HW/_al_u1184_o ;
wire \FM_HW/_al_u1186_o ;
wire \FM_HW/_al_u1188_o ;
wire \FM_HW/_al_u1189_o ;
wire \FM_HW/_al_u1191_o ;
wire \FM_HW/_al_u1193_o ;
wire \FM_HW/_al_u1194_o ;
wire \FM_HW/_al_u1195_o ;
wire \FM_HW/_al_u1196_o ;
wire \FM_HW/_al_u1197_o ;
wire \FM_HW/_al_u1198_o ;
wire \FM_HW/_al_u1199_o ;
wire \FM_HW/_al_u1200_o ;
wire \FM_HW/_al_u1201_o ;
wire \FM_HW/_al_u1202_o ;
wire \FM_HW/_al_u1203_o ;
wire \FM_HW/_al_u1204_o ;
wire \FM_HW/_al_u1205_o ;
wire \FM_HW/_al_u1206_o ;
wire \FM_HW/_al_u1207_o ;
wire \FM_HW/_al_u1208_o ;
wire \FM_HW/_al_u1209_o ;
wire \FM_HW/_al_u1210_o ;
wire \FM_HW/_al_u1211_o ;
wire \FM_HW/_al_u1212_o ;
wire \FM_HW/_al_u1213_o ;
wire \FM_HW/_al_u1214_o ;
wire \FM_HW/_al_u1215_o ;
wire \FM_HW/_al_u1216_o ;
wire \FM_HW/_al_u1217_o ;
wire \FM_HW/_al_u1218_o ;
wire \FM_HW/_al_u1219_o ;
wire \FM_HW/_al_u1220_o ;
wire \FM_HW/_al_u1221_o ;
wire \FM_HW/_al_u1222_o ;
wire \FM_HW/_al_u1223_o ;
wire \FM_HW/_al_u1224_o ;
wire \FM_HW/_al_u1225_o ;
wire \FM_HW/_al_u1226_o ;
wire \FM_HW/_al_u1227_o ;
wire \FM_HW/_al_u1228_o ;
wire \FM_HW/_al_u1229_o ;
wire \FM_HW/_al_u1230_o ;
wire \FM_HW/_al_u1231_o ;
wire \FM_HW/_al_u1232_o ;
wire \FM_HW/_al_u1233_o ;
wire \FM_HW/_al_u1234_o ;
wire \FM_HW/_al_u1235_o ;
wire \FM_HW/_al_u1236_o ;
wire \FM_HW/_al_u1238_o ;
wire \FM_HW/_al_u1240_o ;
wire \FM_HW/_al_u1242_o ;
wire \FM_HW/_al_u1244_o ;
wire \FM_HW/_al_u1246_o ;
wire \FM_HW/_al_u1248_o ;
wire \FM_HW/_al_u1250_o ;
wire \FM_HW/_al_u1251_o ;
wire \FM_HW/_al_u1252_o ;
wire \FM_HW/_al_u1253_o ;
wire \FM_HW/_al_u1254_o ;
wire \FM_HW/_al_u1255_o ;
wire \FM_HW/_al_u1256_o ;
wire \FM_HW/_al_u1257_o ;
wire \FM_HW/_al_u1258_o ;
wire \FM_HW/_al_u1259_o ;
wire \FM_HW/_al_u1260_o ;
wire \FM_HW/_al_u1261_o ;
wire \FM_HW/_al_u1262_o ;
wire \FM_HW/_al_u1263_o ;
wire \FM_HW/_al_u1264_o ;
wire \FM_HW/_al_u1265_o ;
wire \FM_HW/_al_u1266_o ;
wire \FM_HW/_al_u1267_o ;
wire \FM_HW/_al_u1268_o ;
wire \FM_HW/_al_u1269_o ;
wire \FM_HW/_al_u1270_o ;
wire \FM_HW/_al_u1271_o ;
wire \FM_HW/_al_u1272_o ;
wire \FM_HW/_al_u1273_o ;
wire \FM_HW/_al_u1274_o ;
wire \FM_HW/_al_u1275_o ;
wire \FM_HW/_al_u1276_o ;
wire \FM_HW/_al_u1277_o ;
wire \FM_HW/_al_u1278_o ;
wire \FM_HW/_al_u1279_o ;
wire \FM_HW/_al_u1281_o ;
wire \FM_HW/_al_u1282_o ;
wire \FM_HW/_al_u1283_o ;
wire \FM_HW/_al_u1284_o ;
wire \FM_HW/_al_u1286_o ;
wire \FM_HW/_al_u1288_o ;
wire \FM_HW/_al_u1289_o ;
wire \FM_HW/_al_u1290_o ;
wire \FM_HW/_al_u1291_o ;
wire \FM_HW/_al_u1292_o ;
wire \FM_HW/_al_u1293_o ;
wire \FM_HW/_al_u1294_o ;
wire \FM_HW/_al_u1295_o ;
wire \FM_HW/_al_u1296_o ;
wire \FM_HW/_al_u1297_o ;
wire \FM_HW/_al_u1298_o ;
wire \FM_HW/_al_u1299_o ;
wire \FM_HW/_al_u1301_o ;
wire \FM_HW/_al_u1303_o ;
wire \FM_HW/_al_u1305_o ;
wire \FM_HW/_al_u1307_o ;
wire \FM_HW/_al_u1308_o ;
wire \FM_HW/_al_u1309_o ;
wire \FM_HW/_al_u1311_o ;
wire \FM_HW/_al_u1312_o ;
wire \FM_HW/_al_u1313_o ;
wire \FM_HW/_al_u1314_o ;
wire \FM_HW/_al_u1315_o ;
wire \FM_HW/_al_u1316_o ;
wire \FM_HW/_al_u1317_o ;
wire \FM_HW/_al_u1318_o ;
wire \FM_HW/_al_u1319_o ;
wire \FM_HW/_al_u1320_o ;
wire \FM_HW/_al_u1321_o ;
wire \FM_HW/_al_u1322_o ;
wire \FM_HW/_al_u1323_o ;
wire \FM_HW/_al_u1324_o ;
wire \FM_HW/_al_u1325_o ;
wire \FM_HW/_al_u1326_o ;
wire \FM_HW/_al_u1327_o ;
wire \FM_HW/_al_u1328_o ;
wire \FM_HW/_al_u1329_o ;
wire \FM_HW/_al_u1330_o ;
wire \FM_HW/_al_u1331_o ;
wire \FM_HW/_al_u1332_o ;
wire \FM_HW/_al_u1333_o ;
wire \FM_HW/_al_u1334_o ;
wire \FM_HW/_al_u1335_o ;
wire \FM_HW/_al_u1336_o ;
wire \FM_HW/_al_u1337_o ;
wire \FM_HW/_al_u1338_o ;
wire \FM_HW/_al_u1340_o ;
wire \FM_HW/_al_u1342_o ;
wire \FM_HW/_al_u1343_o ;
wire \FM_HW/_al_u1344_o ;
wire \FM_HW/_al_u1346_o ;
wire \FM_HW/_al_u1348_o ;
wire \FM_HW/_al_u1350_o ;
wire \FM_HW/_al_u1351_o ;
wire \FM_HW/_al_u1353_o ;
wire \FM_HW/_al_u1355_o ;
wire \FM_HW/_al_u1356_o ;
wire \FM_HW/_al_u1358_o ;
wire \FM_HW/_al_u1359_o ;
wire \FM_HW/_al_u1360_o ;
wire \FM_HW/_al_u1361_o ;
wire \FM_HW/_al_u1363_o ;
wire \FM_HW/_al_u1364_o ;
wire \FM_HW/_al_u1365_o ;
wire \FM_HW/_al_u1367_o ;
wire \FM_HW/_al_u1369_o ;
wire \FM_HW/_al_u1370_o ;
wire \FM_HW/_al_u1371_o ;
wire \FM_HW/_al_u1372_o ;
wire \FM_HW/_al_u1374_o ;
wire \FM_HW/_al_u1376_o ;
wire \FM_HW/_al_u1377_o ;
wire \FM_HW/_al_u1379_o ;
wire \FM_HW/_al_u1380_o ;
wire \FM_HW/_al_u1381_o ;
wire \FM_HW/_al_u1382_o ;
wire \FM_HW/_al_u1384_o ;
wire \FM_HW/_al_u1386_o ;
wire \FM_HW/_al_u1387_o ;
wire \FM_HW/_al_u1388_o ;
wire \FM_HW/_al_u1390_o ;
wire \FM_HW/_al_u1392_o ;
wire \FM_HW/_al_u1393_o ;
wire \FM_HW/_al_u1395_o ;
wire \FM_HW/_al_u1397_o ;
wire \FM_HW/_al_u1398_o ;
wire \FM_HW/_al_u1400_o ;
wire \FM_HW/_al_u1401_o ;
wire \FM_HW/_al_u1402_o ;
wire \FM_HW/_al_u1403_o ;
wire \FM_HW/_al_u1405_o ;
wire \FM_HW/_al_u1406_o ;
wire \FM_HW/_al_u1407_o ;
wire \FM_HW/_al_u1409_o ;
wire \FM_HW/_al_u1410_o ;
wire \FM_HW/_al_u1411_o ;
wire \FM_HW/_al_u1412_o ;
wire \FM_HW/_al_u1413_o ;
wire \FM_HW/_al_u1414_o ;
wire \FM_HW/_al_u1415_o ;
wire \FM_HW/_al_u1416_o ;
wire \FM_HW/_al_u1417_o ;
wire \FM_HW/_al_u1418_o ;
wire \FM_HW/_al_u1419_o ;
wire \FM_HW/_al_u1421_o ;
wire \FM_HW/_al_u1422_o ;
wire \FM_HW/_al_u1423_o ;
wire \FM_HW/_al_u1424_o ;
wire \FM_HW/_al_u1426_o ;
wire \FM_HW/_al_u1428_o ;
wire \FM_HW/_al_u1429_o ;
wire \FM_HW/_al_u1430_o ;
wire \FM_HW/_al_u1431_o ;
wire \FM_HW/_al_u1432_o ;
wire \FM_HW/_al_u1433_o ;
wire \FM_HW/_al_u1434_o ;
wire \FM_HW/_al_u1435_o ;
wire \FM_HW/_al_u1436_o ;
wire \FM_HW/_al_u1437_o ;
wire \FM_HW/_al_u1438_o ;
wire \FM_HW/_al_u1439_o ;
wire \FM_HW/_al_u1440_o ;
wire \FM_HW/_al_u1441_o ;
wire \FM_HW/_al_u1442_o ;
wire \FM_HW/_al_u1443_o ;
wire \FM_HW/_al_u1444_o ;
wire \FM_HW/_al_u1445_o ;
wire \FM_HW/_al_u1446_o ;
wire \FM_HW/_al_u1447_o ;
wire \FM_HW/_al_u1448_o ;
wire \FM_HW/_al_u1449_o ;
wire \FM_HW/_al_u1450_o ;
wire \FM_HW/_al_u1451_o ;
wire \FM_HW/_al_u1452_o ;
wire \FM_HW/_al_u1453_o ;
wire \FM_HW/_al_u1454_o ;
wire \FM_HW/_al_u1455_o ;
wire \FM_HW/_al_u1456_o ;
wire \FM_HW/_al_u1457_o ;
wire \FM_HW/_al_u1458_o ;
wire \FM_HW/_al_u1459_o ;
wire \FM_HW/_al_u1460_o ;
wire \FM_HW/_al_u1461_o ;
wire \FM_HW/_al_u1462_o ;
wire \FM_HW/_al_u1463_o ;
wire \FM_HW/_al_u1464_o ;
wire \FM_HW/_al_u1465_o ;
wire \FM_HW/_al_u1466_o ;
wire \FM_HW/_al_u1467_o ;
wire \FM_HW/_al_u1468_o ;
wire \FM_HW/_al_u1469_o ;
wire \FM_HW/_al_u1470_o ;
wire \FM_HW/_al_u1471_o ;
wire \FM_HW/_al_u1472_o ;
wire \FM_HW/_al_u1473_o ;
wire \FM_HW/_al_u1474_o ;
wire \FM_HW/_al_u1475_o ;
wire \FM_HW/_al_u1477_o ;
wire \FM_HW/_al_u1479_o ;
wire \FM_HW/_al_u1480_o ;
wire \FM_HW/_al_u1482_o ;
wire \FM_HW/_al_u1483_o ;
wire \FM_HW/_al_u1484_o ;
wire \FM_HW/_al_u1485_o ;
wire \FM_HW/_al_u1486_o ;
wire \FM_HW/_al_u1487_o ;
wire \FM_HW/_al_u1488_o ;
wire \FM_HW/_al_u1489_o ;
wire \FM_HW/_al_u1490_o ;
wire \FM_HW/_al_u1491_o ;
wire \FM_HW/_al_u1492_o ;
wire \FM_HW/_al_u1493_o ;
wire \FM_HW/_al_u1494_o ;
wire \FM_HW/_al_u1495_o ;
wire \FM_HW/_al_u1496_o ;
wire \FM_HW/_al_u1497_o ;
wire \FM_HW/_al_u1498_o ;
wire \FM_HW/_al_u1499_o ;
wire \FM_HW/_al_u1500_o ;
wire \FM_HW/_al_u1501_o ;
wire \FM_HW/_al_u1502_o ;
wire \FM_HW/_al_u1503_o ;
wire \FM_HW/_al_u1504_o ;
wire \FM_HW/_al_u1505_o ;
wire \FM_HW/_al_u1506_o ;
wire \FM_HW/_al_u1507_o ;
wire \FM_HW/_al_u1508_o ;
wire \FM_HW/_al_u1509_o ;
wire \FM_HW/_al_u1510_o ;
wire \FM_HW/_al_u1511_o ;
wire \FM_HW/_al_u1512_o ;
wire \FM_HW/_al_u1513_o ;
wire \FM_HW/_al_u1515_o ;
wire \FM_HW/_al_u1517_o ;
wire \FM_HW/_al_u1518_o ;
wire \FM_HW/_al_u1519_o ;
wire \FM_HW/_al_u1520_o ;
wire \FM_HW/_al_u1521_o ;
wire \FM_HW/_al_u1522_o ;
wire \FM_HW/_al_u1523_o ;
wire \FM_HW/_al_u1524_o ;
wire \FM_HW/_al_u1525_o ;
wire \FM_HW/_al_u1526_o ;
wire \FM_HW/_al_u1527_o ;
wire \FM_HW/_al_u1528_o ;
wire \FM_HW/_al_u1529_o ;
wire \FM_HW/_al_u1530_o ;
wire \FM_HW/_al_u1531_o ;
wire \FM_HW/_al_u1532_o ;
wire \FM_HW/_al_u1533_o ;
wire \FM_HW/_al_u1534_o ;
wire \FM_HW/_al_u1535_o ;
wire \FM_HW/_al_u1536_o ;
wire \FM_HW/_al_u1537_o ;
wire \FM_HW/_al_u1538_o ;
wire \FM_HW/_al_u1539_o ;
wire \FM_HW/_al_u1540_o ;
wire \FM_HW/_al_u1541_o ;
wire \FM_HW/_al_u1543_o ;
wire \FM_HW/_al_u1545_o ;
wire \FM_HW/_al_u1546_o ;
wire \FM_HW/_al_u1547_o ;
wire \FM_HW/_al_u1548_o ;
wire \FM_HW/_al_u1549_o ;
wire \FM_HW/_al_u1550_o ;
wire \FM_HW/_al_u1551_o ;
wire \FM_HW/_al_u1552_o ;
wire \FM_HW/_al_u1554_o ;
wire \FM_HW/_al_u1556_o ;
wire \FM_HW/_al_u1557_o ;
wire \FM_HW/_al_u1559_o ;
wire \FM_HW/_al_u1561_o ;
wire \FM_HW/_al_u1562_o ;
wire \FM_HW/_al_u1563_o ;
wire \FM_HW/_al_u1564_o ;
wire \FM_HW/_al_u1565_o ;
wire \FM_HW/_al_u1566_o ;
wire \FM_HW/_al_u1567_o ;
wire \FM_HW/_al_u1568_o ;
wire \FM_HW/_al_u1569_o ;
wire \FM_HW/_al_u1570_o ;
wire \FM_HW/_al_u1571_o ;
wire \FM_HW/_al_u1572_o ;
wire \FM_HW/_al_u1573_o ;
wire \FM_HW/_al_u1575_o ;
wire \FM_HW/_al_u1577_o ;
wire \FM_HW/_al_u1578_o ;
wire \FM_HW/_al_u1580_o ;
wire \FM_HW/_al_u1581_o ;
wire \FM_HW/_al_u1582_o ;
wire \FM_HW/_al_u1583_o ;
wire \FM_HW/_al_u1584_o ;
wire \FM_HW/_al_u1585_o ;
wire \FM_HW/_al_u1586_o ;
wire \FM_HW/_al_u1587_o ;
wire \FM_HW/_al_u1588_o ;
wire \FM_HW/_al_u1589_o ;
wire \FM_HW/_al_u1590_o ;
wire \FM_HW/_al_u1591_o ;
wire \FM_HW/_al_u1592_o ;
wire \FM_HW/_al_u1593_o ;
wire \FM_HW/_al_u1594_o ;
wire \FM_HW/_al_u1595_o ;
wire \FM_HW/_al_u1596_o ;
wire \FM_HW/_al_u1597_o ;
wire \FM_HW/_al_u1598_o ;
wire \FM_HW/_al_u1599_o ;
wire \FM_HW/_al_u1600_o ;
wire \FM_HW/_al_u1601_o ;
wire \FM_HW/_al_u1602_o ;
wire \FM_HW/_al_u1603_o ;
wire \FM_HW/_al_u1604_o ;
wire \FM_HW/_al_u1605_o ;
wire \FM_HW/_al_u1607_o ;
wire \FM_HW/_al_u1609_o ;
wire \FM_HW/_al_u1610_o ;
wire \FM_HW/_al_u1611_o ;
wire \FM_HW/_al_u1612_o ;
wire \FM_HW/_al_u1614_o ;
wire \FM_HW/_al_u1615_o ;
wire \FM_HW/_al_u1616_o ;
wire \FM_HW/_al_u1618_o ;
wire \FM_HW/_al_u1620_o ;
wire \FM_HW/_al_u1621_o ;
wire \FM_HW/_al_u1622_o ;
wire \FM_HW/_al_u1623_o ;
wire \FM_HW/_al_u1624_o ;
wire \FM_HW/_al_u1626_o ;
wire \FM_HW/_al_u1627_o ;
wire \FM_HW/_al_u1628_o ;
wire \FM_HW/_al_u1629_o ;
wire \FM_HW/_al_u1630_o ;
wire \FM_HW/_al_u1631_o ;
wire \FM_HW/_al_u1632_o ;
wire \FM_HW/_al_u1633_o ;
wire \FM_HW/_al_u1634_o ;
wire \FM_HW/_al_u1635_o ;
wire \FM_HW/_al_u1636_o ;
wire \FM_HW/_al_u1637_o ;
wire \FM_HW/_al_u1638_o ;
wire \FM_HW/_al_u1639_o ;
wire \FM_HW/_al_u1640_o ;
wire \FM_HW/_al_u1641_o ;
wire \FM_HW/_al_u1642_o ;
wire \FM_HW/_al_u1643_o ;
wire \FM_HW/_al_u1644_o ;
wire \FM_HW/_al_u1645_o ;
wire \FM_HW/_al_u1646_o ;
wire \FM_HW/_al_u1647_o ;
wire \FM_HW/_al_u1648_o ;
wire \FM_HW/_al_u1649_o ;
wire \FM_HW/_al_u1650_o ;
wire \FM_HW/_al_u1651_o ;
wire \FM_HW/_al_u1652_o ;
wire \FM_HW/_al_u1653_o ;
wire \FM_HW/_al_u1654_o ;
wire \FM_HW/_al_u1655_o ;
wire \FM_HW/_al_u1656_o ;
wire \FM_HW/_al_u1657_o ;
wire \FM_HW/_al_u1658_o ;
wire \FM_HW/_al_u1659_o ;
wire \FM_HW/_al_u1660_o ;
wire \FM_HW/_al_u1661_o ;
wire \FM_HW/_al_u1662_o ;
wire \FM_HW/_al_u1663_o ;
wire \FM_HW/_al_u1664_o ;
wire \FM_HW/_al_u1665_o ;
wire \FM_HW/_al_u1666_o ;
wire \FM_HW/_al_u1667_o ;
wire \FM_HW/_al_u1668_o ;
wire \FM_HW/_al_u1669_o ;
wire \FM_HW/_al_u1670_o ;
wire \FM_HW/_al_u1671_o ;
wire \FM_HW/_al_u1672_o ;
wire \FM_HW/_al_u1673_o ;
wire \FM_HW/_al_u1674_o ;
wire \FM_HW/_al_u1675_o ;
wire \FM_HW/_al_u1676_o ;
wire \FM_HW/_al_u1677_o ;
wire \FM_HW/_al_u1678_o ;
wire \FM_HW/_al_u1680_o ;
wire \FM_HW/_al_u1681_o ;
wire \FM_HW/_al_u1682_o ;
wire \FM_HW/_al_u1683_o ;
wire \FM_HW/_al_u1685_o ;
wire \FM_HW/_al_u1687_o ;
wire \FM_HW/_al_u1688_o ;
wire \FM_HW/_al_u1689_o ;
wire \FM_HW/_al_u1691_o ;
wire \FM_HW/_al_u1692_o ;
wire \FM_HW/_al_u1693_o ;
wire \FM_HW/_al_u1694_o ;
wire \FM_HW/_al_u1695_o ;
wire \FM_HW/_al_u1696_o ;
wire \FM_HW/_al_u1697_o ;
wire \FM_HW/_al_u1698_o ;
wire \FM_HW/_al_u1699_o ;
wire \FM_HW/_al_u1700_o ;
wire \FM_HW/_al_u1701_o ;
wire \FM_HW/_al_u1702_o ;
wire \FM_HW/_al_u1703_o ;
wire \FM_HW/_al_u1704_o ;
wire \FM_HW/_al_u1705_o ;
wire \FM_HW/_al_u1706_o ;
wire \FM_HW/_al_u1707_o ;
wire \FM_HW/_al_u1708_o ;
wire \FM_HW/_al_u1709_o ;
wire \FM_HW/_al_u1710_o ;
wire \FM_HW/_al_u1711_o ;
wire \FM_HW/_al_u1712_o ;
wire \FM_HW/_al_u1713_o ;
wire \FM_HW/_al_u1714_o ;
wire \FM_HW/_al_u1715_o ;
wire \FM_HW/_al_u1716_o ;
wire \FM_HW/_al_u1717_o ;
wire \FM_HW/_al_u1718_o ;
wire \FM_HW/_al_u1719_o ;
wire \FM_HW/_al_u1720_o ;
wire \FM_HW/_al_u1721_o ;
wire \FM_HW/_al_u1723_o ;
wire \FM_HW/_al_u1725_o ;
wire \FM_HW/_al_u1727_o ;
wire \FM_HW/_al_u1728_o ;
wire \FM_HW/_al_u1730_o ;
wire \FM_HW/_al_u1731_o ;
wire \FM_HW/_al_u1732_o ;
wire \FM_HW/_al_u1733_o ;
wire \FM_HW/_al_u1734_o ;
wire \FM_HW/_al_u1735_o ;
wire \FM_HW/_al_u1736_o ;
wire \FM_HW/_al_u1737_o ;
wire \FM_HW/_al_u1738_o ;
wire \FM_HW/_al_u1739_o ;
wire \FM_HW/_al_u1740_o ;
wire \FM_HW/_al_u1741_o ;
wire \FM_HW/_al_u1742_o ;
wire \FM_HW/_al_u1743_o ;
wire \FM_HW/_al_u1744_o ;
wire \FM_HW/_al_u1745_o ;
wire \FM_HW/_al_u1746_o ;
wire \FM_HW/_al_u1747_o ;
wire \FM_HW/_al_u1748_o ;
wire \FM_HW/_al_u1749_o ;
wire \FM_HW/_al_u1750_o ;
wire \FM_HW/_al_u1751_o ;
wire \FM_HW/_al_u1752_o ;
wire \FM_HW/_al_u1753_o ;
wire \FM_HW/_al_u1755_o ;
wire \FM_HW/_al_u1757_o ;
wire \FM_HW/_al_u1758_o ;
wire \FM_HW/_al_u1760_o ;
wire \FM_HW/_al_u1762_o ;
wire \FM_HW/_al_u1763_o ;
wire \FM_HW/_al_u1764_o ;
wire \FM_HW/_al_u1765_o ;
wire \FM_HW/_al_u1766_o ;
wire \FM_HW/_al_u1767_o ;
wire \FM_HW/_al_u1768_o ;
wire \FM_HW/_al_u1769_o ;
wire \FM_HW/_al_u1770_o ;
wire \FM_HW/_al_u1771_o ;
wire \FM_HW/_al_u1772_o ;
wire \FM_HW/_al_u1773_o ;
wire \FM_HW/_al_u1774_o ;
wire \FM_HW/_al_u1775_o ;
wire \FM_HW/_al_u1776_o ;
wire \FM_HW/_al_u1777_o ;
wire \FM_HW/_al_u1778_o ;
wire \FM_HW/_al_u1779_o ;
wire \FM_HW/_al_u1780_o ;
wire \FM_HW/_al_u1781_o ;
wire \FM_HW/_al_u1782_o ;
wire \FM_HW/_al_u1783_o ;
wire \FM_HW/_al_u1784_o ;
wire \FM_HW/_al_u1785_o ;
wire \FM_HW/_al_u1786_o ;
wire \FM_HW/_al_u1787_o ;
wire \FM_HW/_al_u1788_o ;
wire \FM_HW/_al_u1789_o ;
wire \FM_HW/_al_u1791_o ;
wire \FM_HW/_al_u1792_o ;
wire \FM_HW/_al_u1794_o ;
wire \FM_HW/_al_u1796_o ;
wire \FM_HW/_al_u1797_o ;
wire \FM_HW/_al_u1798_o ;
wire \FM_HW/_al_u1800_o ;
wire \FM_HW/_al_u1801_o ;
wire \FM_HW/_al_u1802_o ;
wire \FM_HW/_al_u1803_o ;
wire \FM_HW/_al_u1805_o ;
wire \FM_HW/_al_u1806_o ;
wire \FM_HW/_al_u1807_o ;
wire \FM_HW/_al_u1808_o ;
wire \FM_HW/_al_u1809_o ;
wire \FM_HW/_al_u1810_o ;
wire \FM_HW/_al_u1812_o ;
wire \FM_HW/_al_u1814_o ;
wire \FM_HW/_al_u1815_o ;
wire \FM_HW/_al_u1816_o ;
wire \FM_HW/_al_u1817_o ;
wire \FM_HW/_al_u1818_o ;
wire \FM_HW/_al_u1819_o ;
wire \FM_HW/_al_u1820_o ;
wire \FM_HW/_al_u1821_o ;
wire \FM_HW/_al_u1822_o ;
wire \FM_HW/_al_u1823_o ;
wire \FM_HW/_al_u1824_o ;
wire \FM_HW/_al_u1825_o ;
wire \FM_HW/_al_u1826_o ;
wire \FM_HW/_al_u1827_o ;
wire \FM_HW/_al_u1828_o ;
wire \FM_HW/_al_u1829_o ;
wire \FM_HW/_al_u1830_o ;
wire \FM_HW/_al_u1831_o ;
wire \FM_HW/_al_u1832_o ;
wire \FM_HW/_al_u1833_o ;
wire \FM_HW/_al_u1834_o ;
wire \FM_HW/_al_u1835_o ;
wire \FM_HW/_al_u1836_o ;
wire \FM_HW/_al_u1838_o ;
wire \FM_HW/_al_u1840_o ;
wire \FM_HW/_al_u1841_o ;
wire \FM_HW/_al_u1842_o ;
wire \FM_HW/_al_u1844_o ;
wire \FM_HW/_al_u1846_o ;
wire \FM_HW/_al_u1847_o ;
wire \FM_HW/_al_u1849_o ;
wire \FM_HW/_al_u1850_o ;
wire \FM_HW/_al_u1851_o ;
wire \FM_HW/_al_u1852_o ;
wire \FM_HW/_al_u1853_o ;
wire \FM_HW/_al_u1854_o ;
wire \FM_HW/_al_u1855_o ;
wire \FM_HW/_al_u1856_o ;
wire \FM_HW/_al_u1857_o ;
wire \FM_HW/_al_u1858_o ;
wire \FM_HW/_al_u1859_o ;
wire \FM_HW/_al_u1860_o ;
wire \FM_HW/_al_u1861_o ;
wire \FM_HW/_al_u1862_o ;
wire \FM_HW/_al_u1863_o ;
wire \FM_HW/_al_u1864_o ;
wire \FM_HW/_al_u1865_o ;
wire \FM_HW/_al_u1866_o ;
wire \FM_HW/_al_u1867_o ;
wire \FM_HW/_al_u1868_o ;
wire \FM_HW/_al_u1869_o ;
wire \FM_HW/_al_u1870_o ;
wire \FM_HW/_al_u1871_o ;
wire \FM_HW/_al_u1872_o ;
wire \FM_HW/_al_u1873_o ;
wire \FM_HW/_al_u1874_o ;
wire \FM_HW/_al_u1875_o ;
wire \FM_HW/_al_u1876_o ;
wire \FM_HW/_al_u1877_o ;
wire \FM_HW/_al_u1879_o ;
wire \FM_HW/_al_u1881_o ;
wire \FM_HW/_al_u1882_o ;
wire \FM_HW/_al_u1884_o ;
wire \FM_HW/_al_u1885_o ;
wire \FM_HW/_al_u1886_o ;
wire \FM_HW/_al_u1888_o ;
wire \FM_HW/_al_u1889_o ;
wire \FM_HW/_al_u1890_o ;
wire \FM_HW/_al_u1891_o ;
wire \FM_HW/_al_u1892_o ;
wire \FM_HW/_al_u1893_o ;
wire \FM_HW/_al_u1894_o ;
wire \FM_HW/_al_u1895_o ;
wire \FM_HW/_al_u1896_o ;
wire \FM_HW/_al_u1897_o ;
wire \FM_HW/_al_u1898_o ;
wire \FM_HW/_al_u1900_o ;
wire \FM_HW/_al_u1902_o ;
wire \FM_HW/_al_u1903_o ;
wire \FM_HW/_al_u1905_o ;
wire \FM_HW/_al_u1907_o ;
wire \FM_HW/_al_u1908_o ;
wire \FM_HW/_al_u1909_o ;
wire \FM_HW/_al_u1910_o ;
wire \FM_HW/_al_u1911_o ;
wire \FM_HW/_al_u1912_o ;
wire \FM_HW/_al_u1913_o ;
wire \FM_HW/_al_u1914_o ;
wire \FM_HW/_al_u1915_o ;
wire \FM_HW/_al_u1916_o ;
wire \FM_HW/_al_u1917_o ;
wire \FM_HW/_al_u1918_o ;
wire \FM_HW/_al_u1919_o ;
wire \FM_HW/_al_u1920_o ;
wire \FM_HW/_al_u1921_o ;
wire \FM_HW/_al_u1922_o ;
wire \FM_HW/_al_u1923_o ;
wire \FM_HW/_al_u1924_o ;
wire \FM_HW/_al_u1925_o ;
wire \FM_HW/_al_u1926_o ;
wire \FM_HW/_al_u1927_o ;
wire \FM_HW/_al_u1928_o ;
wire \FM_HW/_al_u1929_o ;
wire \FM_HW/_al_u1930_o ;
wire \FM_HW/_al_u1931_o ;
wire \FM_HW/_al_u1932_o ;
wire \FM_HW/_al_u1933_o ;
wire \FM_HW/_al_u1934_o ;
wire \FM_HW/_al_u1935_o ;
wire \FM_HW/_al_u1936_o ;
wire \FM_HW/_al_u1937_o ;
wire \FM_HW/_al_u1938_o ;
wire \FM_HW/_al_u1939_o ;
wire \FM_HW/_al_u1940_o ;
wire \FM_HW/_al_u1942_o ;
wire \FM_HW/_al_u1944_o ;
wire \FM_HW/_al_u1945_o ;
wire \FM_HW/_al_u1946_o ;
wire \FM_HW/_al_u1947_o ;
wire \FM_HW/_al_u1948_o ;
wire \FM_HW/_al_u1949_o ;
wire \FM_HW/_al_u1950_o ;
wire \FM_HW/_al_u1951_o ;
wire \FM_HW/_al_u1953_o ;
wire \FM_HW/_al_u1954_o ;
wire \FM_HW/_al_u1955_o ;
wire \FM_HW/_al_u1956_o ;
wire \FM_HW/_al_u1958_o ;
wire \FM_HW/_al_u1960_o ;
wire \FM_HW/_al_u1961_o ;
wire \FM_HW/_al_u1963_o ;
wire \FM_HW/_al_u1964_o ;
wire \FM_HW/_al_u1965_o ;
wire \FM_HW/_al_u1966_o ;
wire \FM_HW/_al_u1967_o ;
wire \FM_HW/_al_u1968_o ;
wire \FM_HW/_al_u1969_o ;
wire \FM_HW/_al_u1970_o ;
wire \FM_HW/_al_u1971_o ;
wire \FM_HW/_al_u1972_o ;
wire \FM_HW/_al_u1973_o ;
wire \FM_HW/_al_u1974_o ;
wire \FM_HW/_al_u1975_o ;
wire \FM_HW/_al_u1976_o ;
wire \FM_HW/_al_u1977_o ;
wire \FM_HW/_al_u1978_o ;
wire \FM_HW/_al_u1979_o ;
wire \FM_HW/_al_u1980_o ;
wire \FM_HW/_al_u1981_o ;
wire \FM_HW/_al_u1982_o ;
wire \FM_HW/_al_u1983_o ;
wire \FM_HW/_al_u1984_o ;
wire \FM_HW/_al_u1985_o ;
wire \FM_HW/_al_u1986_o ;
wire \FM_HW/_al_u1987_o ;
wire \FM_HW/_al_u1988_o ;
wire \FM_HW/_al_u1989_o ;
wire \FM_HW/_al_u1990_o ;
wire \FM_HW/_al_u1991_o ;
wire \FM_HW/_al_u1992_o ;
wire \FM_HW/_al_u1993_o ;
wire \FM_HW/_al_u1994_o ;
wire \FM_HW/_al_u1995_o ;
wire \FM_HW/_al_u1997_o ;
wire \FM_HW/_al_u1998_o ;
wire \FM_HW/_al_u1999_o ;
wire \FM_HW/_al_u2000_o ;
wire \FM_HW/_al_u2002_o ;
wire \FM_HW/_al_u2003_o ;
wire \FM_HW/_al_u2005_o ;
wire \FM_HW/_al_u2006_o ;
wire \FM_HW/_al_u2007_o ;
wire \FM_HW/_al_u2008_o ;
wire \FM_HW/_al_u2010_o ;
wire \FM_HW/_al_u2012_o ;
wire \FM_HW/_al_u2013_o ;
wire \FM_HW/_al_u2014_o ;
wire \FM_HW/_al_u2015_o ;
wire \FM_HW/_al_u2016_o ;
wire \FM_HW/_al_u2017_o ;
wire \FM_HW/_al_u2018_o ;
wire \FM_HW/_al_u2019_o ;
wire \FM_HW/_al_u2020_o ;
wire \FM_HW/_al_u2021_o ;
wire \FM_HW/_al_u2022_o ;
wire \FM_HW/_al_u2023_o ;
wire \FM_HW/_al_u2024_o ;
wire \FM_HW/_al_u2025_o ;
wire \FM_HW/_al_u2026_o ;
wire \FM_HW/_al_u2027_o ;
wire \FM_HW/_al_u2028_o ;
wire \FM_HW/_al_u2029_o ;
wire \FM_HW/_al_u2031_o ;
wire \FM_HW/_al_u2032_o ;
wire \FM_HW/_al_u2034_o ;
wire \FM_HW/_al_u2035_o ;
wire \FM_HW/_al_u2036_o ;
wire \FM_HW/_al_u2038_o ;
wire \FM_HW/_al_u2040_o ;
wire \FM_HW/_al_u2042_o ;
wire \FM_HW/_al_u2044_o ;
wire \FM_HW/_al_u2045_o ;
wire \FM_HW/_al_u2046_o ;
wire \FM_HW/_al_u2047_o ;
wire \FM_HW/_al_u2049_o ;
wire \FM_HW/_al_u2051_o ;
wire \FM_HW/_al_u2052_o ;
wire \FM_HW/_al_u2054_o ;
wire \FM_HW/_al_u2055_o ;
wire \FM_HW/_al_u2057_o ;
wire \FM_HW/_al_u2059_o ;
wire \FM_HW/_al_u2061_o ;
wire \FM_HW/_al_u2063_o ;
wire \FM_HW/_al_u2064_o ;
wire \FM_HW/_al_u2066_o ;
wire \FM_HW/_al_u2068_o ;
wire \FM_HW/_al_u2069_o ;
wire \FM_HW/_al_u2071_o ;
wire \FM_HW/_al_u2072_o ;
wire \FM_HW/_al_u2073_o ;
wire \FM_HW/_al_u2074_o ;
wire \FM_HW/_al_u2075_o ;
wire \FM_HW/_al_u2076_o ;
wire \FM_HW/_al_u2077_o ;
wire \FM_HW/_al_u2078_o ;
wire \FM_HW/_al_u2079_o ;
wire \FM_HW/_al_u2080_o ;
wire \FM_HW/_al_u2081_o ;
wire \FM_HW/_al_u2082_o ;
wire \FM_HW/_al_u2083_o ;
wire \FM_HW/_al_u2084_o ;
wire \FM_HW/_al_u2085_o ;
wire \FM_HW/_al_u2086_o ;
wire \FM_HW/_al_u2087_o ;
wire \FM_HW/_al_u2088_o ;
wire \FM_HW/_al_u2089_o ;
wire \FM_HW/_al_u2090_o ;
wire \FM_HW/_al_u2092_o ;
wire \FM_HW/_al_u2094_o ;
wire \FM_HW/_al_u2095_o ;
wire \FM_HW/_al_u2097_o ;
wire \FM_HW/_al_u2099_o ;
wire \FM_HW/_al_u2100_o ;
wire \FM_HW/_al_u2101_o ;
wire \FM_HW/_al_u2102_o ;
wire \FM_HW/_al_u2103_o ;
wire \FM_HW/_al_u2104_o ;
wire \FM_HW/_al_u2105_o ;
wire \FM_HW/_al_u2106_o ;
wire \FM_HW/_al_u2107_o ;
wire \FM_HW/_al_u2108_o ;
wire \FM_HW/_al_u2109_o ;
wire \FM_HW/_al_u2110_o ;
wire \FM_HW/_al_u2111_o ;
wire \FM_HW/_al_u2112_o ;
wire \FM_HW/_al_u2114_o ;
wire \FM_HW/_al_u2117_o ;
wire \FM_HW/_al_u2119_o ;
wire \FM_HW/_al_u2120_o ;
wire \FM_HW/_al_u2121_o ;
wire \FM_HW/_al_u2123_o ;
wire \FM_HW/_al_u2124_o ;
wire \FM_HW/_al_u2125_o ;
wire \FM_HW/_al_u2126_o ;
wire \FM_HW/_al_u2127_o ;
wire \FM_HW/_al_u2128_o ;
wire \FM_HW/_al_u2129_o ;
wire \FM_HW/_al_u2130_o ;
wire \FM_HW/_al_u2131_o ;
wire \FM_HW/_al_u2132_o ;
wire \FM_HW/_al_u2133_o ;
wire \FM_HW/_al_u2134_o ;
wire \FM_HW/_al_u2135_o ;
wire \FM_HW/_al_u2136_o ;
wire \FM_HW/_al_u2137_o ;
wire \FM_HW/_al_u2138_o ;
wire \FM_HW/_al_u2139_o ;
wire \FM_HW/_al_u2140_o ;
wire \FM_HW/_al_u2141_o ;
wire \FM_HW/_al_u2142_o ;
wire \FM_HW/_al_u2143_o ;
wire \FM_HW/_al_u2144_o ;
wire \FM_HW/_al_u2145_o ;
wire \FM_HW/_al_u2146_o ;
wire \FM_HW/_al_u2147_o ;
wire \FM_HW/_al_u2148_o ;
wire \FM_HW/_al_u2149_o ;
wire \FM_HW/_al_u2150_o ;
wire \FM_HW/_al_u2151_o ;
wire \FM_HW/_al_u2152_o ;
wire \FM_HW/_al_u2153_o ;
wire \FM_HW/_al_u2154_o ;
wire \FM_HW/_al_u2155_o ;
wire \FM_HW/_al_u2156_o ;
wire \FM_HW/_al_u2157_o ;
wire \FM_HW/_al_u2158_o ;
wire \FM_HW/_al_u2159_o ;
wire \FM_HW/_al_u2160_o ;
wire \FM_HW/_al_u2161_o ;
wire \FM_HW/_al_u2162_o ;
wire \FM_HW/_al_u2163_o ;
wire \FM_HW/_al_u2164_o ;
wire \FM_HW/_al_u2165_o ;
wire \FM_HW/_al_u2166_o ;
wire \FM_HW/_al_u2167_o ;
wire \FM_HW/_al_u2168_o ;
wire \FM_HW/_al_u2169_o ;
wire \FM_HW/_al_u2170_o ;
wire \FM_HW/_al_u2171_o ;
wire \FM_HW/_al_u2172_o ;
wire \FM_HW/_al_u2173_o ;
wire \FM_HW/_al_u2174_o ;
wire \FM_HW/_al_u2175_o ;
wire \FM_HW/_al_u2176_o ;
wire \FM_HW/_al_u2177_o ;
wire \FM_HW/_al_u2178_o ;
wire \FM_HW/_al_u2179_o ;
wire \FM_HW/_al_u2180_o ;
wire \FM_HW/_al_u2181_o ;
wire \FM_HW/_al_u2182_o ;
wire \FM_HW/_al_u2183_o ;
wire \FM_HW/_al_u2184_o ;
wire \FM_HW/_al_u2185_o ;
wire \FM_HW/_al_u2186_o ;
wire \FM_HW/_al_u2187_o ;
wire \FM_HW/_al_u2188_o ;
wire \FM_HW/_al_u2189_o ;
wire \FM_HW/_al_u2190_o ;
wire \FM_HW/_al_u2191_o ;
wire \FM_HW/_al_u2192_o ;
wire \FM_HW/_al_u2193_o ;
wire \FM_HW/_al_u2194_o ;
wire \FM_HW/_al_u2196_o ;
wire \FM_HW/_al_u2198_o ;
wire \FM_HW/_al_u2199_o ;
wire \FM_HW/_al_u2201_o ;
wire \FM_HW/_al_u2203_o ;
wire \FM_HW/_al_u2204_o ;
wire \FM_HW/_al_u2206_o ;
wire \FM_HW/_al_u2208_o ;
wire \FM_HW/_al_u2209_o ;
wire \FM_HW/_al_u2211_o ;
wire \FM_HW/_al_u2213_o ;
wire \FM_HW/_al_u2215_o ;
wire \FM_HW/_al_u2216_o ;
wire \FM_HW/_al_u2218_o ;
wire \FM_HW/_al_u2219_o ;
wire \FM_HW/_al_u2221_o ;
wire \FM_HW/_al_u2222_o ;
wire \FM_HW/_al_u2223_o ;
wire \FM_HW/_al_u2224_o ;
wire \FM_HW/_al_u2225_o ;
wire \FM_HW/_al_u2226_o ;
wire \FM_HW/_al_u2227_o ;
wire \FM_HW/_al_u2228_o ;
wire \FM_HW/_al_u2229_o ;
wire \FM_HW/_al_u2230_o ;
wire \FM_HW/_al_u2231_o ;
wire \FM_HW/_al_u2232_o ;
wire \FM_HW/_al_u2233_o ;
wire \FM_HW/_al_u2234_o ;
wire \FM_HW/_al_u2235_o ;
wire \FM_HW/_al_u2236_o ;
wire \FM_HW/_al_u2237_o ;
wire \FM_HW/_al_u2238_o ;
wire \FM_HW/_al_u2239_o ;
wire \FM_HW/_al_u2240_o ;
wire \FM_HW/_al_u2241_o ;
wire \FM_HW/_al_u2242_o ;
wire \FM_HW/_al_u2243_o ;
wire \FM_HW/_al_u2244_o ;
wire \FM_HW/_al_u2245_o ;
wire \FM_HW/_al_u2246_o ;
wire \FM_HW/_al_u2247_o ;
wire \FM_HW/_al_u2248_o ;
wire \FM_HW/_al_u2249_o ;
wire \FM_HW/_al_u2250_o ;
wire \FM_HW/_al_u2251_o ;
wire \FM_HW/_al_u2252_o ;
wire \FM_HW/_al_u2253_o ;
wire \FM_HW/_al_u2254_o ;
wire \FM_HW/_al_u2255_o ;
wire \FM_HW/_al_u2256_o ;
wire \FM_HW/_al_u2257_o ;
wire \FM_HW/_al_u2258_o ;
wire \FM_HW/_al_u2259_o ;
wire \FM_HW/_al_u2260_o ;
wire \FM_HW/_al_u2261_o ;
wire \FM_HW/_al_u2262_o ;
wire \FM_HW/_al_u2263_o ;
wire \FM_HW/_al_u2264_o ;
wire \FM_HW/_al_u2265_o ;
wire \FM_HW/_al_u2266_o ;
wire \FM_HW/_al_u2267_o ;
wire \FM_HW/_al_u2268_o ;
wire \FM_HW/_al_u2269_o ;
wire \FM_HW/_al_u2270_o ;
wire \FM_HW/_al_u2271_o ;
wire \FM_HW/_al_u2272_o ;
wire \FM_HW/_al_u2273_o ;
wire \FM_HW/_al_u2274_o ;
wire \FM_HW/_al_u2275_o ;
wire \FM_HW/_al_u2276_o ;
wire \FM_HW/_al_u2277_o ;
wire \FM_HW/_al_u2278_o ;
wire \FM_HW/_al_u2279_o ;
wire \FM_HW/_al_u2280_o ;
wire \FM_HW/_al_u2281_o ;
wire \FM_HW/_al_u2282_o ;
wire \FM_HW/_al_u2283_o ;
wire \FM_HW/_al_u2284_o ;
wire \FM_HW/_al_u2285_o ;
wire \FM_HW/_al_u2286_o ;
wire \FM_HW/_al_u2287_o ;
wire \FM_HW/_al_u2288_o ;
wire \FM_HW/_al_u2289_o ;
wire \FM_HW/_al_u2290_o ;
wire \FM_HW/_al_u2292_o ;
wire \FM_HW/_al_u2294_o ;
wire \FM_HW/_al_u2295_o ;
wire \FM_HW/_al_u2296_o ;
wire \FM_HW/_al_u2297_o ;
wire \FM_HW/_al_u2299_o ;
wire \FM_HW/_al_u2300_o ;
wire \FM_HW/_al_u2301_o ;
wire \FM_HW/_al_u2302_o ;
wire \FM_HW/_al_u2303_o ;
wire \FM_HW/_al_u2304_o ;
wire \FM_HW/_al_u2305_o ;
wire \FM_HW/_al_u2307_o ;
wire \FM_HW/_al_u2308_o ;
wire \FM_HW/_al_u2309_o ;
wire \FM_HW/_al_u2310_o ;
wire \FM_HW/_al_u2311_o ;
wire \FM_HW/_al_u2312_o ;
wire \FM_HW/_al_u2313_o ;
wire \FM_HW/_al_u2314_o ;
wire \FM_HW/_al_u2315_o ;
wire \FM_HW/_al_u2316_o ;
wire \FM_HW/_al_u2317_o ;
wire \FM_HW/_al_u2318_o ;
wire \FM_HW/_al_u2319_o ;
wire \FM_HW/_al_u2320_o ;
wire \FM_HW/_al_u2321_o ;
wire \FM_HW/_al_u2322_o ;
wire \FM_HW/_al_u2323_o ;
wire \FM_HW/_al_u2324_o ;
wire \FM_HW/_al_u2325_o ;
wire \FM_HW/_al_u2326_o ;
wire \FM_HW/_al_u2327_o ;
wire \FM_HW/_al_u2328_o ;
wire \FM_HW/_al_u2329_o ;
wire \FM_HW/_al_u2330_o ;
wire \FM_HW/_al_u2331_o ;
wire \FM_HW/_al_u2332_o ;
wire \FM_HW/_al_u2333_o ;
wire \FM_HW/_al_u2334_o ;
wire \FM_HW/_al_u2335_o ;
wire \FM_HW/_al_u2336_o ;
wire \FM_HW/_al_u2337_o ;
wire \FM_HW/_al_u2338_o ;
wire \FM_HW/_al_u2339_o ;
wire \FM_HW/_al_u2340_o ;
wire \FM_HW/_al_u2341_o ;
wire \FM_HW/_al_u2342_o ;
wire \FM_HW/_al_u2343_o ;
wire \FM_HW/_al_u2344_o ;
wire \FM_HW/_al_u2345_o ;
wire \FM_HW/_al_u2346_o ;
wire \FM_HW/_al_u2347_o ;
wire \FM_HW/_al_u2348_o ;
wire \FM_HW/_al_u2349_o ;
wire \FM_HW/_al_u2350_o ;
wire \FM_HW/_al_u2351_o ;
wire \FM_HW/_al_u2352_o ;
wire \FM_HW/_al_u2353_o ;
wire \FM_HW/_al_u2354_o ;
wire \FM_HW/_al_u2355_o ;
wire \FM_HW/_al_u2356_o ;
wire \FM_HW/_al_u2357_o ;
wire \FM_HW/_al_u2358_o ;
wire \FM_HW/_al_u2359_o ;
wire \FM_HW/_al_u2360_o ;
wire \FM_HW/_al_u2361_o ;
wire \FM_HW/_al_u2362_o ;
wire \FM_HW/_al_u2363_o ;
wire \FM_HW/_al_u2364_o ;
wire \FM_HW/_al_u2365_o ;
wire \FM_HW/_al_u2366_o ;
wire \FM_HW/_al_u2367_o ;
wire \FM_HW/_al_u2368_o ;
wire \FM_HW/_al_u2369_o ;
wire \FM_HW/_al_u2370_o ;
wire \FM_HW/_al_u2371_o ;
wire \FM_HW/_al_u2372_o ;
wire \FM_HW/_al_u2373_o ;
wire \FM_HW/_al_u2374_o ;
wire \FM_HW/_al_u2375_o ;
wire \FM_HW/_al_u2376_o ;
wire \FM_HW/_al_u2377_o ;
wire \FM_HW/_al_u2378_o ;
wire \FM_HW/_al_u2380_o ;
wire \FM_HW/_al_u2382_o ;
wire \FM_HW/_al_u2383_o ;
wire \FM_HW/_al_u2384_o ;
wire \FM_HW/_al_u2385_o ;
wire \FM_HW/_al_u2387_o ;
wire \FM_HW/_al_u2388_o ;
wire \FM_HW/_al_u2390_o ;
wire \FM_HW/_al_u2391_o ;
wire \FM_HW/_al_u2392_o ;
wire \FM_HW/_al_u2393_o ;
wire \FM_HW/_al_u2394_o ;
wire \FM_HW/_al_u2396_o ;
wire \FM_HW/_al_u2398_o ;
wire \FM_HW/_al_u2399_o ;
wire \FM_HW/_al_u2401_o ;
wire \FM_HW/_al_u2403_o ;
wire \FM_HW/_al_u2404_o ;
wire \FM_HW/_al_u2405_o ;
wire \FM_HW/_al_u2407_o ;
wire \FM_HW/_al_u2409_o ;
wire \FM_HW/_al_u2410_o ;
wire \FM_HW/_al_u2412_o ;
wire \FM_HW/_al_u2413_o ;
wire \FM_HW/_al_u2414_o ;
wire \FM_HW/_al_u2415_o ;
wire \FM_HW/_al_u2416_o ;
wire \FM_HW/_al_u2418_o ;
wire \FM_HW/_al_u2419_o ;
wire \FM_HW/_al_u2420_o ;
wire \FM_HW/_al_u2421_o ;
wire \FM_HW/_al_u2423_o ;
wire \FM_HW/_al_u2424_o ;
wire \FM_HW/_al_u2425_o ;
wire \FM_HW/_al_u2426_o ;
wire \FM_HW/_al_u2427_o ;
wire \FM_HW/_al_u2428_o ;
wire \FM_HW/_al_u2429_o ;
wire \FM_HW/_al_u2430_o ;
wire \FM_HW/_al_u2431_o ;
wire \FM_HW/_al_u2432_o ;
wire \FM_HW/_al_u2433_o ;
wire \FM_HW/_al_u2434_o ;
wire \FM_HW/_al_u2435_o ;
wire \FM_HW/_al_u2436_o ;
wire \FM_HW/_al_u2437_o ;
wire \FM_HW/_al_u2438_o ;
wire \FM_HW/_al_u2439_o ;
wire \FM_HW/_al_u2441_o ;
wire \FM_HW/_al_u2442_o ;
wire \FM_HW/_al_u2443_o ;
wire \FM_HW/_al_u2444_o ;
wire \FM_HW/_al_u2446_o ;
wire \FM_HW/_al_u2448_o ;
wire \FM_HW/_al_u2449_o ;
wire \FM_HW/_al_u2450_o ;
wire \FM_HW/_al_u2451_o ;
wire \FM_HW/_al_u2452_o ;
wire \FM_HW/_al_u2453_o ;
wire \FM_HW/_al_u2454_o ;
wire \FM_HW/_al_u2455_o ;
wire \FM_HW/_al_u2456_o ;
wire \FM_HW/_al_u2457_o ;
wire \FM_HW/_al_u2458_o ;
wire \FM_HW/_al_u2459_o ;
wire \FM_HW/_al_u2460_o ;
wire \FM_HW/_al_u2461_o ;
wire \FM_HW/_al_u2462_o ;
wire \FM_HW/_al_u2463_o ;
wire \FM_HW/_al_u2464_o ;
wire \FM_HW/_al_u2465_o ;
wire \FM_HW/_al_u2466_o ;
wire \FM_HW/_al_u2468_o ;
wire \FM_HW/_al_u2469_o ;
wire \FM_HW/_al_u2470_o ;
wire \FM_HW/_al_u2471_o ;
wire \FM_HW/_al_u2472_o ;
wire \FM_HW/_al_u2473_o ;
wire \FM_HW/_al_u2474_o ;
wire \FM_HW/_al_u2475_o ;
wire \FM_HW/_al_u2476_o ;
wire \FM_HW/_al_u2477_o ;
wire \FM_HW/_al_u2478_o ;
wire \FM_HW/_al_u2479_o ;
wire \FM_HW/_al_u2480_o ;
wire \FM_HW/_al_u2481_o ;
wire \FM_HW/_al_u2482_o ;
wire \FM_HW/_al_u2483_o ;
wire \FM_HW/_al_u2484_o ;
wire \FM_HW/_al_u2485_o ;
wire \FM_HW/_al_u2486_o ;
wire \FM_HW/_al_u2487_o ;
wire \FM_HW/_al_u2488_o ;
wire \FM_HW/_al_u2489_o ;
wire \FM_HW/_al_u2490_o ;
wire \FM_HW/_al_u2492_o ;
wire \FM_HW/_al_u2493_o ;
wire \FM_HW/_al_u2494_o ;
wire \FM_HW/_al_u2495_o ;
wire \FM_HW/_al_u2496_o ;
wire \FM_HW/_al_u2497_o ;
wire \FM_HW/_al_u2498_o ;
wire \FM_HW/_al_u2499_o ;
wire \FM_HW/_al_u2500_o ;
wire \FM_HW/_al_u2501_o ;
wire \FM_HW/_al_u2502_o ;
wire \FM_HW/_al_u2503_o ;
wire \FM_HW/_al_u2504_o ;
wire \FM_HW/_al_u2505_o ;
wire \FM_HW/_al_u2506_o ;
wire \FM_HW/_al_u2507_o ;
wire \FM_HW/_al_u2508_o ;
wire \FM_HW/_al_u2509_o ;
wire \FM_HW/_al_u2510_o ;
wire \FM_HW/_al_u2511_o ;
wire \FM_HW/_al_u2512_o ;
wire \FM_HW/_al_u2513_o ;
wire \FM_HW/_al_u2514_o ;
wire \FM_HW/_al_u2515_o ;
wire \FM_HW/_al_u2516_o ;
wire \FM_HW/_al_u2517_o ;
wire \FM_HW/_al_u2518_o ;
wire \FM_HW/_al_u2519_o ;
wire \FM_HW/_al_u2520_o ;
wire \FM_HW/_al_u2521_o ;
wire \FM_HW/_al_u2522_o ;
wire \FM_HW/_al_u2523_o ;
wire \FM_HW/_al_u2524_o ;
wire \FM_HW/_al_u2525_o ;
wire \FM_HW/_al_u2526_o ;
wire \FM_HW/_al_u2527_o ;
wire \FM_HW/_al_u2528_o ;
wire \FM_HW/_al_u2529_o ;
wire \FM_HW/_al_u2530_o ;
wire \FM_HW/_al_u2531_o ;
wire \FM_HW/_al_u2532_o ;
wire \FM_HW/_al_u2533_o ;
wire \FM_HW/_al_u2534_o ;
wire \FM_HW/_al_u2535_o ;
wire \FM_HW/_al_u2536_o ;
wire \FM_HW/_al_u2537_o ;
wire \FM_HW/_al_u2538_o ;
wire \FM_HW/_al_u2540_o ;
wire \FM_HW/_al_u2542_o ;
wire \FM_HW/_al_u2544_o ;
wire \FM_HW/_al_u2546_o ;
wire \FM_HW/_al_u2547_o ;
wire \FM_HW/_al_u2548_o ;
wire \FM_HW/_al_u2549_o ;
wire \FM_HW/_al_u2550_o ;
wire \FM_HW/_al_u2551_o ;
wire \FM_HW/_al_u2552_o ;
wire \FM_HW/_al_u2553_o ;
wire \FM_HW/_al_u2554_o ;
wire \FM_HW/_al_u2555_o ;
wire \FM_HW/_al_u2556_o ;
wire \FM_HW/_al_u2557_o ;
wire \FM_HW/_al_u2558_o ;
wire \FM_HW/_al_u2560_o ;
wire \FM_HW/_al_u2562_o ;
wire \FM_HW/_al_u2563_o ;
wire \FM_HW/_al_u2565_o ;
wire \FM_HW/_al_u2567_o ;
wire \FM_HW/_al_u2568_o ;
wire \FM_HW/_al_u2570_o ;
wire \FM_HW/_al_u2572_o ;
wire \FM_HW/_al_u2573_o ;
wire \FM_HW/_al_u2575_o ;
wire \FM_HW/_al_u2576_o ;
wire \FM_HW/_al_u2577_o ;
wire \FM_HW/_al_u2579_o ;
wire \FM_HW/_al_u2580_o ;
wire \FM_HW/_al_u2581_o ;
wire \FM_HW/_al_u2582_o ;
wire \FM_HW/_al_u2583_o ;
wire \FM_HW/_al_u2584_o ;
wire \FM_HW/_al_u2585_o ;
wire \FM_HW/_al_u2586_o ;
wire \FM_HW/_al_u2587_o ;
wire \FM_HW/_al_u2588_o ;
wire \FM_HW/_al_u2589_o ;
wire \FM_HW/_al_u2591_o ;
wire \FM_HW/_al_u2592_o ;
wire \FM_HW/_al_u2593_o ;
wire \FM_HW/_al_u2594_o ;
wire \FM_HW/_al_u2596_o ;
wire \FM_HW/_al_u2598_o ;
wire \FM_HW/_al_u2599_o ;
wire \FM_HW/_al_u2600_o ;
wire \FM_HW/_al_u2601_o ;
wire \FM_HW/_al_u2602_o ;
wire \FM_HW/_al_u2603_o ;
wire \FM_HW/_al_u2604_o ;
wire \FM_HW/_al_u2605_o ;
wire \FM_HW/_al_u2606_o ;
wire \FM_HW/_al_u2607_o ;
wire \FM_HW/_al_u2608_o ;
wire \FM_HW/_al_u2609_o ;
wire \FM_HW/_al_u2610_o ;
wire \FM_HW/_al_u2611_o ;
wire \FM_HW/_al_u2612_o ;
wire \FM_HW/_al_u2613_o ;
wire \FM_HW/_al_u2614_o ;
wire \FM_HW/_al_u2615_o ;
wire \FM_HW/_al_u2616_o ;
wire \FM_HW/_al_u2617_o ;
wire \FM_HW/_al_u2618_o ;
wire \FM_HW/_al_u2619_o ;
wire \FM_HW/_al_u2620_o ;
wire \FM_HW/_al_u2621_o ;
wire \FM_HW/_al_u2622_o ;
wire \FM_HW/_al_u2623_o ;
wire \FM_HW/_al_u2624_o ;
wire \FM_HW/_al_u2625_o ;
wire \FM_HW/_al_u2626_o ;
wire \FM_HW/_al_u2627_o ;
wire \FM_HW/_al_u2628_o ;
wire \FM_HW/_al_u2629_o ;
wire \FM_HW/_al_u2630_o ;
wire \FM_HW/_al_u2631_o ;
wire \FM_HW/_al_u2632_o ;
wire \FM_HW/_al_u2633_o ;
wire \FM_HW/_al_u2634_o ;
wire \FM_HW/_al_u2635_o ;
wire \FM_HW/_al_u2637_o ;
wire \FM_HW/_al_u2638_o ;
wire \FM_HW/_al_u2640_o ;
wire \FM_HW/_al_u2641_o ;
wire \FM_HW/_al_u2642_o ;
wire \FM_HW/_al_u2643_o ;
wire \FM_HW/_al_u2645_o ;
wire \FM_HW/_al_u2648_o ;
wire \FM_HW/_al_u2650_o ;
wire \FM_HW/_al_u2653_o ;
wire \FM_HW/_al_u2654_o ;
wire \FM_HW/_al_u2655_o ;
wire \FM_HW/_al_u2656_o ;
wire \FM_HW/_al_u2657_o ;
wire \FM_HW/_al_u2658_o ;
wire \FM_HW/_al_u2659_o ;
wire \FM_HW/_al_u2660_o ;
wire \FM_HW/_al_u2661_o ;
wire \FM_HW/_al_u2662_o ;
wire \FM_HW/_al_u2663_o ;
wire \FM_HW/_al_u2664_o ;
wire \FM_HW/_al_u2665_o ;
wire \FM_HW/_al_u2666_o ;
wire \FM_HW/_al_u2668_o ;
wire \FM_HW/_al_u2670_o ;
wire \FM_HW/_al_u2671_o ;
wire \FM_HW/_al_u2673_o ;
wire \FM_HW/_al_u2675_o ;
wire \FM_HW/_al_u2676_o ;
wire \FM_HW/_al_u2677_o ;
wire \FM_HW/_al_u2678_o ;
wire \FM_HW/_al_u2679_o ;
wire \FM_HW/_al_u2680_o ;
wire \FM_HW/_al_u2681_o ;
wire \FM_HW/_al_u2682_o ;
wire \FM_HW/_al_u2683_o ;
wire \FM_HW/_al_u2684_o ;
wire \FM_HW/_al_u2685_o ;
wire \FM_HW/_al_u2686_o ;
wire \FM_HW/_al_u2687_o ;
wire \FM_HW/_al_u2688_o ;
wire \FM_HW/_al_u2689_o ;
wire \FM_HW/_al_u2690_o ;
wire \FM_HW/_al_u2691_o ;
wire \FM_HW/_al_u2692_o ;
wire \FM_HW/_al_u2693_o ;
wire \FM_HW/_al_u2694_o ;
wire \FM_HW/_al_u2695_o ;
wire \FM_HW/_al_u2696_o ;
wire \FM_HW/_al_u2697_o ;
wire \FM_HW/_al_u2698_o ;
wire \FM_HW/_al_u2699_o ;
wire \FM_HW/_al_u2700_o ;
wire \FM_HW/_al_u2701_o ;
wire \FM_HW/_al_u2702_o ;
wire \FM_HW/_al_u2703_o ;
wire \FM_HW/_al_u2704_o ;
wire \FM_HW/_al_u2705_o ;
wire \FM_HW/_al_u2706_o ;
wire \FM_HW/_al_u2707_o ;
wire \FM_HW/_al_u2708_o ;
wire \FM_HW/_al_u2709_o ;
wire \FM_HW/_al_u2710_o ;
wire \FM_HW/_al_u2711_o ;
wire \FM_HW/_al_u2712_o ;
wire \FM_HW/_al_u2713_o ;
wire \FM_HW/_al_u2714_o ;
wire \FM_HW/_al_u2715_o ;
wire \FM_HW/_al_u2716_o ;
wire \FM_HW/_al_u2717_o ;
wire \FM_HW/_al_u2718_o ;
wire \FM_HW/_al_u2719_o ;
wire \FM_HW/_al_u2720_o ;
wire \FM_HW/_al_u2721_o ;
wire \FM_HW/_al_u2722_o ;
wire \FM_HW/_al_u2723_o ;
wire \FM_HW/_al_u2724_o ;
wire \FM_HW/_al_u2725_o ;
wire \FM_HW/_al_u2726_o ;
wire \FM_HW/_al_u2727_o ;
wire \FM_HW/_al_u2729_o ;
wire \FM_HW/_al_u2730_o ;
wire \FM_HW/_al_u2731_o ;
wire \FM_HW/_al_u2732_o ;
wire \FM_HW/_al_u2733_o ;
wire \FM_HW/_al_u2734_o ;
wire \FM_HW/_al_u2735_o ;
wire \FM_HW/_al_u2736_o ;
wire \FM_HW/_al_u2737_o ;
wire \FM_HW/_al_u2738_o ;
wire \FM_HW/_al_u2739_o ;
wire \FM_HW/_al_u2740_o ;
wire \FM_HW/_al_u2741_o ;
wire \FM_HW/_al_u2742_o ;
wire \FM_HW/_al_u2743_o ;
wire \FM_HW/_al_u2744_o ;
wire \FM_HW/_al_u2745_o ;
wire \FM_HW/_al_u2746_o ;
wire \FM_HW/_al_u2747_o ;
wire \FM_HW/_al_u2748_o ;
wire \FM_HW/_al_u2749_o ;
wire \FM_HW/_al_u2750_o ;
wire \FM_HW/_al_u2751_o ;
wire \FM_HW/_al_u2752_o ;
wire \FM_HW/_al_u2753_o ;
wire \FM_HW/_al_u2754_o ;
wire \FM_HW/_al_u2755_o ;
wire \FM_HW/_al_u2756_o ;
wire \FM_HW/_al_u2757_o ;
wire \FM_HW/_al_u2758_o ;
wire \FM_HW/_al_u2759_o ;
wire \FM_HW/_al_u2760_o ;
wire \FM_HW/_al_u2762_o ;
wire \FM_HW/_al_u2763_o ;
wire \FM_HW/_al_u2764_o ;
wire \FM_HW/_al_u2765_o ;
wire \FM_HW/_al_u2767_o ;
wire \FM_HW/_al_u2769_o ;
wire \FM_HW/_al_u2770_o ;
wire \FM_HW/_al_u2771_o ;
wire \FM_HW/_al_u2772_o ;
wire \FM_HW/_al_u2773_o ;
wire \FM_HW/_al_u2774_o ;
wire \FM_HW/_al_u2775_o ;
wire \FM_HW/_al_u2776_o ;
wire \FM_HW/_al_u2777_o ;
wire \FM_HW/_al_u2778_o ;
wire \FM_HW/_al_u2779_o ;
wire \FM_HW/_al_u2780_o ;
wire \FM_HW/_al_u2781_o ;
wire \FM_HW/_al_u2782_o ;
wire \FM_HW/_al_u2783_o ;
wire \FM_HW/_al_u2784_o ;
wire \FM_HW/_al_u2785_o ;
wire \FM_HW/_al_u2786_o ;
wire \FM_HW/_al_u2787_o ;
wire \FM_HW/_al_u2788_o ;
wire \FM_HW/_al_u2789_o ;
wire \FM_HW/_al_u2790_o ;
wire \FM_HW/_al_u2791_o ;
wire \FM_HW/_al_u2792_o ;
wire \FM_HW/_al_u2793_o ;
wire \FM_HW/_al_u2794_o ;
wire \FM_HW/_al_u2795_o ;
wire \FM_HW/_al_u2796_o ;
wire \FM_HW/_al_u2797_o ;
wire \FM_HW/_al_u2798_o ;
wire \FM_HW/_al_u2799_o ;
wire \FM_HW/_al_u2800_o ;
wire \FM_HW/_al_u2801_o ;
wire \FM_HW/_al_u2802_o ;
wire \FM_HW/_al_u2803_o ;
wire \FM_HW/_al_u2804_o ;
wire \FM_HW/_al_u2805_o ;
wire \FM_HW/_al_u2806_o ;
wire \FM_HW/_al_u2807_o ;
wire \FM_HW/_al_u2809_o ;
wire \FM_HW/_al_u2811_o ;
wire \FM_HW/_al_u2812_o ;
wire \FM_HW/_al_u2813_o ;
wire \FM_HW/_al_u2814_o ;
wire \FM_HW/_al_u2815_o ;
wire \FM_HW/_al_u2816_o ;
wire \FM_HW/_al_u2818_o ;
wire \FM_HW/_al_u2819_o ;
wire \FM_HW/_al_u2821_o ;
wire \FM_HW/_al_u2823_o ;
wire \FM_HW/_al_u2824_o ;
wire \FM_HW/_al_u2825_o ;
wire \FM_HW/_al_u2827_o ;
wire \FM_HW/_al_u2829_o ;
wire \FM_HW/_al_u2830_o ;
wire \FM_HW/_al_u2832_o ;
wire \FM_HW/_al_u2834_o ;
wire \FM_HW/_al_u2835_o ;
wire \FM_HW/_al_u2836_o ;
wire \FM_HW/_al_u2838_o ;
wire \FM_HW/_al_u2840_o ;
wire \FM_HW/_al_u2841_o ;
wire \FM_HW/_al_u2843_o ;
wire \FM_HW/_al_u2844_o ;
wire \FM_HW/_al_u2845_o ;
wire \FM_HW/_al_u2846_o ;
wire \FM_HW/_al_u2847_o ;
wire \FM_HW/_al_u2848_o ;
wire \FM_HW/_al_u2849_o ;
wire \FM_HW/_al_u2850_o ;
wire \FM_HW/_al_u2851_o ;
wire \FM_HW/_al_u2852_o ;
wire \FM_HW/_al_u2853_o ;
wire \FM_HW/_al_u2854_o ;
wire \FM_HW/_al_u2855_o ;
wire \FM_HW/_al_u2856_o ;
wire \FM_HW/_al_u2857_o ;
wire \FM_HW/_al_u2858_o ;
wire \FM_HW/_al_u2859_o ;
wire \FM_HW/_al_u2860_o ;
wire \FM_HW/_al_u2861_o ;
wire \FM_HW/_al_u2862_o ;
wire \FM_HW/_al_u2863_o ;
wire \FM_HW/_al_u2864_o ;
wire \FM_HW/_al_u2865_o ;
wire \FM_HW/_al_u2866_o ;
wire \FM_HW/_al_u2867_o ;
wire \FM_HW/_al_u2868_o ;
wire \FM_HW/_al_u2869_o ;
wire \FM_HW/_al_u2870_o ;
wire \FM_HW/_al_u2872_o ;
wire \FM_HW/_al_u2874_o ;
wire \FM_HW/_al_u2876_o ;
wire \FM_HW/_al_u2877_o ;
wire \FM_HW/_al_u2879_o ;
wire \FM_HW/_al_u2880_o ;
wire \FM_HW/_al_u2881_o ;
wire \FM_HW/_al_u2882_o ;
wire \FM_HW/_al_u2883_o ;
wire \FM_HW/_al_u2884_o ;
wire \FM_HW/_al_u2885_o ;
wire \FM_HW/_al_u2886_o ;
wire \FM_HW/_al_u2887_o ;
wire \FM_HW/_al_u2888_o ;
wire \FM_HW/_al_u2889_o ;
wire \FM_HW/_al_u2890_o ;
wire \FM_HW/_al_u2891_o ;
wire \FM_HW/_al_u2892_o ;
wire \FM_HW/_al_u2893_o ;
wire \FM_HW/_al_u2894_o ;
wire \FM_HW/_al_u2895_o ;
wire \FM_HW/_al_u2896_o ;
wire \FM_HW/_al_u2897_o ;
wire \FM_HW/_al_u2898_o ;
wire \FM_HW/_al_u2899_o ;
wire \FM_HW/_al_u2900_o ;
wire \FM_HW/_al_u2901_o ;
wire \FM_HW/_al_u2903_o ;
wire \FM_HW/_al_u2904_o ;
wire \FM_HW/_al_u2905_o ;
wire \FM_HW/_al_u2906_o ;
wire \FM_HW/_al_u2907_o ;
wire \FM_HW/_al_u2908_o ;
wire \FM_HW/_al_u2909_o ;
wire \FM_HW/_al_u2910_o ;
wire \FM_HW/_al_u2911_o ;
wire \FM_HW/_al_u2913_o ;
wire \FM_HW/_al_u2914_o ;
wire \FM_HW/_al_u2915_o ;
wire \FM_HW/_al_u2917_o ;
wire \FM_HW/_al_u2919_o ;
wire \FM_HW/_al_u2920_o ;
wire \FM_HW/_al_u2922_o ;
wire \FM_HW/_al_u2923_o ;
wire \FM_HW/_al_u2924_o ;
wire \FM_HW/_al_u2926_o ;
wire \FM_HW/_al_u2928_o ;
wire \FM_HW/_al_u2929_o ;
wire \FM_HW/_al_u2931_o ;
wire \FM_HW/_al_u2933_o ;
wire \FM_HW/_al_u2934_o ;
wire \FM_HW/_al_u2936_o ;
wire \FM_HW/_al_u2937_o ;
wire \FM_HW/_al_u2938_o ;
wire \FM_HW/_al_u2939_o ;
wire \FM_HW/_al_u2940_o ;
wire \FM_HW/_al_u2941_o ;
wire \FM_HW/_al_u2943_o ;
wire \FM_HW/_al_u2944_o ;
wire \FM_HW/_al_u2945_o ;
wire \FM_HW/_al_u2946_o ;
wire \FM_HW/_al_u2947_o ;
wire \FM_HW/_al_u2948_o ;
wire \FM_HW/_al_u2949_o ;
wire \FM_HW/_al_u2950_o ;
wire \FM_HW/_al_u2951_o ;
wire \FM_HW/_al_u2952_o ;
wire \FM_HW/_al_u2953_o ;
wire \FM_HW/_al_u2954_o ;
wire \FM_HW/_al_u2955_o ;
wire \FM_HW/_al_u2956_o ;
wire \FM_HW/_al_u2957_o ;
wire \FM_HW/_al_u2958_o ;
wire \FM_HW/_al_u2959_o ;
wire \FM_HW/_al_u2960_o ;
wire \FM_HW/_al_u2961_o ;
wire \FM_HW/_al_u2962_o ;
wire \FM_HW/_al_u2963_o ;
wire \FM_HW/_al_u2964_o ;
wire \FM_HW/_al_u2965_o ;
wire \FM_HW/_al_u2966_o ;
wire \FM_HW/_al_u2968_o ;
wire \FM_HW/_al_u2969_o ;
wire \FM_HW/_al_u2970_o ;
wire \FM_HW/_al_u2971_o ;
wire \FM_HW/_al_u2972_o ;
wire \FM_HW/_al_u2973_o ;
wire \FM_HW/_al_u2974_o ;
wire \FM_HW/_al_u2975_o ;
wire \FM_HW/_al_u2976_o ;
wire \FM_HW/_al_u2978_o ;
wire \FM_HW/_al_u2979_o ;
wire \FM_HW/_al_u2980_o ;
wire \FM_HW/_al_u2982_o ;
wire \FM_HW/_al_u2983_o ;
wire \FM_HW/_al_u2985_o ;
wire \FM_HW/_al_u2986_o ;
wire \FM_HW/_al_u2987_o ;
wire \FM_HW/_al_u2988_o ;
wire \FM_HW/_al_u2989_o ;
wire \FM_HW/_al_u2990_o ;
wire \FM_HW/_al_u2991_o ;
wire \FM_HW/_al_u2992_o ;
wire \FM_HW/_al_u2993_o ;
wire \FM_HW/_al_u2994_o ;
wire \FM_HW/_al_u2995_o ;
wire \FM_HW/_al_u2996_o ;
wire \FM_HW/_al_u2997_o ;
wire \FM_HW/_al_u2998_o ;
wire \FM_HW/_al_u2999_o ;
wire \FM_HW/_al_u3000_o ;
wire \FM_HW/_al_u3001_o ;
wire \FM_HW/_al_u3002_o ;
wire \FM_HW/_al_u3003_o ;
wire \FM_HW/_al_u3004_o ;
wire \FM_HW/_al_u3005_o ;
wire \FM_HW/_al_u3006_o ;
wire \FM_HW/_al_u3007_o ;
wire \FM_HW/_al_u3008_o ;
wire \FM_HW/_al_u3010_o ;
wire \FM_HW/_al_u3011_o ;
wire \FM_HW/_al_u3012_o ;
wire \FM_HW/_al_u3013_o ;
wire \FM_HW/_al_u3014_o ;
wire \FM_HW/_al_u3015_o ;
wire \FM_HW/_al_u3016_o ;
wire \FM_HW/_al_u3017_o ;
wire \FM_HW/_al_u3018_o ;
wire \FM_HW/_al_u3019_o ;
wire \FM_HW/_al_u3020_o ;
wire \FM_HW/_al_u3022_o ;
wire \FM_HW/_al_u3023_o ;
wire \FM_HW/_al_u3024_o ;
wire \FM_HW/_al_u3025_o ;
wire \FM_HW/_al_u3026_o ;
wire \FM_HW/_al_u3027_o ;
wire \FM_HW/_al_u3029_o ;
wire \FM_HW/_al_u3031_o ;
wire \FM_HW/_al_u3032_o ;
wire \FM_HW/_al_u3033_o ;
wire \FM_HW/_al_u3034_o ;
wire \FM_HW/_al_u3035_o ;
wire \FM_HW/_al_u3036_o ;
wire \FM_HW/_al_u3037_o ;
wire \FM_HW/_al_u3038_o ;
wire \FM_HW/_al_u3039_o ;
wire \FM_HW/_al_u3040_o ;
wire \FM_HW/_al_u3041_o ;
wire \FM_HW/_al_u3042_o ;
wire \FM_HW/_al_u3043_o ;
wire \FM_HW/_al_u3044_o ;
wire \FM_HW/_al_u3045_o ;
wire \FM_HW/_al_u3046_o ;
wire \FM_HW/_al_u3047_o ;
wire \FM_HW/_al_u3048_o ;
wire \FM_HW/_al_u3049_o ;
wire \FM_HW/_al_u3050_o ;
wire \FM_HW/_al_u3051_o ;
wire \FM_HW/_al_u3052_o ;
wire \FM_HW/_al_u3053_o ;
wire \FM_HW/_al_u3054_o ;
wire \FM_HW/_al_u3055_o ;
wire \FM_HW/_al_u3056_o ;
wire \FM_HW/_al_u3057_o ;
wire \FM_HW/_al_u3058_o ;
wire \FM_HW/_al_u3059_o ;
wire \FM_HW/_al_u3060_o ;
wire \FM_HW/_al_u3061_o ;
wire \FM_HW/_al_u3063_o ;
wire \FM_HW/_al_u3064_o ;
wire \FM_HW/_al_u3065_o ;
wire \FM_HW/_al_u3066_o ;
wire \FM_HW/_al_u3068_o ;
wire \FM_HW/_al_u3070_o ;
wire \FM_HW/_al_u3071_o ;
wire \FM_HW/_al_u3072_o ;
wire \FM_HW/_al_u3074_o ;
wire \FM_HW/_al_u3076_o ;
wire \FM_HW/_al_u3078_o ;
wire \FM_HW/_al_u3080_o ;
wire \FM_HW/_al_u3082_o ;
wire \FM_HW/_al_u3084_o ;
wire \FM_HW/_al_u3086_o ;
wire \FM_HW/_al_u3088_o ;
wire \FM_HW/_al_u3089_o ;
wire \FM_HW/_al_u3090_o ;
wire \FM_HW/_al_u3091_o ;
wire \FM_HW/_al_u3092_o ;
wire \FM_HW/_al_u3093_o ;
wire \FM_HW/_al_u3094_o ;
wire \FM_HW/_al_u3095_o ;
wire \FM_HW/_al_u3096_o ;
wire \FM_HW/_al_u3097_o ;
wire \FM_HW/_al_u3098_o ;
wire \FM_HW/_al_u3099_o ;
wire \FM_HW/_al_u3100_o ;
wire \FM_HW/_al_u3101_o ;
wire \FM_HW/_al_u3102_o ;
wire \FM_HW/_al_u3103_o ;
wire \FM_HW/_al_u3104_o ;
wire \FM_HW/_al_u3105_o ;
wire \FM_HW/_al_u3106_o ;
wire \FM_HW/_al_u3107_o ;
wire \FM_HW/_al_u3108_o ;
wire \FM_HW/_al_u3109_o ;
wire \FM_HW/_al_u3110_o ;
wire \FM_HW/_al_u3111_o ;
wire \FM_HW/_al_u3112_o ;
wire \FM_HW/_al_u3113_o ;
wire \FM_HW/_al_u3114_o ;
wire \FM_HW/_al_u3115_o ;
wire \FM_HW/_al_u3116_o ;
wire \FM_HW/_al_u3118_o ;
wire \FM_HW/_al_u3121_o ;
wire \FM_HW/_al_u3123_o ;
wire \FM_HW/_al_u3126_o ;
wire \FM_HW/_al_u3127_o ;
wire \FM_HW/_al_u3128_o ;
wire \FM_HW/_al_u3129_o ;
wire \FM_HW/_al_u3130_o ;
wire \FM_HW/_al_u3131_o ;
wire \FM_HW/_al_u3132_o ;
wire \FM_HW/_al_u3133_o ;
wire \FM_HW/_al_u3134_o ;
wire \FM_HW/_al_u3135_o ;
wire \FM_HW/_al_u3136_o ;
wire \FM_HW/_al_u3137_o ;
wire \FM_HW/_al_u3138_o ;
wire \FM_HW/_al_u3139_o ;
wire \FM_HW/_al_u3140_o ;
wire \FM_HW/_al_u3141_o ;
wire \FM_HW/_al_u3142_o ;
wire \FM_HW/_al_u3143_o ;
wire \FM_HW/_al_u3144_o ;
wire \FM_HW/_al_u3145_o ;
wire \FM_HW/_al_u3146_o ;
wire \FM_HW/_al_u3147_o ;
wire \FM_HW/_al_u3148_o ;
wire \FM_HW/_al_u3149_o ;
wire \FM_HW/_al_u3150_o ;
wire \FM_HW/_al_u3151_o ;
wire \FM_HW/_al_u3152_o ;
wire \FM_HW/_al_u3153_o ;
wire \FM_HW/_al_u3155_o ;
wire \FM_HW/_al_u3158_o ;
wire \FM_HW/_al_u3159_o ;
wire \FM_HW/_al_u3160_o ;
wire \FM_HW/_al_u3162_o ;
wire \FM_HW/_al_u3164_o ;
wire \FM_HW/_al_u3165_o ;
wire \FM_HW/_al_u3167_o ;
wire \FM_HW/_al_u3169_o ;
wire \FM_HW/_al_u3170_o ;
wire \FM_HW/_al_u3172_o ;
wire \FM_HW/_al_u3174_o ;
wire \FM_HW/_al_u3175_o ;
wire \FM_HW/_al_u3177_o ;
wire \FM_HW/_al_u3179_o ;
wire \FM_HW/_al_u3180_o ;
wire \FM_HW/_al_u3182_o ;
wire \FM_HW/_al_u3183_o ;
wire \FM_HW/_al_u3184_o ;
wire \FM_HW/_al_u3185_o ;
wire \FM_HW/_al_u3187_o ;
wire \FM_HW/_al_u3189_o ;
wire \FM_HW/_al_u3190_o ;
wire \FM_HW/_al_u3191_o ;
wire \FM_HW/_al_u3192_o ;
wire \FM_HW/_al_u3193_o ;
wire \FM_HW/_al_u3194_o ;
wire \FM_HW/_al_u3195_o ;
wire \FM_HW/_al_u3196_o ;
wire \FM_HW/_al_u3197_o ;
wire \FM_HW/_al_u3198_o ;
wire \FM_HW/_al_u3199_o ;
wire \FM_HW/_al_u3200_o ;
wire \FM_HW/_al_u3201_o ;
wire \FM_HW/_al_u3202_o ;
wire \FM_HW/_al_u3203_o ;
wire \FM_HW/_al_u3204_o ;
wire \FM_HW/_al_u3205_o ;
wire \FM_HW/_al_u3206_o ;
wire \FM_HW/_al_u3207_o ;
wire \FM_HW/_al_u3208_o ;
wire \FM_HW/_al_u3209_o ;
wire \FM_HW/_al_u3210_o ;
wire \FM_HW/_al_u3211_o ;
wire \FM_HW/_al_u3212_o ;
wire \FM_HW/_al_u3213_o ;
wire \FM_HW/_al_u3215_o ;
wire \FM_HW/_al_u3217_o ;
wire \FM_HW/_al_u3218_o ;
wire \FM_HW/_al_u3220_o ;
wire \FM_HW/_al_u3222_o ;
wire \FM_HW/_al_u3223_o ;
wire \FM_HW/_al_u3224_o ;
wire \FM_HW/_al_u3225_o ;
wire \FM_HW/_al_u3226_o ;
wire \FM_HW/_al_u3227_o ;
wire \FM_HW/_al_u3228_o ;
wire \FM_HW/_al_u3229_o ;
wire \FM_HW/_al_u3230_o ;
wire \FM_HW/_al_u3231_o ;
wire \FM_HW/_al_u3232_o ;
wire \FM_HW/_al_u3233_o ;
wire \FM_HW/_al_u3234_o ;
wire \FM_HW/_al_u3236_o ;
wire \FM_HW/_al_u3238_o ;
wire \FM_HW/_al_u3239_o ;
wire \FM_HW/_al_u3240_o ;
wire \FM_HW/_al_u3241_o ;
wire \FM_HW/_al_u3242_o ;
wire \FM_HW/_al_u3243_o ;
wire \FM_HW/_al_u3244_o ;
wire \FM_HW/_al_u3245_o ;
wire \FM_HW/_al_u3246_o ;
wire \FM_HW/_al_u3248_o ;
wire \FM_HW/_al_u3250_o ;
wire \FM_HW/_al_u3251_o ;
wire \FM_HW/_al_u3253_o ;
wire \FM_HW/_al_u3255_o ;
wire \FM_HW/_al_u3256_o ;
wire \FM_HW/_al_u3257_o ;
wire \FM_HW/_al_u3258_o ;
wire \FM_HW/_al_u3259_o ;
wire \FM_HW/_al_u3260_o ;
wire \FM_HW/_al_u3261_o ;
wire \FM_HW/_al_u3262_o ;
wire \FM_HW/_al_u3263_o ;
wire \FM_HW/_al_u3264_o ;
wire \FM_HW/_al_u3265_o ;
wire \FM_HW/_al_u3266_o ;
wire \FM_HW/_al_u3267_o ;
wire \FM_HW/_al_u3268_o ;
wire \FM_HW/_al_u3270_o ;
wire \FM_HW/_al_u3272_o ;
wire \FM_HW/_al_u3274_o ;
wire \FM_HW/_al_u3275_o ;
wire \FM_HW/_al_u3276_o ;
wire \FM_HW/_al_u3278_o ;
wire \FM_HW/_al_u3279_o ;
wire \FM_HW/_al_u3280_o ;
wire \FM_HW/_al_u3281_o ;
wire \FM_HW/_al_u3282_o ;
wire \FM_HW/_al_u3283_o ;
wire \FM_HW/_al_u3284_o ;
wire \FM_HW/_al_u3285_o ;
wire \FM_HW/_al_u3286_o ;
wire \FM_HW/_al_u3287_o ;
wire \FM_HW/_al_u3288_o ;
wire \FM_HW/_al_u3289_o ;
wire \FM_HW/_al_u3290_o ;
wire \FM_HW/_al_u3291_o ;
wire \FM_HW/_al_u3292_o ;
wire \FM_HW/_al_u3293_o ;
wire \FM_HW/_al_u3294_o ;
wire \FM_HW/_al_u3295_o ;
wire \FM_HW/_al_u3296_o ;
wire \FM_HW/_al_u3297_o ;
wire \FM_HW/_al_u3298_o ;
wire \FM_HW/_al_u3299_o ;
wire \FM_HW/_al_u3300_o ;
wire \FM_HW/_al_u3301_o ;
wire \FM_HW/_al_u3302_o ;
wire \FM_HW/_al_u3303_o ;
wire \FM_HW/_al_u3304_o ;
wire \FM_HW/_al_u3305_o ;
wire \FM_HW/_al_u3306_o ;
wire \FM_HW/_al_u3307_o ;
wire \FM_HW/_al_u3308_o ;
wire \FM_HW/_al_u3309_o ;
wire \FM_HW/_al_u3310_o ;
wire \FM_HW/_al_u3312_o ;
wire \FM_HW/_al_u3313_o ;
wire \FM_HW/_al_u3314_o ;
wire \FM_HW/_al_u3315_o ;
wire \FM_HW/_al_u3316_o ;
wire \FM_HW/_al_u3317_o ;
wire \FM_HW/_al_u3318_o ;
wire \FM_HW/_al_u3319_o ;
wire \FM_HW/_al_u3320_o ;
wire \FM_HW/_al_u3321_o ;
wire \FM_HW/_al_u3323_o ;
wire \FM_HW/_al_u3325_o ;
wire \FM_HW/_al_u3326_o ;
wire \FM_HW/_al_u3328_o ;
wire \FM_HW/_al_u3330_o ;
wire \FM_HW/_al_u3331_o ;
wire \FM_HW/_al_u3332_o ;
wire \FM_HW/_al_u3333_o ;
wire \FM_HW/_al_u3334_o ;
wire \FM_HW/_al_u3335_o ;
wire \FM_HW/_al_u3336_o ;
wire \FM_HW/_al_u3337_o ;
wire \FM_HW/_al_u3338_o ;
wire \FM_HW/_al_u3339_o ;
wire \FM_HW/_al_u3340_o ;
wire \FM_HW/_al_u3341_o ;
wire \FM_HW/_al_u3342_o ;
wire \FM_HW/_al_u3343_o ;
wire \FM_HW/_al_u3345_o ;
wire \FM_HW/_al_u3347_o ;
wire \FM_HW/_al_u3348_o ;
wire \FM_HW/_al_u3350_o ;
wire \FM_HW/_al_u3352_o ;
wire \FM_HW/_al_u3353_o ;
wire \FM_HW/_al_u3354_o ;
wire \FM_HW/_al_u3355_o ;
wire \FM_HW/_al_u3356_o ;
wire \FM_HW/_al_u3357_o ;
wire \FM_HW/_al_u3358_o ;
wire \FM_HW/_al_u3359_o ;
wire \FM_HW/_al_u3360_o ;
wire \FM_HW/_al_u3361_o ;
wire \FM_HW/_al_u3362_o ;
wire \FM_HW/_al_u3363_o ;
wire \FM_HW/_al_u3364_o ;
wire \FM_HW/_al_u3365_o ;
wire \FM_HW/_al_u3366_o ;
wire \FM_HW/_al_u3367_o ;
wire \FM_HW/_al_u3368_o ;
wire \FM_HW/_al_u3369_o ;
wire \FM_HW/_al_u3370_o ;
wire \FM_HW/_al_u3371_o ;
wire \FM_HW/_al_u3372_o ;
wire \FM_HW/_al_u3373_o ;
wire \FM_HW/_al_u3374_o ;
wire \FM_HW/_al_u3375_o ;
wire \FM_HW/_al_u3376_o ;
wire \FM_HW/_al_u3377_o ;
wire \FM_HW/_al_u3378_o ;
wire \FM_HW/_al_u3379_o ;
wire \FM_HW/_al_u3380_o ;
wire \FM_HW/_al_u3381_o ;
wire \FM_HW/_al_u3382_o ;
wire \FM_HW/_al_u3383_o ;
wire \FM_HW/_al_u3384_o ;
wire \FM_HW/_al_u3385_o ;
wire \FM_HW/_al_u3386_o ;
wire \FM_HW/_al_u3387_o ;
wire \FM_HW/_al_u3388_o ;
wire \FM_HW/_al_u3389_o ;
wire \FM_HW/_al_u3390_o ;
wire \FM_HW/_al_u3391_o ;
wire \FM_HW/_al_u3392_o ;
wire \FM_HW/_al_u3393_o ;
wire \FM_HW/_al_u3394_o ;
wire \FM_HW/_al_u3395_o ;
wire \FM_HW/_al_u3396_o ;
wire \FM_HW/_al_u3397_o ;
wire \FM_HW/_al_u3398_o ;
wire \FM_HW/_al_u3399_o ;
wire \FM_HW/_al_u3400_o ;
wire \FM_HW/_al_u3401_o ;
wire \FM_HW/_al_u3402_o ;
wire \FM_HW/_al_u3403_o ;
wire \FM_HW/_al_u3404_o ;
wire \FM_HW/_al_u3405_o ;
wire \FM_HW/_al_u3406_o ;
wire \FM_HW/_al_u3407_o ;
wire \FM_HW/_al_u3408_o ;
wire \FM_HW/_al_u3409_o ;
wire \FM_HW/_al_u3410_o ;
wire \FM_HW/_al_u3411_o ;
wire \FM_HW/_al_u3412_o ;
wire \FM_HW/_al_u3413_o ;
wire \FM_HW/_al_u3414_o ;
wire \FM_HW/_al_u3415_o ;
wire \FM_HW/_al_u3416_o ;
wire \FM_HW/_al_u3417_o ;
wire \FM_HW/_al_u3418_o ;
wire \FM_HW/_al_u3420_o ;
wire \FM_HW/_al_u3434_o ;
wire \FM_HW/_al_u3437_o ;
wire \FM_HW/_al_u3438_o ;
wire \FM_HW/_al_u3440_o ;
wire \FM_HW/_al_u3441_o ;
wire \FM_HW/_al_u3443_o ;
wire \FM_HW/_al_u3444_o ;
wire \FM_HW/_al_u3446_o ;
wire \FM_HW/_al_u3448_o ;
wire \FM_HW/_al_u3450_o ;
wire \FM_HW/_al_u3452_o ;
wire \FM_HW/_al_u3454_o ;
wire \FM_HW/_al_u3459_o ;
wire \FM_HW/_al_u3464_o ;
wire \FM_HW/_al_u3469_o ;
wire \FM_HW/_al_u3474_o ;
wire \FM_HW/_al_u3479_o ;
wire \FM_HW/_al_u3484_o ;
wire \FM_HW/_al_u3716_o ;
wire \FM_HW/_al_u3750_o ;
wire \FM_HW/_al_u553_o ;
wire \FM_HW/_al_u576_o ;
wire \FM_HW/_al_u578_o ;
wire \FM_HW/_al_u620_o ;
wire \FM_HW/_al_u621_o ;
wire \FM_HW/_al_u623_o ;
wire \FM_HW/_al_u624_o ;
wire \FM_HW/_al_u626_o ;
wire \FM_HW/_al_u627_o ;
wire \FM_HW/_al_u628_o ;
wire \FM_HW/_al_u630_o ;
wire \FM_HW/_al_u635_o ;
wire \FM_HW/_al_u636_o ;
wire \FM_HW/_al_u637_o ;
wire \FM_HW/_al_u640_o ;
wire \FM_HW/_al_u643_o ;
wire \FM_HW/_al_u644_o ;
wire \FM_HW/_al_u645_o ;
wire \FM_HW/_al_u647_o ;
wire \FM_HW/_al_u649_o ;
wire \FM_HW/_al_u651_o ;
wire \FM_HW/_al_u652_o ;
wire \FM_HW/_al_u653_o ;
wire \FM_HW/_al_u654_o ;
wire \FM_HW/_al_u657_o ;
wire \FM_HW/_al_u658_o ;
wire \FM_HW/_al_u659_o ;
wire \FM_HW/_al_u660_o ;
wire \FM_HW/_al_u661_o ;
wire \FM_HW/_al_u662_o ;
wire \FM_HW/_al_u663_o ;
wire \FM_HW/_al_u664_o ;
wire \FM_HW/_al_u665_o ;
wire \FM_HW/_al_u666_o ;
wire \FM_HW/_al_u667_o ;
wire \FM_HW/_al_u668_o ;
wire \FM_HW/_al_u669_o ;
wire \FM_HW/_al_u670_o ;
wire \FM_HW/_al_u671_o ;
wire \FM_HW/_al_u672_o ;
wire \FM_HW/_al_u673_o ;
wire \FM_HW/_al_u674_o ;
wire \FM_HW/_al_u675_o ;
wire \FM_HW/_al_u676_o ;
wire \FM_HW/_al_u677_o ;
wire \FM_HW/_al_u678_o ;
wire \FM_HW/_al_u679_o ;
wire \FM_HW/_al_u680_o ;
wire \FM_HW/_al_u681_o ;
wire \FM_HW/_al_u683_o ;
wire \FM_HW/_al_u686_o ;
wire \FM_HW/_al_u688_o ;
wire \FM_HW/_al_u691_o ;
wire \FM_HW/_al_u692_o ;
wire \FM_HW/_al_u693_o ;
wire \FM_HW/_al_u694_o ;
wire \FM_HW/_al_u695_o ;
wire \FM_HW/_al_u696_o ;
wire \FM_HW/_al_u697_o ;
wire \FM_HW/_al_u698_o ;
wire \FM_HW/_al_u699_o ;
wire \FM_HW/_al_u700_o ;
wire \FM_HW/_al_u701_o ;
wire \FM_HW/_al_u702_o ;
wire \FM_HW/_al_u703_o ;
wire \FM_HW/_al_u704_o ;
wire \FM_HW/_al_u705_o ;
wire \FM_HW/_al_u706_o ;
wire \FM_HW/_al_u707_o ;
wire \FM_HW/_al_u708_o ;
wire \FM_HW/_al_u709_o ;
wire \FM_HW/_al_u710_o ;
wire \FM_HW/_al_u711_o ;
wire \FM_HW/_al_u712_o ;
wire \FM_HW/_al_u713_o ;
wire \FM_HW/_al_u714_o ;
wire \FM_HW/_al_u715_o ;
wire \FM_HW/_al_u716_o ;
wire \FM_HW/_al_u717_o ;
wire \FM_HW/_al_u718_o ;
wire \FM_HW/_al_u719_o ;
wire \FM_HW/_al_u720_o ;
wire \FM_HW/_al_u721_o ;
wire \FM_HW/_al_u722_o ;
wire \FM_HW/_al_u723_o ;
wire \FM_HW/_al_u725_o ;
wire \FM_HW/_al_u726_o ;
wire \FM_HW/_al_u727_o ;
wire \FM_HW/_al_u728_o ;
wire \FM_HW/_al_u729_o ;
wire \FM_HW/_al_u730_o ;
wire \FM_HW/_al_u732_o ;
wire \FM_HW/_al_u733_o ;
wire \FM_HW/_al_u735_o ;
wire \FM_HW/_al_u736_o ;
wire \FM_HW/_al_u737_o ;
wire \FM_HW/_al_u738_o ;
wire \FM_HW/_al_u740_o ;
wire \FM_HW/_al_u742_o ;
wire \FM_HW/_al_u743_o ;
wire \FM_HW/_al_u744_o ;
wire \FM_HW/_al_u745_o ;
wire \FM_HW/_al_u746_o ;
wire \FM_HW/_al_u747_o ;
wire \FM_HW/_al_u748_o ;
wire \FM_HW/_al_u749_o ;
wire \FM_HW/_al_u750_o ;
wire \FM_HW/_al_u751_o ;
wire \FM_HW/_al_u752_o ;
wire \FM_HW/_al_u753_o ;
wire \FM_HW/_al_u754_o ;
wire \FM_HW/_al_u756_o ;
wire \FM_HW/_al_u758_o ;
wire \FM_HW/_al_u759_o ;
wire \FM_HW/_al_u761_o ;
wire \FM_HW/_al_u763_o ;
wire \FM_HW/_al_u764_o ;
wire \FM_HW/_al_u765_o ;
wire \FM_HW/_al_u766_o ;
wire \FM_HW/_al_u767_o ;
wire \FM_HW/_al_u768_o ;
wire \FM_HW/_al_u769_o ;
wire \FM_HW/_al_u770_o ;
wire \FM_HW/_al_u771_o ;
wire \FM_HW/_al_u772_o ;
wire \FM_HW/_al_u773_o ;
wire \FM_HW/_al_u774_o ;
wire \FM_HW/_al_u775_o ;
wire \FM_HW/_al_u777_o ;
wire \FM_HW/_al_u779_o ;
wire \FM_HW/_al_u780_o ;
wire \FM_HW/_al_u782_o ;
wire \FM_HW/_al_u784_o ;
wire \FM_HW/_al_u785_o ;
wire \FM_HW/_al_u786_o ;
wire \FM_HW/_al_u787_o ;
wire \FM_HW/_al_u788_o ;
wire \FM_HW/_al_u789_o ;
wire \FM_HW/_al_u790_o ;
wire \FM_HW/_al_u791_o ;
wire \FM_HW/_al_u792_o ;
wire \FM_HW/_al_u793_o ;
wire \FM_HW/_al_u794_o ;
wire \FM_HW/_al_u795_o ;
wire \FM_HW/_al_u796_o ;
wire \FM_HW/_al_u797_o ;
wire \FM_HW/_al_u798_o ;
wire \FM_HW/_al_u799_o ;
wire \FM_HW/_al_u800_o ;
wire \FM_HW/_al_u801_o ;
wire \FM_HW/_al_u802_o ;
wire \FM_HW/_al_u803_o ;
wire \FM_HW/_al_u804_o ;
wire \FM_HW/_al_u805_o ;
wire \FM_HW/_al_u806_o ;
wire \FM_HW/_al_u807_o ;
wire \FM_HW/_al_u808_o ;
wire \FM_HW/_al_u809_o ;
wire \FM_HW/_al_u810_o ;
wire \FM_HW/_al_u811_o ;
wire \FM_HW/_al_u812_o ;
wire \FM_HW/_al_u813_o ;
wire \FM_HW/_al_u814_o ;
wire \FM_HW/_al_u815_o ;
wire \FM_HW/_al_u816_o ;
wire \FM_HW/_al_u817_o ;
wire \FM_HW/_al_u819_o ;
wire \FM_HW/_al_u821_o ;
wire \FM_HW/_al_u822_o ;
wire \FM_HW/_al_u824_o ;
wire \FM_HW/_al_u826_o ;
wire \FM_HW/_al_u827_o ;
wire \FM_HW/_al_u828_o ;
wire \FM_HW/_al_u829_o ;
wire \FM_HW/_al_u830_o ;
wire \FM_HW/_al_u831_o ;
wire \FM_HW/_al_u833_o ;
wire \FM_HW/_al_u835_o ;
wire \FM_HW/_al_u836_o ;
wire \FM_HW/_al_u838_o ;
wire \FM_HW/_al_u840_o ;
wire \FM_HW/_al_u841_o ;
wire \FM_HW/_al_u843_o ;
wire \FM_HW/_al_u845_o ;
wire \FM_HW/_al_u846_o ;
wire \FM_HW/_al_u848_o ;
wire \FM_HW/_al_u850_o ;
wire \FM_HW/_al_u851_o ;
wire \FM_HW/_al_u852_o ;
wire \FM_HW/_al_u853_o ;
wire \FM_HW/_al_u854_o ;
wire \FM_HW/_al_u855_o ;
wire \FM_HW/_al_u856_o ;
wire \FM_HW/_al_u857_o ;
wire \FM_HW/_al_u858_o ;
wire \FM_HW/_al_u860_o ;
wire \FM_HW/_al_u861_o ;
wire \FM_HW/_al_u862_o ;
wire \FM_HW/_al_u863_o ;
wire \FM_HW/_al_u864_o ;
wire \FM_HW/_al_u865_o ;
wire \FM_HW/_al_u866_o ;
wire \FM_HW/_al_u867_o ;
wire \FM_HW/_al_u868_o ;
wire \FM_HW/_al_u870_o ;
wire \FM_HW/_al_u871_o ;
wire \FM_HW/_al_u872_o ;
wire \FM_HW/_al_u873_o ;
wire \FM_HW/_al_u874_o ;
wire \FM_HW/_al_u875_o ;
wire \FM_HW/_al_u876_o ;
wire \FM_HW/_al_u877_o ;
wire \FM_HW/_al_u878_o ;
wire \FM_HW/_al_u879_o ;
wire \FM_HW/_al_u880_o ;
wire \FM_HW/_al_u881_o ;
wire \FM_HW/_al_u882_o ;
wire \FM_HW/_al_u883_o ;
wire \FM_HW/_al_u884_o ;
wire \FM_HW/_al_u885_o ;
wire \FM_HW/_al_u886_o ;
wire \FM_HW/_al_u887_o ;
wire \FM_HW/_al_u888_o ;
wire \FM_HW/_al_u889_o ;
wire \FM_HW/_al_u890_o ;
wire \FM_HW/_al_u891_o ;
wire \FM_HW/_al_u892_o ;
wire \FM_HW/_al_u893_o ;
wire \FM_HW/_al_u894_o ;
wire \FM_HW/_al_u895_o ;
wire \FM_HW/_al_u896_o ;
wire \FM_HW/_al_u897_o ;
wire \FM_HW/_al_u898_o ;
wire \FM_HW/_al_u899_o ;
wire \FM_HW/_al_u900_o ;
wire \FM_HW/_al_u901_o ;
wire \FM_HW/_al_u902_o ;
wire \FM_HW/_al_u903_o ;
wire \FM_HW/_al_u904_o ;
wire \FM_HW/_al_u905_o ;
wire \FM_HW/_al_u906_o ;
wire \FM_HW/_al_u907_o ;
wire \FM_HW/_al_u908_o ;
wire \FM_HW/_al_u909_o ;
wire \FM_HW/_al_u910_o ;
wire \FM_HW/_al_u911_o ;
wire \FM_HW/_al_u912_o ;
wire \FM_HW/_al_u913_o ;
wire \FM_HW/_al_u914_o ;
wire \FM_HW/_al_u915_o ;
wire \FM_HW/_al_u916_o ;
wire \FM_HW/_al_u917_o ;
wire \FM_HW/_al_u919_o ;
wire \FM_HW/_al_u921_o ;
wire \FM_HW/_al_u923_o ;
wire \FM_HW/_al_u925_o ;
wire \FM_HW/_al_u926_o ;
wire \FM_HW/_al_u927_o ;
wire \FM_HW/_al_u929_o ;
wire \FM_HW/_al_u930_o ;
wire \FM_HW/_al_u931_o ;
wire \FM_HW/_al_u932_o ;
wire \FM_HW/_al_u934_o ;
wire \FM_HW/_al_u936_o ;
wire \FM_HW/_al_u937_o ;
wire \FM_HW/_al_u938_o ;
wire \FM_HW/_al_u939_o ;
wire \FM_HW/_al_u940_o ;
wire \FM_HW/_al_u942_o ;
wire \FM_HW/_al_u943_o ;
wire \FM_HW/_al_u944_o ;
wire \FM_HW/_al_u945_o ;
wire \FM_HW/_al_u946_o ;
wire \FM_HW/_al_u947_o ;
wire \FM_HW/_al_u948_o ;
wire \FM_HW/_al_u950_o ;
wire \FM_HW/_al_u952_o ;
wire \FM_HW/_al_u953_o ;
wire \FM_HW/_al_u955_o ;
wire \FM_HW/_al_u956_o ;
wire \FM_HW/_al_u958_o ;
wire \FM_HW/_al_u959_o ;
wire \FM_HW/_al_u960_o ;
wire \FM_HW/_al_u962_o ;
wire \FM_HW/_al_u963_o ;
wire \FM_HW/_al_u964_o ;
wire \FM_HW/_al_u966_o ;
wire \FM_HW/_al_u968_o ;
wire \FM_HW/_al_u969_o ;
wire \FM_HW/_al_u970_o ;
wire \FM_HW/_al_u972_o ;
wire \FM_HW/_al_u974_o ;
wire \FM_HW/_al_u976_o ;
wire \FM_HW/_al_u977_o ;
wire \FM_HW/_al_u979_o ;
wire \FM_HW/_al_u981_o ;
wire \FM_HW/_al_u983_o ;
wire \FM_HW/_al_u985_o ;
wire \FM_HW/_al_u987_o ;
wire \FM_HW/_al_u988_o ;
wire \FM_HW/_al_u990_o ;
wire \FM_HW/_al_u991_o ;
wire \FM_HW/_al_u992_o ;
wire \FM_HW/_al_u993_o ;
wire \FM_HW/_al_u994_o ;
wire \FM_HW/_al_u995_o ;
wire \FM_HW/_al_u996_o ;
wire \FM_HW/_al_u997_o ;
wire \FM_HW/_al_u998_o ;
wire \FM_HW/_al_u999_o ;
wire \FM_HW/adc_Power_down ; // ../rtl/demodulation/FM_HW.v(22)
wire \FM_HW/and_n4_n1_o ;
wire \FM_HW/clk_PWM1 ; // ../rtl/demodulation/FM_HW.v(74)
wire \FM_HW/clk_fm_demo_sampling ; // ../rtl/demodulation/FM_HW.v(158)
wire \FM_HW/clk_fm_demo_sampling_gclk_net ;
wire \FM_HW/mux3_b2_sel_is_0_o ;
wire \FM_HW/u1/c11 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u1/c15 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u1/c3 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u1/c7 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c11 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c15 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c19 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c23 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c3 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire \FM_HW/u2/c7 ; // ../rtl/demodulation/FM_RSSI.v(53)
wire HSEL_P0; // ../rtl/topmodule/CortexM0_SoC.v(170)
wire HWRITE; // ../rtl/topmodule/CortexM0_SoC.v(71)
wire \Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ; // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(65)
wire MSI_CS_pad; // ../rtl/topmodule/CortexM0_SoC.v(16)
wire MSI_REFCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(14)
wire \MSI_REF_CLK/clk0_buf ; // al_ip/RF_REF_24M.v(37)
wire MSI_SCLK_pad; // ../rtl/topmodule/CortexM0_SoC.v(17)
wire MSI_SDATA_pad; // ../rtl/topmodule/CortexM0_SoC.v(15)
wire \RAMCODE_Interface/n10 ;
wire \RAMCODE_Interface/n15 ;
wire \RAMCODE_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
wire \RAMDATA_Interface/n10 ;
wire \RAMDATA_Interface/n15 ;
wire \RAMDATA_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(63)
wire RSSI_interrupt; // ../rtl/topmodule/CortexM0_SoC.v(46)
wire RSTn_pad; // ../rtl/topmodule/CortexM0_SoC.v(8)
wire RXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(13)
wire \SPI_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_SPI.v(41)
wire \SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(36)
wire \SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ; // ../rtl/peripherals/FIFO_SPI.v(52)
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ;
wire \SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ;
wire \SPI_TX/FIFO_SPI/n25_lutinv ;
wire \SPI_TX/FIFO_SPI/n2_0 ;
wire \SPI_TX/FIFO_SPI/n2_1 ;
wire \SPI_TX/FIFO_SPI/r_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
wire \SPI_TX/FIFO_SPI/u13_sel_is_3_o ;
wire \SPI_TX/FIFO_SPI/u7_sel_is_3_o ;
wire \SPI_TX/FIFO_SPI/w_flag ; // ../rtl/peripherals/FIFO_SPI.v(20)
wire \SPI_TX/FIFOrd_en ; // ../rtl/peripherals/SPI_TX.v(14)
wire \SPI_TX/FIFOwr_en ; // ../rtl/peripherals/SPI_TX.v(15)
wire \SPI_TX/MSI_clk_en ; // ../rtl/peripherals/SPI_TX.v(67)
wire \SPI_TX/add0/c1 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c11 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c13 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c3 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c5 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c7 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/add0/c9 ; // ../rtl/peripherals/SPI_TX.v(61)
wire \SPI_TX/count_en ; // ../rtl/peripherals/SPI_TX.v(38)
wire \SPI_TX/n77_lutinv ;
wire \SPI_TX/trans_finish_lutinv ; // ../rtl/peripherals/SPI_TX.v(44)
wire SWDIO_pad; // ../rtl/topmodule/CortexM0_SoC.v(9)
wire SWDO; // ../rtl/topmodule/CortexM0_SoC.v(31)
wire SWDOEN; // ../rtl/topmodule/CortexM0_SoC.v(32)
wire SYSRESETREQ; // ../rtl/topmodule/CortexM0_SoC.v(81)
wire TXD_pad; // ../rtl/topmodule/CortexM0_SoC.v(12)
wire \UART_Interface/n5 ;
wire \UART_Interface/rd_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(36)
wire \UART_Interface/wr_en_reg ; // ../rtl/AHBsubordinate/AHBlite_UART.v(43)
wire \UART_RX/mux5_b0_sel_is_3_o ;
wire \UART_RX/mux5_b1_sel_is_3_o ;
wire \UART_RX/mux5_b2_sel_is_3_o ;
wire \UART_RX/mux5_b3_sel_is_3_o ;
wire \UART_RX/mux5_b4_sel_is_3_o ;
wire \UART_RX/mux5_b5_sel_is_3_o ;
wire \UART_RX/mux5_b6_sel_is_3_o ;
wire \UART_RX/mux5_b7_sel_is_3_o ;
wire \UART_TX/FIFO/al_ram_mem_c0_mode ;
wire \UART_TX/FIFO/al_ram_mem_c0_wclk ;
wire \UART_TX/FIFO/al_ram_mem_c0_we ;
wire \UART_TX/FIFO/al_ram_mem_c1_mode ;
wire \UART_TX/FIFO/al_ram_mem_c1_wclk ;
wire \UART_TX/FIFO/al_ram_mem_c1_we ;
wire \UART_TX/FIFO/n25_lutinv ;
wire \UART_TX/FIFO/r_flag ; // ../rtl/peripherals/FIFO.v(18)
wire \UART_TX/FIFO/u13_sel_is_3_o ;
wire \UART_TX/FIFO/u7_sel_is_3_o ;
wire \UART_TX/FIFO/w_flag ; // ../rtl/peripherals/FIFO.v(18)
wire \UART_TX/FIFOrd_en ; // ../rtl/peripherals/UART_TX.v(13)
wire \UART_TX/FIFOwr_en ; // ../rtl/peripherals/UART_TX.v(14)
wire \UART_TX/n11 ;
wire \UART_TX/trans_finish_lutinv ; // ../rtl/peripherals/UART_TX.v(38)
wire _al_u159_o;
wire _al_u160_o;
wire _al_u165_o;
wire _al_u196_o;
wire _al_u197_o;
wire _al_u198_o;
wire _al_u199_o;
wire _al_u200_o;
wire _al_u201_o;
wire _al_u204_o;
wire _al_u207_o;
wire _al_u214_o;
wire _al_u215_o;
wire _al_u222_o;
wire _al_u228_o;
wire _al_u229_o;
wire _al_u230_o;
wire _al_u232_o;
wire _al_u234_o;
wire _al_u236_o;
wire _al_u238_o;
wire _al_u239_o;
wire _al_u241_o;
wire _al_u242_o;
wire _al_u244_o;
wire _al_u246_o;
wire _al_u247_o;
wire _al_u249_o;
wire _al_u251_o;
wire _al_u273_o;
wire _al_u276_o;
wire _al_u277_o;
wire _al_u278_o;
wire _al_u279_o;
wire _al_u280_o;
wire _al_u287_o;
wire _al_u288_o;
wire _al_u289_o;
wire _al_u291_o;
wire _al_u292_o;
wire _al_u293_o;
wire _al_u294_o;
wire _al_u295_o;
wire _al_u296_o;
wire _al_u298_o;
wire _al_u299_o;
wire _al_u300_o;
wire _al_u316_o;
wire _al_u317_o;
wire _al_u319_o;
wire _al_u323_o;
wire _al_u325_o;
wire _al_u329_o;
wire _al_u331_o;
wire _al_u333_o;
wire _al_u335_o;
wire _al_u336_o;
wire _al_u337_o;
wire _al_u338_o;
wire _al_u339_o;
wire _al_u341_o;
wire _al_u342_o;
wire _al_u343_o;
wire _al_u344_o;
wire _al_u345_o;
wire _al_u346_o;
wire _al_u347_o;
wire _al_u348_o;
wire _al_u349_o;
wire _al_u351_o;
wire _al_u354_o;
wire _al_u355_o;
wire _al_u357_o;
wire _al_u360_o;
wire _al_u361_o;
wire _al_u386_o;
wire _al_u387_o;
wire _al_u388_o;
wire _al_u389_o;
wire _al_u390_o;
wire _al_u391_o;
wire _al_u392_o;
wire _al_u396_o;
wire _al_u405_o;
wire _al_u409_o;
wire _al_u410_o;
wire _al_u411_o;
wire _al_u412_o;
wire _al_u413_o;
wire _al_u415_o;
wire _al_u416_o;
wire _al_u418_o;
wire _al_u419_o;
wire _al_u421_o;
wire _al_u422_o;
wire _al_u424_o;
wire _al_u425_o;
wire _al_u427_o;
wire _al_u428_o;
wire _al_u430_o;
wire _al_u431_o;
wire _al_u437_o;
wire _al_u438_o;
wire _al_u439_o;
wire _al_u440_o;
wire _al_u441_o;
wire _al_u442_o;
wire _al_u443_o;
wire _al_u444_o;
wire _al_u445_o;
wire _al_u446_o;
wire _al_u448_o;
wire _al_u449_o;
wire _al_u450_o;
wire _al_u452_o;
wire _al_u453_o;
wire _al_u454_o;
wire _al_u455_o;
wire _al_u456_o;
wire _al_u457_o;
wire _al_u458_o;
wire _al_u459_o;
wire _al_u460_o;
wire _al_u461_o;
wire _al_u462_o;
wire _al_u463_o;
wire _al_u464_o;
wire _al_u465_o;
wire _al_u466_o;
wire _al_u467_o;
wire _al_u468_o;
wire _al_u469_o;
wire _al_u470_o;
wire _al_u471_o;
wire _al_u472_o;
wire _al_u473_o;
wire _al_u474_o;
wire _al_u475_o;
wire _al_u476_o;
wire _al_u477_o;
wire _al_u478_o;
wire _al_u479_o;
wire _al_u480_o;
wire _al_u481_o;
wire _al_u482_o;
wire _al_u483_o;
wire _al_u484_o;
wire _al_u485_o;
wire _al_u486_o;
wire _al_u487_o;
wire _al_u488_o;
wire _al_u489_o;
wire _al_u491_o;
wire _al_u493_o;
wire _al_u499_o;
wire _al_u500_o;
wire _al_u503_o;
wire _al_u504_o;
wire bps_en_rx; // ../rtl/topmodule/CortexM0_SoC.v(632)
wire bps_en_tx; // ../rtl/topmodule/CortexM0_SoC.v(632)
wire clk_pad; // ../rtl/topmodule/CortexM0_SoC.v(7)
wire clk_uart; // ../rtl/topmodule/CortexM0_SoC.v(630)
wire \clkuart_pwm/add0/c1 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c11 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c3 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c5 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c7 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/add0/c9 ; // ../rtl/peripherals/clkuart_pwm.v(16)
wire \clkuart_pwm/lt0_c1 ;
wire \clkuart_pwm/lt0_c11 ;
wire \clkuart_pwm/lt0_c13 ;
wire \clkuart_pwm/lt0_c3 ;
wire \clkuart_pwm/lt0_c5 ;
wire \clkuart_pwm/lt0_c7 ;
wire \clkuart_pwm/lt0_c9 ;
wire \clkuart_pwm/n1 ;
wire cpuresetn; // ../rtl/topmodule/CortexM0_SoC.v(82)
wire \filter_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(43)
wire \filter_unit/n3 ;
wire interrupt_IQ_done; // ../rtl/topmodule/CortexM0_SoC.v(44)
wire interrupt_UART; // ../rtl/topmodule/CortexM0_SoC.v(43)
wire \scan_unit/add0/c11 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c15 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c19 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c23 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c27 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c3 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c31 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/add0/c7 ; // ../rtl/peripherals/KeyScan.v(14)
wire \scan_unit/n0 ;
wire \scan_unit/scan_clk ; // ../rtl/peripherals/KeyScan.v(7)
wire \scan_unit/scan_clk_gclk_net ;
wire \u_logic/A00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/A06ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(865)
wire \u_logic/A0fow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1047)
wire \u_logic/A0ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(771)
wire \u_logic/A1zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(290)
wire \u_logic/A25iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(371)
wire \u_logic/A2ciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(465)
wire \u_logic/A3iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(545)
wire \u_logic/A4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/A5ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/A6cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/A6gow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1063)
wire \u_logic/A6now6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1156)
wire \u_logic/A70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/A85ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(855)
wire \u_logic/A8zow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1317)
wire \u_logic/A95iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(374)
wire \u_logic/Aa2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Aaiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(548)
wire \u_logic/Ab2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(816)
wire \u_logic/Ab9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Acebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Ad7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Admiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Ae0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(309)
wire \u_logic/Ag5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(376)
wire \u_logic/Ahcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Ahdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Ahdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Ahlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Ahqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Ajgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(524)
wire \u_logic/Ajuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(712)
wire \u_logic/Alkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Altow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Alziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Am5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(860)
wire \u_logic/Am6iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(392)
wire \u_logic/Amsow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1229)
wire \u_logic/Amupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/An5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1403)
wire \u_logic/Anciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(472)
wire \u_logic/Aoeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Apcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Aqniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(621)
wire \u_logic/Ar1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Ar1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Asupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Atsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
wire \u_logic/Aujiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Aujpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Auyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Avwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(743)
wire \u_logic/Avzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Aw4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Ay1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Ay8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Az3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Azeiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/Azliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/B0cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/B0iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(544)
wire \u_logic/B1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/B3gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/B40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/B4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/B4mow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1142)
wire \u_logic/B6cpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1490)
wire \u_logic/B74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/B79bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/B7lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/B91ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(801)
wire \u_logic/B9eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/B9jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Bamiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(601)
wire \u_logic/Bb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Bbliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(588)
wire \u_logic/Bc3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Bcabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Bccax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Bcdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Bcgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Bciax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Bclow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1132)
wire \u_logic/Bclpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Bddow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1025)
wire \u_logic/Bepiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(643)
wire \u_logic/Bewiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(736)
wire \u_logic/Bf3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Bggiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(523)
wire \u_logic/Bguiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(710)
wire \u_logic/Bi0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(310)
wire \u_logic/Biaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Bimow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Bisiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(684)
wire \u_logic/Bk7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Bngax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Bo1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(326)
wire \u_logic/Bo8pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1444)
wire \u_logic/Bomiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(606)
wire \u_logic/Bp2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Bpliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(593)
wire \u_logic/Bq9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Bs4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Bt2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Btbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Btoiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(635)
wire \u_logic/Bu6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Buabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Bvaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Bvfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Bwdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Bwliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Bx2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Bxbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Bxdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Bxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/By4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(851)
wire \u_logic/C01iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(317)
wire \u_logic/C07bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1692)
wire \u_logic/C0fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/C10bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/C10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/C14bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/C1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
wire \u_logic/C1fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/C1wpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/C2ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/C30bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/C34ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/C3wpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/C4dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/C4ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/C4iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(546)
wire \u_logic/C50bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/C53iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(345)
wire \u_logic/C59ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(907)
wire \u_logic/C5gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/C5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/C72qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/C7miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(600)
wire \u_logic/C7now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/C80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/C96pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1411)
wire \u_logic/Ca1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Carow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/Cbbiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(455)
wire \u_logic/Cc2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(816)
wire \u_logic/Cccbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Ceabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Cemiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Cfliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(590)
wire \u_logic/Cfsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(683)
wire \u_logic/Cfvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Cfziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Cg5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(858)
wire \u_logic/Cgkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(577)
wire \u_logic/Ch5iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Chwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/Cjiow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1094)
wire \u_logic/Cjqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Cjwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Ckniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Cl1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(325)
wire \u_logic/Clihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Cmziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(780)
wire \u_logic/Cn7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(949)
wire \u_logic/Cncbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Cndbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Coupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Cpbpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1484)
wire \u_logic/Cpqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Cpwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(741)
wire \u_logic/Cq3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Crniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(621)
wire \u_logic/Cs1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Csmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Csnow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1164)
wire \u_logic/Ctliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(595)
wire \u_logic/Cvciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(475)
wire \u_logic/Cwiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Cwyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Cxcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Cxzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Cy4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Cydbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Cykhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/Cyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Cz7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(891)
wire \u_logic/Cz8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Cznow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Czzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/D0jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(557)
wire \u_logic/D1aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/D1piu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(638)
wire \u_logic/D2opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/D2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/D2rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/D31ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(799)
wire \u_logic/D39iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(425)
wire \u_logic/D43qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/D4miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/D50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/D5epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/D6kiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(573)
wire \u_logic/D6zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(292)
wire \u_logic/D70bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/D7gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/D7xiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(747)
wire \u_logic/D84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/D8iiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(547)
wire \u_logic/D99ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/DBGRESTARTED ; // ../rtl/topmodule/cortexm0ds_logic.v(104)
wire \u_logic/Daebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Daiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Dbmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Dc0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Dcziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(776)
wire \u_logic/Dd7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(945)
wire \u_logic/Df3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(830)
wire \u_logic/Df4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(362)
wire \u_logic/Dfbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Dfqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Dg2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Dhniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Di1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(323)
wire \u_logic/Di3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Difiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(511)
wire \u_logic/Digow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1067)
wire \u_logic/Dk7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(947)
wire \u_logic/Dk9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Dkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Dm3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(833)
wire \u_logic/Dm6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Dmeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Dmiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(552)
wire \u_logic/Dmpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(646)
wire \u_logic/Dmqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Dncax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Do1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(807)
wire \u_logic/Dpwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Dq6ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(875)
wire \u_logic/Dqfhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(125)
wire \u_logic/Dqmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1150)
wire \u_logic/Drcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Drkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(581)
wire \u_logic/Ds4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Dsyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(287)
wire \u_logic/Dt1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Dugax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Dv2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Dw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Dxvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Dyeow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
wire \u_logic/Dyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Dzdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1033)
wire \u_logic/Dzvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1610)
wire \u_logic/E05bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/E0ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/E18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/E1fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/E1miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/E20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/E2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/E2liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(585)
wire \u_logic/E34bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/E3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/E4yhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(278)
wire \u_logic/E54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/E5jow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1102)
wire \u_logic/E6hiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(533)
wire \u_logic/E6iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/E88iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(413)
wire \u_logic/E8iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/E8miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(601)
wire \u_logic/E8now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/E8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/E90bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/E90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/E97ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/E9ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(775)
wire \u_logic/Ea7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(944)
wire \u_logic/Eafax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Eagax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Eariu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(668)
wire \u_logic/Eccow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Ecxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1292)
wire \u_logic/Ed3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(348)
wire \u_logic/Edapw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1466)
wire \u_logic/Eegiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(523)
wire \u_logic/Ef8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Efdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Efgow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
wire \u_logic/Eg7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Egaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Eghbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Egziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Ehihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Ehqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ejaju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(926)
wire \u_logic/Elgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Elnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
wire \u_logic/Em0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(793)
wire \u_logic/Emmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(606)
wire \u_logic/Eoyiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(767)
wire \u_logic/Epciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(473)
wire \u_logic/Epjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(567)
wire \u_logic/Epyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(286)
wire \u_logic/Eqqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Er9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(915)
wire \u_logic/Erbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Eriow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1097)
wire \u_logic/Es9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1459)
wire \u_logic/Esabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Esniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(621)
wire \u_logic/Etfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Etmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Eudax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Eutow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1245)
wire \u_logic/Evbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Evhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Evkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(582)
wire \u_logic/Evzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Ew5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1407)
wire \u_logic/Ewjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Eyihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Eyoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(637)
wire \u_logic/Eyyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Ez1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(811)
wire \u_logic/Ezohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/F0eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
wire \u_logic/F0riu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(664)
wire \u_logic/F0zow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1314)
wire \u_logic/F14ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/F17ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/F26bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1690)
wire \u_logic/F2dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/F33pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
wire \u_logic/F3aiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(438)
wire \u_logic/F3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/F4iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/F4ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/F51pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1343)
wire \u_logic/F59bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/F5miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/F60iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/F6dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/F6ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(774)
wire \u_logic/F7eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/F7jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/F7zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/F8cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/F8dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/F93ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(828)
wire \u_logic/F94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/F9gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/F9vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Facax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Facbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Fb0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Fb1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Fb2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(334)
wire \u_logic/Fb2pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1359)
wire \u_logic/Fb9pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1452)
wire \u_logic/Fc1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Fe2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Ffqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(657)
wire \u_logic/Ffyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1307)
wire \u_logic/Fgpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(644)
wire \u_logic/Fgqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Fhoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(631)
wire \u_logic/Finiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Fj1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Fj8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Fjdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Fk6ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(872)
wire \u_logic/Fkliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(592)
wire \u_logic/Fkrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
wire \u_logic/Fl2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Fldbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Flzhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(298)
wire \u_logic/Fm7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Fmqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(659)
wire \u_logic/Fnnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1594)
wire \u_logic/Fnpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(646)
wire \u_logic/Fnqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Fo9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Fobow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1002)
wire \u_logic/Fpaow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(989)
wire \u_logic/Fpgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Fpnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Fpvow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
wire \u_logic/Fq8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(420)
wire \u_logic/Fr0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(313)
wire \u_logic/Frziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(781)
wire \u_logic/Fsdiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(488)
wire \u_logic/Ftaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Fvcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Fviow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1099)
wire \u_logic/Fy8ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(966)
wire \u_logic/Fyliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/Fzkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(584)
wire \u_logic/Fzsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
wire \u_logic/Fzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/G0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/G0zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/G25bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/G2fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/G2iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/G2miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/G30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/G3eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(492)
wire \u_logic/G3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/G54bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/G64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/G6cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1009)
wire \u_logic/G79ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/G7aiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(440)
wire \u_logic/G82iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(333)
wire \u_logic/G8ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/G8how6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1077)
wire \u_logic/G9fiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(507)
wire \u_logic/Ga0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Gbvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Gc1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Gd0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Gdihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Gdjow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1105)
wire \u_logic/Gdqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1199)
wire \u_logic/Ge9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(910)
wire \u_logic/Gfniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Gfoow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1173)
wire \u_logic/Ggabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Gglhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Gh0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(310)
wire \u_logic/Gihbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Gk4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(364)
wire \u_logic/Gkcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1014)
wire \u_logic/Gkeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Gkqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Gl1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Glaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(445)
wire \u_logic/Gm2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(338)
wire \u_logic/Gm9iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Gnqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Go0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(312)
wire \u_logic/Golpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Gpeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1043)
wire \u_logic/Gpqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Gpyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(767)
wire \u_logic/Gq4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(848)
wire \u_logic/Gqrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1217)
wire \u_logic/Gr2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Gt2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(341)
wire \u_logic/Guihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Gumiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Gv0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(315)
wire \u_logic/Gv1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Gw6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Gwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Gwkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(583)
wire \u_logic/Gwwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Gwxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/Gwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Gxrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1220)
wire \u_logic/Gylpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Gyxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/Gz6ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/Gzeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Gzviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(731)
wire \u_logic/H00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/H0ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/H15ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(852)
wire \u_logic/H2ciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(465)
wire \u_logic/H34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/H3lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/H4bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/H4iow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1089)
wire \u_logic/H4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/H4ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/H4zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/H70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/H78ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(956)
wire \u_logic/H7hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/H8gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/H9row6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/HALTED ; // ../rtl/topmodule/cortexm0ds_logic.v(105)
wire \u_logic/Ha3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(829)
wire \u_logic/Habiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(454)
wire \u_logic/Halax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/Hbgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Hcgiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(522)
wire \u_logic/Hd8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Hdbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Hdfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Heaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Hemow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
wire \u_logic/Hf0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Hg3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Hg7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Hgqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(657)
wire \u_logic/Hgrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Hhiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(550)
wire \u_logic/Hhqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Hhvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Hi9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Hirpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Hj9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1455)
wire \u_logic/Hjgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Hlcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Hltow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Hlwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Hlziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Hm7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(948)
wire \u_logic/Hmzow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1323)
wire \u_logic/Hnrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
wire \u_logic/Howiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(740)
wire \u_logic/Hpbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Hpcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Hqabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Hqgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Hrfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Hrgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1070)
wire \u_logic/Hs8ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(964)
wire \u_logic/Hsdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Hsliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(595)
wire \u_logic/Htbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Htmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Htyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(769)
wire \u_logic/Hv3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Hviiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Hvjow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1112)
wire \u_logic/Hw8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Hwhiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(543)
wire \u_logic/Hwhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Hymiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(610)
wire \u_logic/Hz0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Hz9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Hzliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(597)
wire \u_logic/I0dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/I0opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/I0wiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(731)
wire \u_logic/I1lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/I1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/I28ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(893)
wire \u_logic/I2zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/I30ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(786)
wire \u_logic/I31pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1342)
wire \u_logic/I3fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/I3lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/I40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/I45bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/I46ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(867)
wire \u_logic/I4eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(492)
wire \u_logic/I4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/I4rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/I55ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/I5xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/I6yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/I74bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/I74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/I7cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
wire \u_logic/I82ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(815)
wire \u_logic/I8lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/I98ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(895)
wire \u_logic/I9ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/Ia1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(321)
wire \u_logic/Ia8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/Iatiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(695)
wire \u_logic/Ib0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Ibliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(588)
wire \u_logic/Ibqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
wire \u_logic/Ibsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(682)
wire \u_logic/Iczow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1319)
wire \u_logic/Id4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(843)
wire \u_logic/Iddax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Idqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(656)
wire \u_logic/Idqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1599)
wire \u_logic/Ie1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Iekax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/If3pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1374)
wire \u_logic/Ig2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(336)
wire \u_logic/Ig9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1454)
wire \u_logic/Ih0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Iiliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(591)
wire \u_logic/Iimow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Iixpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Ikhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Im2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(820)
wire \u_logic/Im9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Imhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Imkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/In9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Invow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1270)
wire \u_logic/Ipsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(687)
wire \u_logic/Iqihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Iqsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
wire \u_logic/Iqzhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(300)
wire \u_logic/Ir6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(937)
wire \u_logic/Irmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Isjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Itbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
wire \u_logic/Itcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Iugiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(529)
wire \u_logic/Iv1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/Iv1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1353)
wire \u_logic/Ivmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Ixriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(677)
wire \u_logic/Ixzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Iyyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(289)
wire \u_logic/Iz3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(838)
wire \u_logic/J0gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/J0iax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/J10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/J17iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(397)
wire \u_logic/J1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1515)
wire \u_logic/J1ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(772)
wire \u_logic/J2sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/J39bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/J44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/J4cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/J59ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/J5eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/J5jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/J5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/J62pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1357)
wire \u_logic/J69pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1450)
wire \u_logic/J6ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/J6zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/J71iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(319)
wire \u_logic/J77ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(881)
wire \u_logic/J7xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/J80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/J80pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1331)
wire \u_logic/J8cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/J8eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/J8ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(774)
wire \u_logic/J9kiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(574)
wire \u_logic/J9zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/Jaqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(655)
wire \u_logic/Jckax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Jcpow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1185)
wire \u_logic/Jdgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Je8pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1440)
wire \u_logic/Jf6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(871)
wire \u_logic/Jf7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Jfdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Jflpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Jfmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
wire \u_logic/Jgkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(577)
wire \u_logic/Jgxpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Jhebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Jhrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1214)
wire \u_logic/Jieax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Jiiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(551)
wire \u_logic/Jj0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Jkniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Jl3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Jl8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Jlmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(605)
wire \u_logic/Jo4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(847)
wire \u_logic/Jo5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1404)
wire \u_logic/Johbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Jp9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Jpmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Jraax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Jrypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1615)
wire \u_logic/Js7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(889)
wire \u_logic/Jsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Jvdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1032)
wire \u_logic/Jvkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Jvvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1609)
wire \u_logic/Jwxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1300)
wire \u_logic/Jx1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Jxaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(449)
wire \u_logic/Jxgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Jy9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(436)
wire \u_logic/Jyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Jz2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Jz8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Jzmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(611)
wire \u_logic/K0qiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(651)
wire \u_logic/K0xiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(745)
wire \u_logic/K1cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/K2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/K39iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(425)
wire \u_logic/K3niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(612)
wire \u_logic/K50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/K56ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(867)
wire \u_logic/K5eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/K5hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/K5ihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/K5liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/K65bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/K66iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(386)
wire \u_logic/K6gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/K7xiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(747)
wire \u_logic/K7yow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1304)
wire \u_logic/K84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/K94bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Ka8ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(896)
wire \u_logic/Kadbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Kakax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Kalpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Kc6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(870)
wire \u_logic/Kcaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Kctow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1239)
wire \u_logic/Ke1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Kfcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Kgoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(630)
wire \u_logic/Khgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Khniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Khvow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1267)
wire \u_logic/Ki3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Kigow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1067)
wire \u_logic/Kikhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Kjziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Kkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Kkriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(672)
wire \u_logic/Kkyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(766)
wire \u_logic/Kl0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Kl4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Kl8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Klciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(472)
wire \u_logic/Kldow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
wire \u_logic/Klrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1215)
wire \u_logic/Klyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1309)
wire \u_logic/Kmiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(552)
wire \u_logic/Kmqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1202)
wire \u_logic/Kn1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Kn2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Knbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Knwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1283)
wire \u_logic/Koabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Kojpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Kpfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Kq7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(407)
wire \u_logic/Kqdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Kqhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Kqziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(781)
wire \u_logic/Kr7ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(950)
wire \u_logic/Krbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Krkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(581)
wire \u_logic/Krlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Krzhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(300)
wire \u_logic/Ksgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Kshbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Kswpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Kt4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Kupow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1192)
wire \u_logic/Kv9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(435)
wire \u_logic/Kw1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Kwfiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(516)
wire \u_logic/Kwlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Kxeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Kxhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Kxziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(784)
wire \u_logic/Kyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Kzabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Kzkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/L03qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/L0ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1613)
wire \u_logic/L18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/L1bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/L20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/L20pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1329)
wire \u_logic/L2bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/L2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/L3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/L45iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(372)
wire \u_logic/L4lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/L54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/L5lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/L6lax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1653)
wire \u_logic/L87ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(881)
wire \u_logic/L88iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(413)
wire \u_logic/L8kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/L8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/L8zax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/L90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/L96ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(868)
wire \u_logic/L9bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/L9eiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/L9mow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1144)
wire \u_logic/L9tow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1238)
wire \u_logic/L9xax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1674)
wire \u_logic/LOCKUP ; // ../rtl/topmodule/cortexm0ds_logic.v(107)
wire \u_logic/Lbbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Lbyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Lcqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1199)
wire \u_logic/Ldiow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1092)
wire \u_logic/Ldoiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(629)
wire \u_logic/Ldvpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Le2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Lfgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Lfgow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1066)
wire \u_logic/Lg1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Lg9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Lgkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Lhbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Li2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Li5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Li7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Liabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Ljbpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1482)
wire \u_logic/Ljcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Ljiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(551)
wire \u_logic/Ljqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Lk9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Llaow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(988)
wire \u_logic/Lm1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(325)
wire \u_logic/Lm7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(887)
wire \u_logic/Lmkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
wire \u_logic/Ln0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1680)
wire \u_logic/Lokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Losow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1230)
wire \u_logic/Lp7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Lprow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1217)
wire \u_logic/Lqqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Lr9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Ls1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(809)
wire \u_logic/Ltmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Lu0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(315)
wire \u_logic/Lv7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(952)
wire \u_logic/Lvzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Lwjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(569)
wire \u_logic/Lx9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Lycax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Lywpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Lzohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/M0eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1034)
wire \u_logic/M1jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(558)
wire \u_logic/M1xiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(745)
wire \u_logic/M24iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/M2cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1008)
wire \u_logic/M2ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/M3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/M4ebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/M60iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/M6cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/M6eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/M6fow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1049)
wire \u_logic/M6kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/M6rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/M7zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/M81qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/M85bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/M8fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/M8ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/M94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/Mb1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Mb4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Mbdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Md0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Mdfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1052)
wire \u_logic/Mdziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(776)
wire \u_logic/Mfjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(563)
wire \u_logic/Mfyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Mg3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(831)
wire \u_logic/Mgeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Mh1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Miniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Mj8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Mjmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(605)
wire \u_logic/Mjnow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1161)
wire \u_logic/Mk3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/Mmjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(566)
wire \u_logic/Mmyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(285)
wire \u_logic/Mnbiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(459)
wire \u_logic/Mnmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1593)
wire \u_logic/Mnqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Mp0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Mpgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(527)
wire \u_logic/Mpniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(620)
wire \u_logic/Mrfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1057)
wire \u_logic/Ms5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Mt4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Mt6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(938)
wire \u_logic/Mtrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1218)
wire \u_logic/Mu3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Muhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Mvkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/My0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(316)
wire \u_logic/Myfow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1060)
wire \u_logic/Mz1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Mz6iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(397)
wire \u_logic/Mzihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Mzkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(584)
wire \u_logic/Mzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/N0cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/N0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/N0xpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/N19bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/N30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/N39ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/N3eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/N3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/N3fow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1048)
wire \u_logic/N3hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/N3jbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/N3ziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(773)
wire \u_logic/N45ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(853)
wire \u_logic/N4gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/N4kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/N5bbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/N61qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/N64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/N7pow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1183)
wire \u_logic/N8rpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/N98iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/N9gow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/N9now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/Na0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Naaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Nazax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Nbkiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(575)
wire \u_logic/Nbxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Ncjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(562)
wire \u_logic/Nckbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Ncyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Nd3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Nfgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Nfqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ng8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Nhgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Nhlhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Nhmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1147)
wire \u_logic/Nhtow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
wire \u_logic/Nj2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Nj5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Nk3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(832)
wire \u_logic/Nk4pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1389)
wire \u_logic/Nkaju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(926)
wire \u_logic/Nkwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(739)
wire \u_logic/Nlbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Nlcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Nmabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Nmfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Nn8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(419)
wire \u_logic/Nnfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Nntiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(700)
wire \u_logic/No3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Nodax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Npaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Npghu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(127)
wire \u_logic/Nq4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(848)
wire \u_logic/Nq6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(936)
wire \u_logic/Nr0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Nr4iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Nr7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Nrkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Nrqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Ns8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Nsoiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(635)
wire \u_logic/Nt9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Ntuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(715)
wire \u_logic/Nu5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Nu9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(978)
wire \u_logic/Numiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Nv3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Nv9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Nwbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Nwdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Nwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Nweow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1046)
wire \u_logic/Nwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Nybbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Nycow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1020)
wire \u_logic/Nyhpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/Nz2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(825)
wire \u_logic/Nzapw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1475)
wire \u_logic/O00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/O16pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1409)
wire \u_logic/O1mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/O2dow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1021)
wire \u_logic/O2kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/O34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/O4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/O59iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(426)
wire \u_logic/O70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/Oa4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(361)
wire \u_logic/Oa5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Oarpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Oc2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(335)
wire \u_logic/Ocniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(616)
wire \u_logic/Od4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Odfiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(509)
wire \u_logic/Odgow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1065)
wire \u_logic/Oe7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Oeziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Ofmpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/Ogdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1026)
wire \u_logic/Oh4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(363)
wire \u_logic/Oh8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Ohyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Oi1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Oi9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Oi9ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(912)
wire \u_logic/Oikax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Ojebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
wire \u_logic/Ok7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(886)
wire \u_logic/Ok8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Okfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Oltow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Om3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Onciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(473)
wire \u_logic/Opbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Orkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Ot0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Ot7ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(951)
wire \u_logic/Oulpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Ov3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(837)
wire \u_logic/Ov4pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1393)
wire \u_logic/Oveax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Ovihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Ovpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(649)
wire \u_logic/Owcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Owhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Owoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(636)
wire \u_logic/Owviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(730)
wire \u_logic/Ox9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Oxkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Oy8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Oyhbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Oz0iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(317)
wire \u_logic/P0bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/P0biu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(451)
wire \u_logic/P0cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1007)
wire \u_logic/P0ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/P0kax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/P12bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/P14qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/P1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/P22iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(331)
wire \u_logic/P23qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/P33bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/P3tiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(692)
wire \u_logic/P40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/P40pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1329)
wire \u_logic/P4cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/P4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/P4liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/P5vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/P73ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(828)
wire \u_logic/P74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/P8viu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(721)
wire \u_logic/P91ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/P92iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(334)
wire \u_logic/P93qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/P9bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/P9niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(614)
wire \u_logic/Panow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/Pb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Pbbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Pczax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Pdbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Pdxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Pdyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/Pe7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Pe9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Peeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Pexpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1612)
wire \u_logic/Pg3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Pgjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Ph8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Ph9ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(973)
wire \u_logic/Phcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Pifax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Piziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(778)
wire \u_logic/Pjgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Pjyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(765)
wire \u_logic/Pk4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Pkdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1028)
wire \u_logic/Pkkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1717)
wire \u_logic/Pl4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(365)
wire \u_logic/Plcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Pmlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Pmoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(633)
wire \u_logic/Pp7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(406)
wire \u_logic/Pqsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1231)
wire \u_logic/Pqzow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1324)
wire \u_logic/Prdow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1030)
wire \u_logic/Pt2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(822)
wire \u_logic/Pt7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Pthiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(542)
wire \u_logic/Pu1ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(809)
wire \u_logic/Puwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Pv0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Pv9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Pvtiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(703)
wire \u_logic/Pxriu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(677)
wire \u_logic/Pxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Pyyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(289)
wire \u_logic/Pz9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/Q07ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(879)
wire \u_logic/Q0fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(504)
wire \u_logic/Q10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/Q1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/Q1hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Q2eow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1035)
wire \u_logic/Q2gax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Q2ibx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1712)
wire \u_logic/Q34ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(840)
wire \u_logic/Q3qiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(652)
wire \u_logic/Q44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/Q4dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Q4wiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(733)
wire \u_logic/Q53pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
wire \u_logic/Q5hiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(533)
wire \u_logic/Q5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/Q6fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Q7miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(600)
wire \u_logic/Q80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Q89bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Q8aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Q8eiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(494)
wire \u_logic/Q8tow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1237)
wire \u_logic/Q9dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Q9zow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1318)
wire \u_logic/Qa1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1619)
wire \u_logic/Qa5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(374)
wire \u_logic/Qaihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(130)
wire \u_logic/Qakbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Qaqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(655)
wire \u_logic/Qc3pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1373)
wire \u_logic/Qc5bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1689)
wire \u_logic/Qcaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(442)
wire \u_logic/Qe8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Qehbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Qf4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Qgkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(577)
wire \u_logic/Qh5iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(377)
wire \u_logic/Qipiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(645)
wire \u_logic/Qiqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Qj1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Qj2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(819)
wire \u_logic/Qjbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Qjcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Qjyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Qk9pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1456)
wire \u_logic/Qkabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Qkniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(619)
wire \u_logic/Ql8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(418)
wire \u_logic/Qlfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
wire \u_logic/Qmdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Qn6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1417)
wire \u_logic/Qo3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Qodow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1029)
wire \u_logic/Qoyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1310)
wire \u_logic/Qrgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(528)
wire \u_logic/Qrihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Qs0ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(795)
wire \u_logic/Qsfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Qsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Qt6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(876)
wire \u_logic/Qtfow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1058)
wire \u_logic/Qudbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Queow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1045)
wire \u_logic/Qufax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Qusow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1232)
wire \u_logic/Qv4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(850)
wire \u_logic/Qwfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Qwfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Qwpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(650)
wire \u_logic/Qx0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Qxbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1006)
wire \u_logic/Qxoiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(637)
wire \u_logic/Qyjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Qyniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(624)
wire \u_logic/Qynpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Qyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Qz0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(798)
wire \u_logic/R04ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(838)
wire \u_logic/R05iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/R0ghu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(125)
wire \u_logic/R19ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/R1abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/R1eax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/R2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/R3giu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(519)
wire \u_logic/R3how6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1075)
wire \u_logic/R3vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/R4miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/R50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/R6zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(292)
wire \u_logic/R7kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/R84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/R9mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/R9wow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1278)
wire \u_logic/R9yax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/Ra2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Rcliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(589)
wire \u_logic/Rcziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(776)
wire \u_logic/Rerow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1213)
wire \u_logic/Reyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(282)
wire \u_logic/Rezax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Rfxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Rg9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Rh2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(337)
wire \u_logic/Rhgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(524)
wire \u_logic/Rhkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/Rhniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(617)
wire \u_logic/Rijbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Rilpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Rimiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(604)
wire \u_logic/Rjtow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1241)
wire \u_logic/Rjziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(779)
wire \u_logic/Rk1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Rk5ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(859)
wire \u_logic/Rkbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Rkkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1651)
wire \u_logic/Rkkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(578)
wire \u_logic/Rksow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1228)
wire \u_logic/Rlcpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1496)
wire \u_logic/Rlgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Rm2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Rnaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Ro8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Ro8ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(901)
wire \u_logic/Rq0qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
wire \u_logic/Rr3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Rs4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Rskax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Rteax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Ru2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(823)
wire \u_logic/Ru3pw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1379)
wire \u_logic/Rucax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Rv7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Rvniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(623)
wire \u_logic/Rw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Rw8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(422)
wire \u_logic/Rwjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Rx6ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(877)
wire \u_logic/Ry2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Ryfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1643)
wire \u_logic/Ryzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Rz0bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Rz8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Rzciu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(477)
wire \u_logic/S02iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(330)
wire \u_logic/S0kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/S0lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/S11bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/S18iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(411)
wire \u_logic/S1fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/S20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/S2cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/S2cbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/S2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/S2ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(772)
wire \u_logic/S32bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/S3mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/S3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/S45pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1396)
wire \u_logic/S4kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/S54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/S63iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/S7mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/S8uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1251)
wire \u_logic/S90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/S98ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(957)
wire \u_logic/SLEEPHOLDACKn ; // ../rtl/topmodule/cortexm0ds_logic.v(113)
wire \u_logic/SWCLKTCK_pad ; // ../rtl/topmodule/cortexm0ds_logic.v(79)
wire \u_logic/SWCLKTCK_pad_gclk_net ;
wire \u_logic/Saeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1038)
wire \u_logic/Sb8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Sbfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Sbrow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1212)
wire \u_logic/Sbyhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Scbiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(455)
wire \u_logic/Sd8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Sddbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Sdlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Sejax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Sg7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(403)
wire \u_logic/Sgjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Sh4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Shopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Sijax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sjqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1201)
wire \u_logic/Skjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Slyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Smjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sn0pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1337)
wire \u_logic/Sn4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Sojax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Spciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(473)
wire \u_logic/Sq3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Sq3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(835)
wire \u_logic/Sq4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Sqfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Sqjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Sqkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Sqwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Srbow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1004)
wire \u_logic/Ss0qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1618)
wire \u_logic/Ssjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/St1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/St1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1352)
wire \u_logic/Stkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Stmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Su8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Sujax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1650)
wire \u_logic/Svzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Swjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Sx3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Sy2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(824)
wire \u_logic/Syjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Sz3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1625)
wire \u_logic/Szohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/T05ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(852)
wire \u_logic/T0ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/T14ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(839)
wire \u_logic/T1jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(558)
wire \u_logic/T1vpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/T23ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(826)
wire \u_logic/T24iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/T2dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/T2kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T3abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/T3opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/T3phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/T41ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(800)
wire \u_logic/T4aow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(982)
wire \u_logic/T5mpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1592)
wire \u_logic/T5yax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1676)
wire \u_logic/T6aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/T6kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T75ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/T7bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/T82qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/T8kbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/T8row6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1211)
wire \u_logic/T8yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(280)
wire \u_logic/T94iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/T9kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/T9qow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1198)
wire \u_logic/TXEV ; // ../rtl/topmodule/cortexm0ds_logic.v(106)
wire \u_logic/Ta2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(815)
wire \u_logic/Tajax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Tb3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Tc7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(883)
wire \u_logic/Tc8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Tc9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Tceax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Tchbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Tcipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Tcjax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Tcjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Tezhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(295)
wire \u_logic/Tfcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Tgcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Tgkbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Tgzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Thcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Thiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Thxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Tikbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1716)
wire \u_logic/Tj1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Tjfbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1707)
wire \u_logic/Tjkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Tkdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Tkjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tktow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Tl4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Tlebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1706)
wire \u_logic/Tmjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tmqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(659)
wire \u_logic/Tmrow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1216)
wire \u_logic/Tngbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/To2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(821)
wire \u_logic/Tokax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Tptpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
wire \u_logic/Tsdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Tt9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Ttjiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(568)
wire \u_logic/Tu3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Tu4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Tucow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1018)
wire \u_logic/Tujbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Tw2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(342)
wire \u_logic/Tx8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(423)
wire \u_logic/Ty0pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1341)
wire \u_logic/Tyaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Tyipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/Tzdiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(490)
wire \u_logic/Tzgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Tzsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1234)
wire \u_logic/Tzzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/U03iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(344)
wire \u_logic/U0phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/U19iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(424)
wire \u_logic/U1kpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/U1uiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(705)
wire \u_logic/U2fiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(505)
wire \u_logic/U30iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/U31bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/U37pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1423)
wire \u_logic/U3epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/U4fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/U5cpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1490)
wire \u_logic/U5yhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/U64iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/U6piu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(640)
wire \u_logic/U6wiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(734)
wire \u_logic/U73iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/U7dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/U8jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/U8uiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(708)
wire \u_logic/U98iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(414)
wire \u_logic/U9gow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1064)
wire \u_logic/U9now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/U9ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/Ua0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Ua9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Ubkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(575)
wire \u_logic/Ubypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/Uc4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(843)
wire \u_logic/Ud4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(362)
wire \u_logic/Ue9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Ufbbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Ufebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Ufkhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(136)
wire \u_logic/Ufopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Ug8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Ugmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(604)
wire \u_logic/Uh2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Uilhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Uizax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1678)
wire \u_logic/Uj4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Uj4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(846)
wire \u_logic/Ujihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Ujjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(565)
wire \u_logic/Ujspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Ujxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Uk3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(833)
wire \u_logic/Ukbpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1483)
wire \u_logic/Ukcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Ulviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(726)
wire \u_logic/Um1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Umkax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1652)
wire \u_logic/Umniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(619)
wire \u_logic/Umuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(713)
wire \u_logic/Unyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Uofax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Uojbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Uoliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(593)
wire \u_logic/Uosiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(687)
wire \u_logic/Up4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1687)
wire \u_logic/Uq5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1405)
wire \u_logic/Ur4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(367)
wire \u_logic/Ureax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Urgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Us2ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(822)
wire \u_logic/Us3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Uscax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Usipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Usjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Usnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Utqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Uu8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(422)
wire \u_logic/Uunpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Uvliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Uvsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(690)
wire \u_logic/Uw6pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1420)
wire \u_logic/Uwdpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1514)
wire \u_logic/Uwzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Ux8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Uy4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/Uzaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(450)
wire \u_logic/V00iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/V0cax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/V0jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/V16pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1409)
wire \u_logic/V1sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1221)
wire \u_logic/V2kow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1115)
wire \u_logic/V34iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(358)
wire \u_logic/V3xow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1289)
wire \u_logic/V4phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/V52bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/V52iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(332)
wire \u_logic/V53qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/V5abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/V5oow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1169)
wire \u_logic/V6jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/V6now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1156)
wire \u_logic/V70iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/V73bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1685)
wire \u_logic/V7liu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(587)
wire \u_logic/V8zhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(293)
wire \u_logic/Va7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Vacow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Vbspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Vbwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1279)
wire \u_logic/Vc2pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1359)
wire \u_logic/Vdmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Ve7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Vefax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Veziu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(777)
wire \u_logic/Vf5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(857)
wire \u_logic/Vfsow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1227)
wire \u_logic/Vgjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Vhbpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1481)
wire \u_logic/Vhcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Vhpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(644)
wire \u_logic/Vhspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Vibax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Vihiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(538)
wire \u_logic/Vj3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Vjniu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(618)
wire \u_logic/Vk1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Vk1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1349)
wire \u_logic/Vk8pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1442)
wire \u_logic/Vkuow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1255)
wire \u_logic/Vkzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Vl0pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1336)
wire \u_logic/Vlaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Vlxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Vmipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Vn9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Vnyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(285)
wire \u_logic/Vo3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(834)
wire \u_logic/Voqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1203)
wire \u_logic/Vowiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(740)
wire \u_logic/Vp3iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(353)
wire \u_logic/Vpgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1709)
wire \u_logic/Vpkpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1589)
wire \u_logic/Vplpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Vq2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(340)
wire \u_logic/Vqgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Vqjbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1715)
wire \u_logic/Vr1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Vrmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Vrtiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(701)
wire \u_logic/Vrtpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
wire \u_logic/Vs0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(314)
wire \u_logic/Vtzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(301)
wire \u_logic/Vuciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(475)
wire \u_logic/Vviiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(556)
wire \u_logic/Vvpiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(649)
wire \u_logic/Vw3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(356)
wire \u_logic/Vx9iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(436)
wire \u_logic/Vygax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Vynow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Vz8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Vzdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Vzjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1588)
wire \u_logic/Vzupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/W0dbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/W0jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W0piu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(638)
wire \u_logic/W1phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/W2jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W40iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(305)
wire \u_logic/W48ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(955)
wire \u_logic/W4aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/W4epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1517)
wire \u_logic/W4jax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/W4siu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(680)
wire \u_logic/W51bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/W55ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(854)
wire \u_logic/W5ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/W6ipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1584)
wire \u_logic/W6yhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(279)
wire \u_logic/W74iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/W7biu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(453)
wire \u_logic/W7cow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1010)
wire \u_logic/W8hbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Wa0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(789)
wire \u_logic/Wa7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(882)
wire \u_logic/Wahbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1711)
wire \u_logic/Wamiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(602)
wire \u_logic/Wanow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1158)
wire \u_logic/Wb0iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(308)
wire \u_logic/Wc2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/Wc5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(856)
wire \u_logic/Wdyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1306)
wire \u_logic/We3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(830)
wire \u_logic/Wfcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1702)
wire \u_logic/Wfihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Wfspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Wfviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(724)
wire \u_logic/Wfwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1280)
wire \u_logic/Wgipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Wh0ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(791)
wire \u_logic/Widax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1638)
wire \u_logic/Wjyiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(765)
wire \u_logic/Wkciu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(472)
wire \u_logic/Wkipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Wlcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1015)
wire \u_logic/Wlspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Wmviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(726)
wire \u_logic/Wmzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Wnxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Wo1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(326)
wire \u_logic/Wo1pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1350)
wire \u_logic/Wofiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(513)
wire \u_logic/Woiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Womiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(607)
wire \u_logic/Wp0pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1337)
wire \u_logic/Wpyax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Wq8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Wqdbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Wqzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(300)
wire \u_logic/Wr4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Wr4ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Ws4iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(368)
wire \u_logic/Wt3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Wtaiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(448)
wire \u_logic/Wtviu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(729)
wire \u_logic/Wtxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Wu3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Wu9pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1460)
wire \u_logic/Wvgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1645)
wire \u_logic/Ww6ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(877)
wire \u_logic/Wwiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Wwihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(132)
wire \u_logic/Wwsiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(690)
wire \u_logic/Wxgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Wxjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Wxzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Wyiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1649)
wire \u_logic/Wz4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(370)
wire \u_logic/Wzpiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(651)
wire \u_logic/X10iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/X1epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/X1fow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1048)
wire \u_logic/X1liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(585)
wire \u_logic/X3qiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(652)
wire \u_logic/X42qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1621)
wire \u_logic/X44iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/X53pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1370)
wire \u_logic/X5bax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/X5opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/X5phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(158)
wire \u_logic/X5upw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1606)
wire \u_logic/X6jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/X6niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(613)
wire \u_logic/X7abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1697)
wire \u_logic/X7miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(600)
wire \u_logic/X7now6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1157)
wire \u_logic/X7uow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1250)
wire \u_logic/X7ypw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1614)
wire \u_logic/X80iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/X87iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(400)
wire \u_logic/X8ziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(775)
wire \u_logic/X9zhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(294)
wire \u_logic/Xaeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Xajbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1714)
wire \u_logic/Xb4pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1386)
wire \u_logic/Xbcow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1011)
wire \u_logic/Xbiiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(548)
wire \u_logic/Xbxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1292)
wire \u_logic/Xc2ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(816)
wire \u_logic/Xc9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1630)
wire \u_logic/Xd2iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(335)
wire \u_logic/Xdcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1636)
wire \u_logic/Xdebx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1705)
wire \u_logic/Xdspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1603)
wire \u_logic/Xf7pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1427)
wire \u_logic/Xf8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1628)
wire \u_logic/Xfliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(590)
wire \u_logic/Xfmow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1146)
wire \u_logic/Xi4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(364)
wire \u_logic/Xiaju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(925)
wire \u_logic/Xiipw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1585)
wire \u_logic/Xl1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(325)
wire \u_logic/Xmmow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1149)
wire \u_logic/Xn7ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1627)
wire \u_logic/Xnbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Xneow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1042)
wire \u_logic/Xo1bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1682)
wire \u_logic/Xozax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Xpeax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1640)
wire \u_logic/Xpqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1204)
wire \u_logic/Xpxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Xq2bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1684)
wire \u_logic/Xqcax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Xr9ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Xrgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(528)
wire \u_logic/Xrxax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1675)
wire \u_logic/Xs1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(327)
wire \u_logic/Xsmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(608)
wire \u_logic/Xttow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1245)
wire \u_logic/Xu2qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1622)
wire \u_logic/Xuiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Xuyiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(769)
wire \u_logic/Xuzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(301)
wire \u_logic/Xv6ow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(938)
wire \u_logic/Xv8bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1695)
wire \u_logic/Xvqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Xvrow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1219)
wire \u_logic/Xwaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1633)
wire \u_logic/Xx6bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1691)
wire \u_logic/Xxqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1600)
wire \u_logic/Xxupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1608)
wire \u_logic/Xyohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/Xyuiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(717)
wire \u_logic/Xznow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1167)
wire \u_logic/Y0gbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1708)
wire \u_logic/Y0jiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(558)
wire \u_logic/Y1qow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1195)
wire \u_logic/Y23pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1369)
wire \u_logic/Y2fax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1641)
wire \u_logic/Y2phu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(157)
wire \u_logic/Y3niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(612)
wire \u_logic/Y40ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(787)
wire \u_logic/Y47ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(880)
wire \u_logic/Y4miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(599)
wire \u_logic/Y50iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(306)
wire \u_logic/Y5dax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1637)
wire \u_logic/Y5eiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(493)
wire \u_logic/Y5lhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(138)
wire \u_logic/Y5liu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(586)
wire \u_logic/Y72bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1683)
wire \u_logic/Y7cpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1491)
wire \u_logic/Y7opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Y84iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(360)
wire \u_logic/Y8lpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1590)
wire \u_logic/Y9iow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1091)
wire \u_logic/Ya1ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(802)
wire \u_logic/Yavow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1265)
wire \u_logic/Yb8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(415)
wire \u_logic/Ybihu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(131)
wire \u_logic/Yc7iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(402)
wire \u_logic/Ycliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(589)
wire \u_logic/Ydeow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1039)
wire \u_logic/Ydgax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Ydkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(576)
wire \u_logic/Ydopw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Yecpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1494)
wire \u_logic/Yf1qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1620)
wire \u_logic/Yf3ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(831)
wire \u_logic/Yfcow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1013)
wire \u_logic/Yfqow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1200)
wire \u_logic/Yg3iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(350)
wire \u_logic/Yh8ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(898)
wire \u_logic/Yi1iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(324)
wire \u_logic/Yi7ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(885)
wire \u_logic/Yi8iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(417)
wire \u_logic/Yjaax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1632)
wire \u_logic/Yjtow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1242)
wire \u_logic/Yjupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Ykkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(579)
wire \u_logic/Yklpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Yl6ow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(935)
wire \u_logic/Yljiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(566)
wire \u_logic/Ym3qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1624)
wire \u_logic/Ym4iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(365)
wire \u_logic/Ymwiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(740)
wire \u_logic/Ymwpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1611)
wire \u_logic/Yn3iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(352)
wire \u_logic/Yo1ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(807)
wire \u_logic/Yogax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1644)
wire \u_logic/Yokhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(137)
wire \u_logic/Yoniu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(620)
wire \u_logic/Yp8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(420)
wire \u_logic/Yqzax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Yryax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1677)
wire \u_logic/Ys4ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(849)
wire \u_logic/Ysiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Ysyow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1312)
wire \u_logic/Yt3ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(836)
wire \u_logic/Yt4bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1688)
wire \u_logic/Yubbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1700)
wire \u_logic/Yvabx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1699)
wire \u_logic/Yvgiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(529)
wire \u_logic/Yvjpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1587)
wire \u_logic/Yw1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(329)
wire \u_logic/Yw3bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1686)
wire \u_logic/Yxdax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1639)
wire \u_logic/Yxrpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1602)
wire \u_logic/Yybax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1635)
wire \u_logic/Yyzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(303)
wire \u_logic/Yz5pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1408)
wire \u_logic/Yzlpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Yzqiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(664)
wire \u_logic/Yzqpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1601)
wire \u_logic/Yzspw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1604)
wire \u_logic/Z08ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(892)
wire \u_logic/Z0niu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(611)
wire \u_logic/Z1miu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(598)
wire \u_logic/Z20iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(304)
wire \u_logic/Z2aax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1631)
wire \u_logic/Z2epw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1516)
wire \u_logic/Z3sow6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1222)
wire \u_logic/Z47ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Z4jiu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(559)
wire \u_logic/Z54iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(359)
wire \u_logic/Z5aju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(921)
wire \u_logic/Z63iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(346)
wire \u_logic/Z67ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1626)
wire \u_logic/Z6viu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(720)
wire \u_logic/Z71bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1681)
wire \u_logic/Z73qw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1623)
wire \u_logic/Z79pw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1451)
wire \u_logic/Z8jpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1586)
wire \u_logic/Z90iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(307)
wire \u_logic/Z9abx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1698)
wire \u_logic/Z9opw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1596)
wire \u_logic/Zbjiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(562)
wire \u_logic/Zbyhu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(281)
wire \u_logic/Zdcbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1701)
wire \u_logic/Zdiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1647)
wire \u_logic/Zdtpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1605)
wire \u_logic/Zelhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(139)
wire \u_logic/Zf7ju6 ; // ../rtl/topmodule/cortexm0ds_logic.v(884)
wire \u_logic/Zf8iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(416)
wire \u_logic/Zfmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(603)
wire \u_logic/Zgbax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1634)
wire \u_logic/Zgfax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1642)
wire \u_logic/Zgziu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(778)
wire \u_logic/Zicpw6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(1495)
wire \u_logic/Zl9bx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1696)
wire \u_logic/Zl9iu6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(432)
wire \u_logic/Zm8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Zodbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1704)
wire \u_logic/Zokiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(580)
wire \u_logic/Zqiax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1648)
wire \u_logic/Zrwow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1285)
wire \u_logic/Zslpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1591)
wire \u_logic/Zszax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1679)
wire \u_logic/Zt1iu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(328)
wire \u_logic/Ztgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Ztmiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(609)
wire \u_logic/Ztupw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1607)
wire \u_logic/Zuliu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(596)
wire \u_logic/Zv5ju6_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(863)
wire \u_logic/Zvgbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1710)
wire \u_logic/Zvkiu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(583)
wire \u_logic/Zvzhu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(302)
wire \u_logic/Zwnpw6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1595)
wire \u_logic/Zx8ax6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1629)
wire \u_logic/Zxxow6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1300)
wire \u_logic/Zycbx6 ; // ../rtl/topmodule/cortexm0ds_logic.v(1703)
wire \u_logic/Zzohu6 ; // ../rtl/topmodule/cortexm0ds_logic.v(156)
wire \u_logic/_al_u1003_o ;
wire \u_logic/_al_u1018_o ;
wire \u_logic/_al_u1021_o ;
wire \u_logic/_al_u1030_o ;
wire \u_logic/_al_u1034_o ;
wire \u_logic/_al_u1036_o ;
wire \u_logic/_al_u1040_o ;
wire \u_logic/_al_u1042_o ;
wire \u_logic/_al_u1043_o ;
wire \u_logic/_al_u1045_o ;
wire \u_logic/_al_u1047_o ;
wire \u_logic/_al_u1050_o ;
wire \u_logic/_al_u1052_o ;
wire \u_logic/_al_u1054_o ;
wire \u_logic/_al_u1055_o ;
wire \u_logic/_al_u1056_o ;
wire \u_logic/_al_u1059_o ;
wire \u_logic/_al_u1060_o ;
wire \u_logic/_al_u1061_o ;
wire \u_logic/_al_u1062_o ;
wire \u_logic/_al_u1063_o ;
wire \u_logic/_al_u1064_o ;
wire \u_logic/_al_u1065_o ;
wire \u_logic/_al_u1067_o ;
wire \u_logic/_al_u1068_o ;
wire \u_logic/_al_u1069_o ;
wire \u_logic/_al_u106_o ;
wire \u_logic/_al_u1070_o ;
wire \u_logic/_al_u1071_o ;
wire \u_logic/_al_u1072_o ;
wire \u_logic/_al_u1073_o ;
wire \u_logic/_al_u1075_o ;
wire \u_logic/_al_u1076_o ;
wire \u_logic/_al_u1077_o ;
wire \u_logic/_al_u1078_o ;
wire \u_logic/_al_u1080_o ;
wire \u_logic/_al_u1081_o ;
wire \u_logic/_al_u1083_o ;
wire \u_logic/_al_u1084_o ;
wire \u_logic/_al_u1085_o ;
wire \u_logic/_al_u1086_o ;
wire \u_logic/_al_u1087_o ;
wire \u_logic/_al_u1089_o ;
wire \u_logic/_al_u1091_o ;
wire \u_logic/_al_u1092_o ;
wire \u_logic/_al_u1094_o ;
wire \u_logic/_al_u1095_o ;
wire \u_logic/_al_u1096_o ;
wire \u_logic/_al_u1097_o ;
wire \u_logic/_al_u1098_o ;
wire \u_logic/_al_u1099_o ;
wire \u_logic/_al_u1100_o ;
wire \u_logic/_al_u1101_o ;
wire \u_logic/_al_u1103_o ;
wire \u_logic/_al_u1110_o ;
wire \u_logic/_al_u1114_o ;
wire \u_logic/_al_u1115_o ;
wire \u_logic/_al_u1118_o ;
wire \u_logic/_al_u1119_o ;
wire \u_logic/_al_u1121_o ;
wire \u_logic/_al_u1122_o ;
wire \u_logic/_al_u1126_o ;
wire \u_logic/_al_u1127_o ;
wire \u_logic/_al_u1130_o ;
wire \u_logic/_al_u1133_o ;
wire \u_logic/_al_u1135_o ;
wire \u_logic/_al_u1137_o ;
wire \u_logic/_al_u1138_o ;
wire \u_logic/_al_u1141_o ;
wire \u_logic/_al_u1142_o ;
wire \u_logic/_al_u1143_o ;
wire \u_logic/_al_u1145_o ;
wire \u_logic/_al_u1146_o ;
wire \u_logic/_al_u1148_o ;
wire \u_logic/_al_u1150_o ;
wire \u_logic/_al_u1153_o ;
wire \u_logic/_al_u1154_o ;
wire \u_logic/_al_u1155_o ;
wire \u_logic/_al_u1157_o ;
wire \u_logic/_al_u1158_o ;
wire \u_logic/_al_u1159_o ;
wire \u_logic/_al_u1160_o ;
wire \u_logic/_al_u1161_o ;
wire \u_logic/_al_u1162_o ;
wire \u_logic/_al_u1164_o ;
wire \u_logic/_al_u1165_o ;
wire \u_logic/_al_u1166_o ;
wire \u_logic/_al_u1168_o ;
wire \u_logic/_al_u1170_o ;
wire \u_logic/_al_u1171_o ;
wire \u_logic/_al_u1172_o ;
wire \u_logic/_al_u1174_o ;
wire \u_logic/_al_u1176_o ;
wire \u_logic/_al_u1177_o ;
wire \u_logic/_al_u1178_o ;
wire \u_logic/_al_u1179_o ;
wire \u_logic/_al_u1180_o ;
wire \u_logic/_al_u1181_o ;
wire \u_logic/_al_u1183_o ;
wire \u_logic/_al_u1184_o ;
wire \u_logic/_al_u1185_o ;
wire \u_logic/_al_u1187_o ;
wire \u_logic/_al_u1190_o ;
wire \u_logic/_al_u1191_o ;
wire \u_logic/_al_u1192_o ;
wire \u_logic/_al_u1193_o ;
wire \u_logic/_al_u1194_o ;
wire \u_logic/_al_u1195_o ;
wire \u_logic/_al_u1197_o ;
wire \u_logic/_al_u1198_o ;
wire \u_logic/_al_u1199_o ;
wire \u_logic/_al_u1200_o ;
wire \u_logic/_al_u1202_o ;
wire \u_logic/_al_u1203_o ;
wire \u_logic/_al_u1204_o ;
wire \u_logic/_al_u1205_o ;
wire \u_logic/_al_u1206_o ;
wire \u_logic/_al_u1211_o ;
wire \u_logic/_al_u1214_o ;
wire \u_logic/_al_u1215_o ;
wire \u_logic/_al_u1216_o ;
wire \u_logic/_al_u1217_o ;
wire \u_logic/_al_u1218_o ;
wire \u_logic/_al_u121_o ;
wire \u_logic/_al_u1221_o ;
wire \u_logic/_al_u1222_o ;
wire \u_logic/_al_u1223_o ;
wire \u_logic/_al_u1225_o ;
wire \u_logic/_al_u1226_o ;
wire \u_logic/_al_u1227_o ;
wire \u_logic/_al_u1228_o ;
wire \u_logic/_al_u1230_o ;
wire \u_logic/_al_u1231_o ;
wire \u_logic/_al_u1232_o ;
wire \u_logic/_al_u1235_o ;
wire \u_logic/_al_u1236_o ;
wire \u_logic/_al_u1237_o ;
wire \u_logic/_al_u1238_o ;
wire \u_logic/_al_u1239_o ;
wire \u_logic/_al_u1240_o ;
wire \u_logic/_al_u1241_o ;
wire \u_logic/_al_u1242_o ;
wire \u_logic/_al_u1244_o ;
wire \u_logic/_al_u1245_o ;
wire \u_logic/_al_u1246_o ;
wire \u_logic/_al_u1247_o ;
wire \u_logic/_al_u1248_o ;
wire \u_logic/_al_u1249_o ;
wire \u_logic/_al_u1253_o ;
wire \u_logic/_al_u1254_o ;
wire \u_logic/_al_u1255_o ;
wire \u_logic/_al_u1256_o ;
wire \u_logic/_al_u1257_o ;
wire \u_logic/_al_u1258_o ;
wire \u_logic/_al_u1260_o ;
wire \u_logic/_al_u1261_o ;
wire \u_logic/_al_u1262_o ;
wire \u_logic/_al_u1263_o ;
wire \u_logic/_al_u1264_o ;
wire \u_logic/_al_u1265_o ;
wire \u_logic/_al_u1266_o ;
wire \u_logic/_al_u1268_o ;
wire \u_logic/_al_u1269_o ;
wire \u_logic/_al_u126_o ;
wire \u_logic/_al_u1270_o ;
wire \u_logic/_al_u1271_o ;
wire \u_logic/_al_u1273_o ;
wire \u_logic/_al_u1274_o ;
wire \u_logic/_al_u1276_o ;
wire \u_logic/_al_u1277_o ;
wire \u_logic/_al_u1278_o ;
wire \u_logic/_al_u1279_o ;
wire \u_logic/_al_u1280_o ;
wire \u_logic/_al_u1281_o ;
wire \u_logic/_al_u1283_o ;
wire \u_logic/_al_u1284_o ;
wire \u_logic/_al_u1286_o ;
wire \u_logic/_al_u1287_o ;
wire \u_logic/_al_u1288_o ;
wire \u_logic/_al_u1289_o ;
wire \u_logic/_al_u128_o ;
wire \u_logic/_al_u1292_o ;
wire \u_logic/_al_u1293_o ;
wire \u_logic/_al_u1294_o ;
wire \u_logic/_al_u1295_o ;
wire \u_logic/_al_u1297_o ;
wire \u_logic/_al_u1301_o ;
wire \u_logic/_al_u1303_o ;
wire \u_logic/_al_u1305_o ;
wire \u_logic/_al_u1306_o ;
wire \u_logic/_al_u1309_o ;
wire \u_logic/_al_u130_o ;
wire \u_logic/_al_u1310_o ;
wire \u_logic/_al_u1311_o ;
wire \u_logic/_al_u1313_o ;
wire \u_logic/_al_u1314_o ;
wire \u_logic/_al_u1315_o ;
wire \u_logic/_al_u1318_o ;
wire \u_logic/_al_u1319_o ;
wire \u_logic/_al_u1320_o ;
wire \u_logic/_al_u1321_o ;
wire \u_logic/_al_u1324_o ;
wire \u_logic/_al_u1325_o ;
wire \u_logic/_al_u1326_o ;
wire \u_logic/_al_u1327_o ;
wire \u_logic/_al_u1328_o ;
wire \u_logic/_al_u1331_o ;
wire \u_logic/_al_u1332_o ;
wire \u_logic/_al_u1333_o ;
wire \u_logic/_al_u1334_o ;
wire \u_logic/_al_u1336_o ;
wire \u_logic/_al_u1337_o ;
wire \u_logic/_al_u1339_o ;
wire \u_logic/_al_u133_o ;
wire \u_logic/_al_u1340_o ;
wire \u_logic/_al_u1341_o ;
wire \u_logic/_al_u1343_o ;
wire \u_logic/_al_u1346_o ;
wire \u_logic/_al_u1347_o ;
wire \u_logic/_al_u1348_o ;
wire \u_logic/_al_u1349_o ;
wire \u_logic/_al_u134_o ;
wire \u_logic/_al_u1350_o ;
wire \u_logic/_al_u1351_o ;
wire \u_logic/_al_u1352_o ;
wire \u_logic/_al_u1353_o ;
wire \u_logic/_al_u1355_o ;
wire \u_logic/_al_u1356_o ;
wire \u_logic/_al_u1357_o ;
wire \u_logic/_al_u1358_o ;
wire \u_logic/_al_u1359_o ;
wire \u_logic/_al_u1360_o ;
wire \u_logic/_al_u1362_o ;
wire \u_logic/_al_u1364_o ;
wire \u_logic/_al_u1366_o ;
wire \u_logic/_al_u1367_o ;
wire \u_logic/_al_u1368_o ;
wire \u_logic/_al_u1369_o ;
wire \u_logic/_al_u1370_o ;
wire \u_logic/_al_u1373_o ;
wire \u_logic/_al_u1374_o ;
wire \u_logic/_al_u1375_o ;
wire \u_logic/_al_u1376_o ;
wire \u_logic/_al_u1379_o ;
wire \u_logic/_al_u1380_o ;
wire \u_logic/_al_u1381_o ;
wire \u_logic/_al_u1382_o ;
wire \u_logic/_al_u1383_o ;
wire \u_logic/_al_u1384_o ;
wire \u_logic/_al_u1386_o ;
wire \u_logic/_al_u1387_o ;
wire \u_logic/_al_u1388_o ;
wire \u_logic/_al_u1389_o ;
wire \u_logic/_al_u1390_o ;
wire \u_logic/_al_u1391_o ;
wire \u_logic/_al_u1392_o ;
wire \u_logic/_al_u1394_o ;
wire \u_logic/_al_u1395_o ;
wire \u_logic/_al_u1396_o ;
wire \u_logic/_al_u1397_o ;
wire \u_logic/_al_u1398_o ;
wire \u_logic/_al_u1402_o ;
wire \u_logic/_al_u1403_o ;
wire \u_logic/_al_u1404_o ;
wire \u_logic/_al_u1405_o ;
wire \u_logic/_al_u1406_o ;
wire \u_logic/_al_u1408_o ;
wire \u_logic/_al_u1409_o ;
wire \u_logic/_al_u1410_o ;
wire \u_logic/_al_u1411_o ;
wire \u_logic/_al_u1412_o ;
wire \u_logic/_al_u1413_o ;
wire \u_logic/_al_u1414_o ;
wire \u_logic/_al_u1416_o ;
wire \u_logic/_al_u1417_o ;
wire \u_logic/_al_u1419_o ;
wire \u_logic/_al_u141_o ;
wire \u_logic/_al_u1420_o ;
wire \u_logic/_al_u1422_o ;
wire \u_logic/_al_u1423_o ;
wire \u_logic/_al_u1424_o ;
wire \u_logic/_al_u1425_o ;
wire \u_logic/_al_u1427_o ;
wire \u_logic/_al_u1428_o ;
wire \u_logic/_al_u142_o ;
wire \u_logic/_al_u1430_o ;
wire \u_logic/_al_u1432_o ;
wire \u_logic/_al_u1433_o ;
wire \u_logic/_al_u1435_o ;
wire \u_logic/_al_u1437_o ;
wire \u_logic/_al_u1438_o ;
wire \u_logic/_al_u1439_o ;
wire \u_logic/_al_u1440_o ;
wire \u_logic/_al_u1441_o ;
wire \u_logic/_al_u1442_o ;
wire \u_logic/_al_u1444_o ;
wire \u_logic/_al_u1445_o ;
wire \u_logic/_al_u1446_o ;
wire \u_logic/_al_u1447_o ;
wire \u_logic/_al_u1449_o ;
wire \u_logic/_al_u144_o ;
wire \u_logic/_al_u1451_o ;
wire \u_logic/_al_u1452_o ;
wire \u_logic/_al_u145_o ;
wire \u_logic/_al_u1463_o ;
wire \u_logic/_al_u1464_o ;
wire \u_logic/_al_u1465_o ;
wire \u_logic/_al_u1467_o ;
wire \u_logic/_al_u1468_o ;
wire \u_logic/_al_u1470_o ;
wire \u_logic/_al_u1472_o ;
wire \u_logic/_al_u1473_o ;
wire \u_logic/_al_u1474_o ;
wire \u_logic/_al_u1475_o ;
wire \u_logic/_al_u1476_o ;
wire \u_logic/_al_u1479_o ;
wire \u_logic/_al_u1480_o ;
wire \u_logic/_al_u1481_o ;
wire \u_logic/_al_u1482_o ;
wire \u_logic/_al_u1483_o ;
wire \u_logic/_al_u1486_o ;
wire \u_logic/_al_u1487_o ;
wire \u_logic/_al_u1489_o ;
wire \u_logic/_al_u148_o ;
wire \u_logic/_al_u1493_o ;
wire \u_logic/_al_u1495_o ;
wire \u_logic/_al_u1496_o ;
wire \u_logic/_al_u1497_o ;
wire \u_logic/_al_u1498_o ;
wire \u_logic/_al_u1499_o ;
wire \u_logic/_al_u1500_o ;
wire \u_logic/_al_u1502_o ;
wire \u_logic/_al_u1503_o ;
wire \u_logic/_al_u1504_o ;
wire \u_logic/_al_u1505_o ;
wire \u_logic/_al_u1507_o ;
wire \u_logic/_al_u1509_o ;
wire \u_logic/_al_u1511_o ;
wire \u_logic/_al_u1512_o ;
wire \u_logic/_al_u1514_o ;
wire \u_logic/_al_u1517_o ;
wire \u_logic/_al_u1520_o ;
wire \u_logic/_al_u1522_o ;
wire \u_logic/_al_u1524_o ;
wire \u_logic/_al_u1526_o ;
wire \u_logic/_al_u1528_o ;
wire \u_logic/_al_u1530_o ;
wire \u_logic/_al_u1532_o ;
wire \u_logic/_al_u1534_o ;
wire \u_logic/_al_u1536_o ;
wire \u_logic/_al_u1538_o ;
wire \u_logic/_al_u153_o ;
wire \u_logic/_al_u1540_o ;
wire \u_logic/_al_u1542_o ;
wire \u_logic/_al_u1544_o ;
wire \u_logic/_al_u1546_o ;
wire \u_logic/_al_u1548_o ;
wire \u_logic/_al_u154_o ;
wire \u_logic/_al_u1550_o ;
wire \u_logic/_al_u1552_o ;
wire \u_logic/_al_u1554_o ;
wire \u_logic/_al_u1556_o ;
wire \u_logic/_al_u1558_o ;
wire \u_logic/_al_u155_o ;
wire \u_logic/_al_u1560_o ;
wire \u_logic/_al_u1562_o ;
wire \u_logic/_al_u1564_o ;
wire \u_logic/_al_u1566_o ;
wire \u_logic/_al_u1567_o ;
wire \u_logic/_al_u156_o ;
wire \u_logic/_al_u1572_o ;
wire \u_logic/_al_u1573_o ;
wire \u_logic/_al_u1574_o ;
wire \u_logic/_al_u1575_o ;
wire \u_logic/_al_u1578_o ;
wire \u_logic/_al_u1579_o ;
wire \u_logic/_al_u157_o ;
wire \u_logic/_al_u1580_o ;
wire \u_logic/_al_u1582_o ;
wire \u_logic/_al_u1584_o ;
wire \u_logic/_al_u1585_o ;
wire \u_logic/_al_u1586_o ;
wire \u_logic/_al_u158_o ;
wire \u_logic/_al_u1590_o ;
wire \u_logic/_al_u1592_o ;
wire \u_logic/_al_u1595_o ;
wire \u_logic/_al_u1598_o ;
wire \u_logic/_al_u159_o ;
wire \u_logic/_al_u1600_o ;
wire \u_logic/_al_u1601_o ;
wire \u_logic/_al_u1603_o ;
wire \u_logic/_al_u1604_o ;
wire \u_logic/_al_u1605_o ;
wire \u_logic/_al_u1609_o ;
wire \u_logic/_al_u1610_o ;
wire \u_logic/_al_u1611_o ;
wire \u_logic/_al_u1612_o ;
wire \u_logic/_al_u1613_o ;
wire \u_logic/_al_u1614_o ;
wire \u_logic/_al_u1615_o ;
wire \u_logic/_al_u1616_o ;
wire \u_logic/_al_u1617_o ;
wire \u_logic/_al_u1618_o ;
wire \u_logic/_al_u1620_o ;
wire \u_logic/_al_u1622_o ;
wire \u_logic/_al_u1623_o ;
wire \u_logic/_al_u1625_o ;
wire \u_logic/_al_u1626_o ;
wire \u_logic/_al_u1628_o ;
wire \u_logic/_al_u1630_o ;
wire \u_logic/_al_u1632_o ;
wire \u_logic/_al_u1634_o ;
wire \u_logic/_al_u1636_o ;
wire \u_logic/_al_u1637_o ;
wire \u_logic/_al_u1639_o ;
wire \u_logic/_al_u1641_o ;
wire \u_logic/_al_u1643_o ;
wire \u_logic/_al_u1645_o ;
wire \u_logic/_al_u1647_o ;
wire \u_logic/_al_u1649_o ;
wire \u_logic/_al_u1651_o ;
wire \u_logic/_al_u1653_o ;
wire \u_logic/_al_u1655_o ;
wire \u_logic/_al_u1659_o ;
wire \u_logic/_al_u1660_o ;
wire \u_logic/_al_u1662_o ;
wire \u_logic/_al_u1663_o ;
wire \u_logic/_al_u1666_o ;
wire \u_logic/_al_u1667_o ;
wire \u_logic/_al_u1668_o ;
wire \u_logic/_al_u1669_o ;
wire \u_logic/_al_u1672_o ;
wire \u_logic/_al_u1673_o ;
wire \u_logic/_al_u1675_o ;
wire \u_logic/_al_u1676_o ;
wire \u_logic/_al_u1677_o ;
wire \u_logic/_al_u1679_o ;
wire \u_logic/_al_u1681_o ;
wire \u_logic/_al_u1682_o ;
wire \u_logic/_al_u1683_o ;
wire \u_logic/_al_u1684_o ;
wire \u_logic/_al_u1685_o ;
wire \u_logic/_al_u1688_o ;
wire \u_logic/_al_u1689_o ;
wire \u_logic/_al_u1691_o ;
wire \u_logic/_al_u1693_o ;
wire \u_logic/_al_u1694_o ;
wire \u_logic/_al_u1696_o ;
wire \u_logic/_al_u1697_o ;
wire \u_logic/_al_u1699_o ;
wire \u_logic/_al_u1700_o ;
wire \u_logic/_al_u1702_o ;
wire \u_logic/_al_u1704_o ;
wire \u_logic/_al_u1705_o ;
wire \u_logic/_al_u1710_o ;
wire \u_logic/_al_u1713_o ;
wire \u_logic/_al_u1714_o ;
wire \u_logic/_al_u1716_o ;
wire \u_logic/_al_u1717_o ;
wire \u_logic/_al_u1718_o ;
wire \u_logic/_al_u1720_o ;
wire \u_logic/_al_u1721_o ;
wire \u_logic/_al_u1723_o ;
wire \u_logic/_al_u1725_o ;
wire \u_logic/_al_u1727_o ;
wire \u_logic/_al_u1728_o ;
wire \u_logic/_al_u1729_o ;
wire \u_logic/_al_u1731_o ;
wire \u_logic/_al_u1732_o ;
wire \u_logic/_al_u1733_o ;
wire \u_logic/_al_u1747_o ;
wire \u_logic/_al_u1749_o ;
wire \u_logic/_al_u1752_o ;
wire \u_logic/_al_u1754_o ;
wire \u_logic/_al_u1755_o ;
wire \u_logic/_al_u1756_o ;
wire \u_logic/_al_u1757_o ;
wire \u_logic/_al_u1758_o ;
wire \u_logic/_al_u1759_o ;
wire \u_logic/_al_u1763_o ;
wire \u_logic/_al_u1765_o ;
wire \u_logic/_al_u1766_o ;
wire \u_logic/_al_u1767_o ;
wire \u_logic/_al_u1768_o ;
wire \u_logic/_al_u1769_o ;
wire \u_logic/_al_u1770_o ;
wire \u_logic/_al_u1771_o ;
wire \u_logic/_al_u1772_o ;
wire \u_logic/_al_u1773_o ;
wire \u_logic/_al_u1774_o ;
wire \u_logic/_al_u1776_o ;
wire \u_logic/_al_u1777_o ;
wire \u_logic/_al_u1778_o ;
wire \u_logic/_al_u1781_o ;
wire \u_logic/_al_u1782_o ;
wire \u_logic/_al_u1783_o ;
wire \u_logic/_al_u1784_o ;
wire \u_logic/_al_u1785_o ;
wire \u_logic/_al_u1787_o ;
wire \u_logic/_al_u1788_o ;
wire \u_logic/_al_u1789_o ;
wire \u_logic/_al_u178_o ;
wire \u_logic/_al_u1790_o ;
wire \u_logic/_al_u1791_o ;
wire \u_logic/_al_u1793_o ;
wire \u_logic/_al_u1794_o ;
wire \u_logic/_al_u1795_o ;
wire \u_logic/_al_u1796_o ;
wire \u_logic/_al_u1797_o ;
wire \u_logic/_al_u1798_o ;
wire \u_logic/_al_u1799_o ;
wire \u_logic/_al_u1800_o ;
wire \u_logic/_al_u1804_o ;
wire \u_logic/_al_u1805_o ;
wire \u_logic/_al_u1807_o ;
wire \u_logic/_al_u1808_o ;
wire \u_logic/_al_u1809_o ;
wire \u_logic/_al_u1810_o ;
wire \u_logic/_al_u1811_o ;
wire \u_logic/_al_u1812_o ;
wire \u_logic/_al_u1813_o ;
wire \u_logic/_al_u1814_o ;
wire \u_logic/_al_u1815_o ;
wire \u_logic/_al_u1816_o ;
wire \u_logic/_al_u1817_o ;
wire \u_logic/_al_u1818_o ;
wire \u_logic/_al_u181_o ;
wire \u_logic/_al_u1820_o ;
wire \u_logic/_al_u1821_o ;
wire \u_logic/_al_u1822_o ;
wire \u_logic/_al_u1824_o ;
wire \u_logic/_al_u1825_o ;
wire \u_logic/_al_u1826_o ;
wire \u_logic/_al_u1827_o ;
wire \u_logic/_al_u1830_o ;
wire \u_logic/_al_u1832_o ;
wire \u_logic/_al_u1833_o ;
wire \u_logic/_al_u1834_o ;
wire \u_logic/_al_u1836_o ;
wire \u_logic/_al_u1838_o ;
wire \u_logic/_al_u184_o ;
wire \u_logic/_al_u1864_o ;
wire \u_logic/_al_u1866_o ;
wire \u_logic/_al_u1867_o ;
wire \u_logic/_al_u1868_o ;
wire \u_logic/_al_u1869_o ;
wire \u_logic/_al_u1870_o ;
wire \u_logic/_al_u1872_o ;
wire \u_logic/_al_u1873_o ;
wire \u_logic/_al_u1874_o ;
wire \u_logic/_al_u1876_o ;
wire \u_logic/_al_u1878_o ;
wire \u_logic/_al_u187_o ;
wire \u_logic/_al_u1880_o ;
wire \u_logic/_al_u1883_o ;
wire \u_logic/_al_u1885_o ;
wire \u_logic/_al_u1886_o ;
wire \u_logic/_al_u1887_o ;
wire \u_logic/_al_u1889_o ;
wire \u_logic/_al_u1890_o ;
wire \u_logic/_al_u1891_o ;
wire \u_logic/_al_u1894_o ;
wire \u_logic/_al_u1895_o ;
wire \u_logic/_al_u1897_o ;
wire \u_logic/_al_u1898_o ;
wire \u_logic/_al_u1899_o ;
wire \u_logic/_al_u1901_o ;
wire \u_logic/_al_u1902_o ;
wire \u_logic/_al_u1903_o ;
wire \u_logic/_al_u1904_o ;
wire \u_logic/_al_u1907_o ;
wire \u_logic/_al_u1908_o ;
wire \u_logic/_al_u1909_o ;
wire \u_logic/_al_u190_o ;
wire \u_logic/_al_u1911_o ;
wire \u_logic/_al_u1912_o ;
wire \u_logic/_al_u1913_o ;
wire \u_logic/_al_u1916_o ;
wire \u_logic/_al_u1917_o ;
wire \u_logic/_al_u1919_o ;
wire \u_logic/_al_u191_o ;
wire \u_logic/_al_u1920_o ;
wire \u_logic/_al_u1921_o ;
wire \u_logic/_al_u1924_o ;
wire \u_logic/_al_u1925_o ;
wire \u_logic/_al_u1926_o ;
wire \u_logic/_al_u1928_o ;
wire \u_logic/_al_u1930_o ;
wire \u_logic/_al_u1932_o ;
wire \u_logic/_al_u1934_o ;
wire \u_logic/_al_u1935_o ;
wire \u_logic/_al_u1937_o ;
wire \u_logic/_al_u1942_o ;
wire \u_logic/_al_u1944_o ;
wire \u_logic/_al_u1946_o ;
wire \u_logic/_al_u1948_o ;
wire \u_logic/_al_u194_o ;
wire \u_logic/_al_u1951_o ;
wire \u_logic/_al_u1953_o ;
wire \u_logic/_al_u1956_o ;
wire \u_logic/_al_u1958_o ;
wire \u_logic/_al_u1960_o ;
wire \u_logic/_al_u1962_o ;
wire \u_logic/_al_u1963_o ;
wire \u_logic/_al_u1964_o ;
wire \u_logic/_al_u1965_o ;
wire \u_logic/_al_u1966_o ;
wire \u_logic/_al_u1969_o ;
wire \u_logic/_al_u1970_o ;
wire \u_logic/_al_u1971_o ;
wire \u_logic/_al_u1972_o ;
wire \u_logic/_al_u1973_o ;
wire \u_logic/_al_u1974_o ;
wire \u_logic/_al_u1977_o ;
wire \u_logic/_al_u197_o ;
wire \u_logic/_al_u1980_o ;
wire \u_logic/_al_u1982_o ;
wire \u_logic/_al_u1983_o ;
wire \u_logic/_al_u1984_o ;
wire \u_logic/_al_u1985_o ;
wire \u_logic/_al_u1987_o ;
wire \u_logic/_al_u1988_o ;
wire \u_logic/_al_u1989_o ;
wire \u_logic/_al_u198_o ;
wire \u_logic/_al_u1990_o ;
wire \u_logic/_al_u1991_o ;
wire \u_logic/_al_u1993_o ;
wire \u_logic/_al_u1994_o ;
wire \u_logic/_al_u1995_o ;
wire \u_logic/_al_u1996_o ;
wire \u_logic/_al_u1998_o ;
wire \u_logic/_al_u1999_o ;
wire \u_logic/_al_u2000_o ;
wire \u_logic/_al_u2001_o ;
wire \u_logic/_al_u2002_o ;
wire \u_logic/_al_u2003_o ;
wire \u_logic/_al_u2004_o ;
wire \u_logic/_al_u2005_o ;
wire \u_logic/_al_u200_o ;
wire \u_logic/_al_u2010_o ;
wire \u_logic/_al_u2011_o ;
wire \u_logic/_al_u2012_o ;
wire \u_logic/_al_u2013_o ;
wire \u_logic/_al_u2014_o ;
wire \u_logic/_al_u2016_o ;
wire \u_logic/_al_u2017_o ;
wire \u_logic/_al_u2019_o ;
wire \u_logic/_al_u2020_o ;
wire \u_logic/_al_u2021_o ;
wire \u_logic/_al_u2023_o ;
wire \u_logic/_al_u2024_o ;
wire \u_logic/_al_u2025_o ;
wire \u_logic/_al_u2026_o ;
wire \u_logic/_al_u2028_o ;
wire \u_logic/_al_u2029_o ;
wire \u_logic/_al_u2030_o ;
wire \u_logic/_al_u2032_o ;
wire \u_logic/_al_u2033_o ;
wire \u_logic/_al_u2034_o ;
wire \u_logic/_al_u2037_o ;
wire \u_logic/_al_u2038_o ;
wire \u_logic/_al_u2039_o ;
wire \u_logic/_al_u203_o ;
wire \u_logic/_al_u2040_o ;
wire \u_logic/_al_u2042_o ;
wire \u_logic/_al_u2043_o ;
wire \u_logic/_al_u2045_o ;
wire \u_logic/_al_u2046_o ;
wire \u_logic/_al_u2048_o ;
wire \u_logic/_al_u2049_o ;
wire \u_logic/_al_u2051_o ;
wire \u_logic/_al_u2052_o ;
wire \u_logic/_al_u2053_o ;
wire \u_logic/_al_u2056_o ;
wire \u_logic/_al_u2057_o ;
wire \u_logic/_al_u2058_o ;
wire \u_logic/_al_u2059_o ;
wire \u_logic/_al_u205_o ;
wire \u_logic/_al_u2062_o ;
wire \u_logic/_al_u2065_o ;
wire \u_logic/_al_u2066_o ;
wire \u_logic/_al_u2068_o ;
wire \u_logic/_al_u206_o ;
wire \u_logic/_al_u2070_o ;
wire \u_logic/_al_u2071_o ;
wire \u_logic/_al_u2072_o ;
wire \u_logic/_al_u2073_o ;
wire \u_logic/_al_u2074_o ;
wire \u_logic/_al_u2075_o ;
wire \u_logic/_al_u2076_o ;
wire \u_logic/_al_u2077_o ;
wire \u_logic/_al_u2078_o ;
wire \u_logic/_al_u2079_o ;
wire \u_logic/_al_u2080_o ;
wire \u_logic/_al_u2081_o ;
wire \u_logic/_al_u2082_o ;
wire \u_logic/_al_u2083_o ;
wire \u_logic/_al_u2084_o ;
wire \u_logic/_al_u2085_o ;
wire \u_logic/_al_u2086_o ;
wire \u_logic/_al_u2087_o ;
wire \u_logic/_al_u2091_o ;
wire \u_logic/_al_u2094_o ;
wire \u_logic/_al_u2095_o ;
wire \u_logic/_al_u2097_o ;
wire \u_logic/_al_u209_o ;
wire \u_logic/_al_u2100_o ;
wire \u_logic/_al_u2101_o ;
wire \u_logic/_al_u2102_o ;
wire \u_logic/_al_u2104_o ;
wire \u_logic/_al_u2105_o ;
wire \u_logic/_al_u2106_o ;
wire \u_logic/_al_u2107_o ;
wire \u_logic/_al_u2108_o ;
wire \u_logic/_al_u2109_o ;
wire \u_logic/_al_u210_o ;
wire \u_logic/_al_u2110_o ;
wire \u_logic/_al_u2111_o ;
wire \u_logic/_al_u2112_o ;
wire \u_logic/_al_u2113_o ;
wire \u_logic/_al_u2114_o ;
wire \u_logic/_al_u2115_o ;
wire \u_logic/_al_u2116_o ;
wire \u_logic/_al_u2118_o ;
wire \u_logic/_al_u2119_o ;
wire \u_logic/_al_u211_o ;
wire \u_logic/_al_u2121_o ;
wire \u_logic/_al_u2122_o ;
wire \u_logic/_al_u2123_o ;
wire \u_logic/_al_u2124_o ;
wire \u_logic/_al_u2125_o ;
wire \u_logic/_al_u2126_o ;
wire \u_logic/_al_u2128_o ;
wire \u_logic/_al_u2129_o ;
wire \u_logic/_al_u212_o ;
wire \u_logic/_al_u2130_o ;
wire \u_logic/_al_u2131_o ;
wire \u_logic/_al_u2132_o ;
wire \u_logic/_al_u2133_o ;
wire \u_logic/_al_u2134_o ;
wire \u_logic/_al_u2135_o ;
wire \u_logic/_al_u2137_o ;
wire \u_logic/_al_u2138_o ;
wire \u_logic/_al_u2140_o ;
wire \u_logic/_al_u2141_o ;
wire \u_logic/_al_u2142_o ;
wire \u_logic/_al_u2143_o ;
wire \u_logic/_al_u2144_o ;
wire \u_logic/_al_u2146_o ;
wire \u_logic/_al_u2147_o ;
wire \u_logic/_al_u2148_o ;
wire \u_logic/_al_u2150_o ;
wire \u_logic/_al_u2151_o ;
wire \u_logic/_al_u2152_o ;
wire \u_logic/_al_u2153_o ;
wire \u_logic/_al_u2154_o ;
wire \u_logic/_al_u2155_o ;
wire \u_logic/_al_u2156_o ;
wire \u_logic/_al_u2157_o ;
wire \u_logic/_al_u2158_o ;
wire \u_logic/_al_u2159_o ;
wire \u_logic/_al_u215_o ;
wire \u_logic/_al_u2160_o ;
wire \u_logic/_al_u2161_o ;
wire \u_logic/_al_u2162_o ;
wire \u_logic/_al_u2163_o ;
wire \u_logic/_al_u2164_o ;
wire \u_logic/_al_u2165_o ;
wire \u_logic/_al_u2166_o ;
wire \u_logic/_al_u2167_o ;
wire \u_logic/_al_u2168_o ;
wire \u_logic/_al_u2169_o ;
wire \u_logic/_al_u216_o ;
wire \u_logic/_al_u2170_o ;
wire \u_logic/_al_u2171_o ;
wire \u_logic/_al_u2172_o ;
wire \u_logic/_al_u2173_o ;
wire \u_logic/_al_u2174_o ;
wire \u_logic/_al_u2175_o ;
wire \u_logic/_al_u2176_o ;
wire \u_logic/_al_u2178_o ;
wire \u_logic/_al_u2179_o ;
wire \u_logic/_al_u2180_o ;
wire \u_logic/_al_u2183_o ;
wire \u_logic/_al_u2185_o ;
wire \u_logic/_al_u2186_o ;
wire \u_logic/_al_u2187_o ;
wire \u_logic/_al_u2188_o ;
wire \u_logic/_al_u2189_o ;
wire \u_logic/_al_u218_o ;
wire \u_logic/_al_u2190_o ;
wire \u_logic/_al_u2191_o ;
wire \u_logic/_al_u2192_o ;
wire \u_logic/_al_u2193_o ;
wire \u_logic/_al_u2194_o ;
wire \u_logic/_al_u2195_o ;
wire \u_logic/_al_u2196_o ;
wire \u_logic/_al_u2197_o ;
wire \u_logic/_al_u2198_o ;
wire \u_logic/_al_u2200_o ;
wire \u_logic/_al_u2201_o ;
wire \u_logic/_al_u2202_o ;
wire \u_logic/_al_u2203_o ;
wire \u_logic/_al_u2204_o ;
wire \u_logic/_al_u2206_o ;
wire \u_logic/_al_u2207_o ;
wire \u_logic/_al_u2208_o ;
wire \u_logic/_al_u2209_o ;
wire \u_logic/_al_u2210_o ;
wire \u_logic/_al_u2211_o ;
wire \u_logic/_al_u2213_o ;
wire \u_logic/_al_u2214_o ;
wire \u_logic/_al_u2215_o ;
wire \u_logic/_al_u2216_o ;
wire \u_logic/_al_u2217_o ;
wire \u_logic/_al_u2218_o ;
wire \u_logic/_al_u2219_o ;
wire \u_logic/_al_u2220_o ;
wire \u_logic/_al_u2222_o ;
wire \u_logic/_al_u2223_o ;
wire \u_logic/_al_u2224_o ;
wire \u_logic/_al_u2225_o ;
wire \u_logic/_al_u2226_o ;
wire \u_logic/_al_u2227_o ;
wire \u_logic/_al_u2228_o ;
wire \u_logic/_al_u2229_o ;
wire \u_logic/_al_u222_o ;
wire \u_logic/_al_u2230_o ;
wire \u_logic/_al_u2231_o ;
wire \u_logic/_al_u2232_o ;
wire \u_logic/_al_u2233_o ;
wire \u_logic/_al_u2234_o ;
wire \u_logic/_al_u2235_o ;
wire \u_logic/_al_u2236_o ;
wire \u_logic/_al_u2237_o ;
wire \u_logic/_al_u2238_o ;
wire \u_logic/_al_u223_o ;
wire \u_logic/_al_u2240_o ;
wire \u_logic/_al_u2241_o ;
wire \u_logic/_al_u2242_o ;
wire \u_logic/_al_u2243_o ;
wire \u_logic/_al_u2244_o ;
wire \u_logic/_al_u2245_o ;
wire \u_logic/_al_u2247_o ;
wire \u_logic/_al_u2248_o ;
wire \u_logic/_al_u224_o ;
wire \u_logic/_al_u2251_o ;
wire \u_logic/_al_u2265_o ;
wire \u_logic/_al_u2272_o ;
wire \u_logic/_al_u2273_o ;
wire \u_logic/_al_u2274_o ;
wire \u_logic/_al_u2275_o ;
wire \u_logic/_al_u2276_o ;
wire \u_logic/_al_u2279_o ;
wire \u_logic/_al_u227_o ;
wire \u_logic/_al_u2280_o ;
wire \u_logic/_al_u2281_o ;
wire \u_logic/_al_u2282_o ;
wire \u_logic/_al_u2283_o ;
wire \u_logic/_al_u2284_o ;
wire \u_logic/_al_u2285_o ;
wire \u_logic/_al_u2286_o ;
wire \u_logic/_al_u2287_o ;
wire \u_logic/_al_u2288_o ;
wire \u_logic/_al_u2289_o ;
wire \u_logic/_al_u228_o ;
wire \u_logic/_al_u2290_o ;
wire \u_logic/_al_u2291_o ;
wire \u_logic/_al_u2292_o ;
wire \u_logic/_al_u2294_o ;
wire \u_logic/_al_u2295_o ;
wire \u_logic/_al_u2296_o ;
wire \u_logic/_al_u2297_o ;
wire \u_logic/_al_u2299_o ;
wire \u_logic/_al_u229_o ;
wire \u_logic/_al_u2300_o ;
wire \u_logic/_al_u2301_o ;
wire \u_logic/_al_u2302_o ;
wire \u_logic/_al_u2303_o ;
wire \u_logic/_al_u2304_o ;
wire \u_logic/_al_u2305_o ;
wire \u_logic/_al_u2306_o ;
wire \u_logic/_al_u2307_o ;
wire \u_logic/_al_u2308_o ;
wire \u_logic/_al_u2309_o ;
wire \u_logic/_al_u230_o ;
wire \u_logic/_al_u2310_o ;
wire \u_logic/_al_u2311_o ;
wire \u_logic/_al_u2312_o ;
wire \u_logic/_al_u2313_o ;
wire \u_logic/_al_u2314_o ;
wire \u_logic/_al_u2315_o ;
wire \u_logic/_al_u2316_o ;
wire \u_logic/_al_u2317_o ;
wire \u_logic/_al_u2318_o ;
wire \u_logic/_al_u2319_o ;
wire \u_logic/_al_u2320_o ;
wire \u_logic/_al_u2321_o ;
wire \u_logic/_al_u2322_o ;
wire \u_logic/_al_u2323_o ;
wire \u_logic/_al_u2325_o ;
wire \u_logic/_al_u2326_o ;
wire \u_logic/_al_u2327_o ;
wire \u_logic/_al_u2329_o ;
wire \u_logic/_al_u2330_o ;
wire \u_logic/_al_u2331_o ;
wire \u_logic/_al_u2332_o ;
wire \u_logic/_al_u2333_o ;
wire \u_logic/_al_u2335_o ;
wire \u_logic/_al_u2336_o ;
wire \u_logic/_al_u2337_o ;
wire \u_logic/_al_u2338_o ;
wire \u_logic/_al_u2339_o ;
wire \u_logic/_al_u233_o ;
wire \u_logic/_al_u2340_o ;
wire \u_logic/_al_u2341_o ;
wire \u_logic/_al_u2342_o ;
wire \u_logic/_al_u2347_o ;
wire \u_logic/_al_u2348_o ;
wire \u_logic/_al_u234_o ;
wire \u_logic/_al_u2350_o ;
wire \u_logic/_al_u2351_o ;
wire \u_logic/_al_u2353_o ;
wire \u_logic/_al_u2354_o ;
wire \u_logic/_al_u2355_o ;
wire \u_logic/_al_u2356_o ;
wire \u_logic/_al_u2358_o ;
wire \u_logic/_al_u235_o ;
wire \u_logic/_al_u2360_o ;
wire \u_logic/_al_u2361_o ;
wire \u_logic/_al_u2362_o ;
wire \u_logic/_al_u2363_o ;
wire \u_logic/_al_u2364_o ;
wire \u_logic/_al_u2365_o ;
wire \u_logic/_al_u2366_o ;
wire \u_logic/_al_u2367_o ;
wire \u_logic/_al_u2368_o ;
wire \u_logic/_al_u2369_o ;
wire \u_logic/_al_u236_o ;
wire \u_logic/_al_u2370_o ;
wire \u_logic/_al_u2371_o ;
wire \u_logic/_al_u2372_o ;
wire \u_logic/_al_u2373_o ;
wire \u_logic/_al_u2374_o ;
wire \u_logic/_al_u2375_o ;
wire \u_logic/_al_u2376_o ;
wire \u_logic/_al_u2377_o ;
wire \u_logic/_al_u2378_o ;
wire \u_logic/_al_u2379_o ;
wire \u_logic/_al_u2380_o ;
wire \u_logic/_al_u2381_o ;
wire \u_logic/_al_u2382_o ;
wire \u_logic/_al_u2383_o ;
wire \u_logic/_al_u2384_o ;
wire \u_logic/_al_u2386_o ;
wire \u_logic/_al_u2388_o ;
wire \u_logic/_al_u2389_o ;
wire \u_logic/_al_u2391_o ;
wire \u_logic/_al_u2393_o ;
wire \u_logic/_al_u2395_o ;
wire \u_logic/_al_u2397_o ;
wire \u_logic/_al_u2399_o ;
wire \u_logic/_al_u239_o ;
wire \u_logic/_al_u2401_o ;
wire \u_logic/_al_u2403_o ;
wire \u_logic/_al_u2405_o ;
wire \u_logic/_al_u2407_o ;
wire \u_logic/_al_u2409_o ;
wire \u_logic/_al_u240_o ;
wire \u_logic/_al_u2410_o ;
wire \u_logic/_al_u2412_o ;
wire \u_logic/_al_u2414_o ;
wire \u_logic/_al_u2416_o ;
wire \u_logic/_al_u2418_o ;
wire \u_logic/_al_u241_o ;
wire \u_logic/_al_u2420_o ;
wire \u_logic/_al_u2422_o ;
wire \u_logic/_al_u2424_o ;
wire \u_logic/_al_u2426_o ;
wire \u_logic/_al_u2428_o ;
wire \u_logic/_al_u2429_o ;
wire \u_logic/_al_u242_o ;
wire \u_logic/_al_u2430_o ;
wire \u_logic/_al_u2431_o ;
wire \u_logic/_al_u2432_o ;
wire \u_logic/_al_u2433_o ;
wire \u_logic/_al_u2434_o ;
wire \u_logic/_al_u2435_o ;
wire \u_logic/_al_u2436_o ;
wire \u_logic/_al_u2437_o ;
wire \u_logic/_al_u2438_o ;
wire \u_logic/_al_u2439_o ;
wire \u_logic/_al_u2440_o ;
wire \u_logic/_al_u2441_o ;
wire \u_logic/_al_u2442_o ;
wire \u_logic/_al_u2443_o ;
wire \u_logic/_al_u2444_o ;
wire \u_logic/_al_u2445_o ;
wire \u_logic/_al_u2447_o ;
wire \u_logic/_al_u2448_o ;
wire \u_logic/_al_u2450_o ;
wire \u_logic/_al_u2451_o ;
wire \u_logic/_al_u2452_o ;
wire \u_logic/_al_u2453_o ;
wire \u_logic/_al_u2456_o ;
wire \u_logic/_al_u2458_o ;
wire \u_logic/_al_u2459_o ;
wire \u_logic/_al_u245_o ;
wire \u_logic/_al_u2460_o ;
wire \u_logic/_al_u2461_o ;
wire \u_logic/_al_u2462_o ;
wire \u_logic/_al_u2463_o ;
wire \u_logic/_al_u2464_o ;
wire \u_logic/_al_u2465_o ;
wire \u_logic/_al_u2466_o ;
wire \u_logic/_al_u2467_o ;
wire \u_logic/_al_u2469_o ;
wire \u_logic/_al_u246_o ;
wire \u_logic/_al_u2470_o ;
wire \u_logic/_al_u2471_o ;
wire \u_logic/_al_u2473_o ;
wire \u_logic/_al_u2477_o ;
wire \u_logic/_al_u2478_o ;
wire \u_logic/_al_u247_o ;
wire \u_logic/_al_u2481_o ;
wire \u_logic/_al_u2482_o ;
wire \u_logic/_al_u2485_o ;
wire \u_logic/_al_u2486_o ;
wire \u_logic/_al_u248_o ;
wire \u_logic/_al_u2490_o ;
wire \u_logic/_al_u2494_o ;
wire \u_logic/_al_u2498_o ;
wire \u_logic/_al_u2501_o ;
wire \u_logic/_al_u2502_o ;
wire \u_logic/_al_u2505_o ;
wire \u_logic/_al_u2506_o ;
wire \u_logic/_al_u2509_o ;
wire \u_logic/_al_u2510_o ;
wire \u_logic/_al_u2514_o ;
wire \u_logic/_al_u2517_o ;
wire \u_logic/_al_u2518_o ;
wire \u_logic/_al_u251_o ;
wire \u_logic/_al_u2521_o ;
wire \u_logic/_al_u2522_o ;
wire \u_logic/_al_u2525_o ;
wire \u_logic/_al_u2526_o ;
wire \u_logic/_al_u2529_o ;
wire \u_logic/_al_u252_o ;
wire \u_logic/_al_u2530_o ;
wire \u_logic/_al_u2533_o ;
wire \u_logic/_al_u2534_o ;
wire \u_logic/_al_u2537_o ;
wire \u_logic/_al_u2538_o ;
wire \u_logic/_al_u2541_o ;
wire \u_logic/_al_u2542_o ;
wire \u_logic/_al_u2545_o ;
wire \u_logic/_al_u2546_o ;
wire \u_logic/_al_u2549_o ;
wire \u_logic/_al_u254_o ;
wire \u_logic/_al_u2550_o ;
wire \u_logic/_al_u2551_o ;
wire \u_logic/_al_u2552_o ;
wire \u_logic/_al_u2553_o ;
wire \u_logic/_al_u2554_o ;
wire \u_logic/_al_u2555_o ;
wire \u_logic/_al_u2556_o ;
wire \u_logic/_al_u2557_o ;
wire \u_logic/_al_u2558_o ;
wire \u_logic/_al_u2559_o ;
wire \u_logic/_al_u2560_o ;
wire \u_logic/_al_u2561_o ;
wire \u_logic/_al_u2562_o ;
wire \u_logic/_al_u2563_o ;
wire \u_logic/_al_u2564_o ;
wire \u_logic/_al_u2565_o ;
wire \u_logic/_al_u2566_o ;
wire \u_logic/_al_u2567_o ;
wire \u_logic/_al_u2568_o ;
wire \u_logic/_al_u2571_o ;
wire \u_logic/_al_u2572_o ;
wire \u_logic/_al_u2573_o ;
wire \u_logic/_al_u2578_o ;
wire \u_logic/_al_u257_o ;
wire \u_logic/_al_u2581_o ;
wire \u_logic/_al_u2582_o ;
wire \u_logic/_al_u2583_o ;
wire \u_logic/_al_u2584_o ;
wire \u_logic/_al_u2587_o ;
wire \u_logic/_al_u2589_o ;
wire \u_logic/_al_u258_o ;
wire \u_logic/_al_u2592_o ;
wire \u_logic/_al_u2594_o ;
wire \u_logic/_al_u2595_o ;
wire \u_logic/_al_u2598_o ;
wire \u_logic/_al_u259_o ;
wire \u_logic/_al_u2600_o ;
wire \u_logic/_al_u2602_o ;
wire \u_logic/_al_u2604_o ;
wire \u_logic/_al_u2605_o ;
wire \u_logic/_al_u2607_o ;
wire \u_logic/_al_u2609_o ;
wire \u_logic/_al_u260_o ;
wire \u_logic/_al_u2610_o ;
wire \u_logic/_al_u2612_o ;
wire \u_logic/_al_u2614_o ;
wire \u_logic/_al_u2615_o ;
wire \u_logic/_al_u2617_o ;
wire \u_logic/_al_u2619_o ;
wire \u_logic/_al_u2620_o ;
wire \u_logic/_al_u2622_o ;
wire \u_logic/_al_u2624_o ;
wire \u_logic/_al_u2627_o ;
wire \u_logic/_al_u2628_o ;
wire \u_logic/_al_u2629_o ;
wire \u_logic/_al_u2630_o ;
wire \u_logic/_al_u2631_o ;
wire \u_logic/_al_u2632_o ;
wire \u_logic/_al_u2634_o ;
wire \u_logic/_al_u2635_o ;
wire \u_logic/_al_u2636_o ;
wire \u_logic/_al_u2637_o ;
wire \u_logic/_al_u263_o ;
wire \u_logic/_al_u2642_o ;
wire \u_logic/_al_u2646_o ;
wire \u_logic/_al_u2647_o ;
wire \u_logic/_al_u2648_o ;
wire \u_logic/_al_u264_o ;
wire \u_logic/_al_u2651_o ;
wire \u_logic/_al_u2653_o ;
wire \u_logic/_al_u2655_o ;
wire \u_logic/_al_u2656_o ;
wire \u_logic/_al_u2657_o ;
wire \u_logic/_al_u2658_o ;
wire \u_logic/_al_u2659_o ;
wire \u_logic/_al_u265_o ;
wire \u_logic/_al_u2660_o ;
wire \u_logic/_al_u2661_o ;
wire \u_logic/_al_u2662_o ;
wire \u_logic/_al_u2663_o ;
wire \u_logic/_al_u2664_o ;
wire \u_logic/_al_u2665_o ;
wire \u_logic/_al_u2666_o ;
wire \u_logic/_al_u2667_o ;
wire \u_logic/_al_u2668_o ;
wire \u_logic/_al_u2669_o ;
wire \u_logic/_al_u266_o ;
wire \u_logic/_al_u2670_o ;
wire \u_logic/_al_u2671_o ;
wire \u_logic/_al_u2672_o ;
wire \u_logic/_al_u2673_o ;
wire \u_logic/_al_u2674_o ;
wire \u_logic/_al_u2675_o ;
wire \u_logic/_al_u2676_o ;
wire \u_logic/_al_u2677_o ;
wire \u_logic/_al_u2678_o ;
wire \u_logic/_al_u2679_o ;
wire \u_logic/_al_u2680_o ;
wire \u_logic/_al_u2681_o ;
wire \u_logic/_al_u2682_o ;
wire \u_logic/_al_u2683_o ;
wire \u_logic/_al_u2684_o ;
wire \u_logic/_al_u2685_o ;
wire \u_logic/_al_u2687_o ;
wire \u_logic/_al_u2688_o ;
wire \u_logic/_al_u2689_o ;
wire \u_logic/_al_u2690_o ;
wire \u_logic/_al_u2692_o ;
wire \u_logic/_al_u2694_o ;
wire \u_logic/_al_u2695_o ;
wire \u_logic/_al_u2696_o ;
wire \u_logic/_al_u2697_o ;
wire \u_logic/_al_u2698_o ;
wire \u_logic/_al_u269_o ;
wire \u_logic/_al_u2700_o ;
wire \u_logic/_al_u2701_o ;
wire \u_logic/_al_u2702_o ;
wire \u_logic/_al_u2703_o ;
wire \u_logic/_al_u2704_o ;
wire \u_logic/_al_u2705_o ;
wire \u_logic/_al_u2707_o ;
wire \u_logic/_al_u2708_o ;
wire \u_logic/_al_u2709_o ;
wire \u_logic/_al_u270_o ;
wire \u_logic/_al_u2710_o ;
wire \u_logic/_al_u2715_o ;
wire \u_logic/_al_u2716_o ;
wire \u_logic/_al_u2717_o ;
wire \u_logic/_al_u2718_o ;
wire \u_logic/_al_u2719_o ;
wire \u_logic/_al_u271_o ;
wire \u_logic/_al_u2720_o ;
wire \u_logic/_al_u2721_o ;
wire \u_logic/_al_u2722_o ;
wire \u_logic/_al_u2723_o ;
wire \u_logic/_al_u2724_o ;
wire \u_logic/_al_u2726_o ;
wire \u_logic/_al_u2727_o ;
wire \u_logic/_al_u2728_o ;
wire \u_logic/_al_u2729_o ;
wire \u_logic/_al_u272_o ;
wire \u_logic/_al_u2730_o ;
wire \u_logic/_al_u2731_o ;
wire \u_logic/_al_u2732_o ;
wire \u_logic/_al_u2733_o ;
wire \u_logic/_al_u2734_o ;
wire \u_logic/_al_u2735_o ;
wire \u_logic/_al_u2736_o ;
wire \u_logic/_al_u2737_o ;
wire \u_logic/_al_u2738_o ;
wire \u_logic/_al_u2739_o ;
wire \u_logic/_al_u2740_o ;
wire \u_logic/_al_u2741_o ;
wire \u_logic/_al_u2742_o ;
wire \u_logic/_al_u2743_o ;
wire \u_logic/_al_u2744_o ;
wire \u_logic/_al_u2745_o ;
wire \u_logic/_al_u2746_o ;
wire \u_logic/_al_u2748_o ;
wire \u_logic/_al_u2749_o ;
wire \u_logic/_al_u2750_o ;
wire \u_logic/_al_u2751_o ;
wire \u_logic/_al_u2753_o ;
wire \u_logic/_al_u2756_o ;
wire \u_logic/_al_u2757_o ;
wire \u_logic/_al_u2759_o ;
wire \u_logic/_al_u2773_o ;
wire \u_logic/_al_u277_o ;
wire \u_logic/_al_u278_o ;
wire \u_logic/_al_u2799_o ;
wire \u_logic/_al_u2800_o ;
wire \u_logic/_al_u2801_o ;
wire \u_logic/_al_u2804_o ;
wire \u_logic/_al_u2806_o ;
wire \u_logic/_al_u2807_o ;
wire \u_logic/_al_u2808_o ;
wire \u_logic/_al_u2810_o ;
wire \u_logic/_al_u2811_o ;
wire \u_logic/_al_u2812_o ;
wire \u_logic/_al_u2813_o ;
wire \u_logic/_al_u2814_o ;
wire \u_logic/_al_u2815_o ;
wire \u_logic/_al_u2816_o ;
wire \u_logic/_al_u2817_o ;
wire \u_logic/_al_u2818_o ;
wire \u_logic/_al_u2819_o ;
wire \u_logic/_al_u281_o ;
wire \u_logic/_al_u2820_o ;
wire \u_logic/_al_u2821_o ;
wire \u_logic/_al_u2822_o ;
wire \u_logic/_al_u2823_o ;
wire \u_logic/_al_u2824_o ;
wire \u_logic/_al_u2825_o ;
wire \u_logic/_al_u2826_o ;
wire \u_logic/_al_u2827_o ;
wire \u_logic/_al_u2828_o ;
wire \u_logic/_al_u2829_o ;
wire \u_logic/_al_u282_o ;
wire \u_logic/_al_u2830_o ;
wire \u_logic/_al_u2831_o ;
wire \u_logic/_al_u2832_o ;
wire \u_logic/_al_u2833_o ;
wire \u_logic/_al_u2834_o ;
wire \u_logic/_al_u2835_o ;
wire \u_logic/_al_u2836_o ;
wire \u_logic/_al_u2837_o ;
wire \u_logic/_al_u2838_o ;
wire \u_logic/_al_u2839_o ;
wire \u_logic/_al_u283_o ;
wire \u_logic/_al_u2841_o ;
wire \u_logic/_al_u2842_o ;
wire \u_logic/_al_u2844_o ;
wire \u_logic/_al_u2845_o ;
wire \u_logic/_al_u2846_o ;
wire \u_logic/_al_u284_o ;
wire \u_logic/_al_u2852_o ;
wire \u_logic/_al_u2853_o ;
wire \u_logic/_al_u2854_o ;
wire \u_logic/_al_u2856_o ;
wire \u_logic/_al_u2857_o ;
wire \u_logic/_al_u2858_o ;
wire \u_logic/_al_u2859_o ;
wire \u_logic/_al_u2861_o ;
wire \u_logic/_al_u2864_o ;
wire \u_logic/_al_u2866_o ;
wire \u_logic/_al_u2867_o ;
wire \u_logic/_al_u2870_o ;
wire \u_logic/_al_u2871_o ;
wire \u_logic/_al_u2872_o ;
wire \u_logic/_al_u2874_o ;
wire \u_logic/_al_u2875_o ;
wire \u_logic/_al_u2876_o ;
wire \u_logic/_al_u2878_o ;
wire \u_logic/_al_u2879_o ;
wire \u_logic/_al_u287_o ;
wire \u_logic/_al_u2881_o ;
wire \u_logic/_al_u2882_o ;
wire \u_logic/_al_u2887_o ;
wire \u_logic/_al_u2888_o ;
wire \u_logic/_al_u2889_o ;
wire \u_logic/_al_u288_o ;
wire \u_logic/_al_u2891_o ;
wire \u_logic/_al_u2892_o ;
wire \u_logic/_al_u289_o ;
wire \u_logic/_al_u2903_o ;
wire \u_logic/_al_u2904_o ;
wire \u_logic/_al_u2906_o ;
wire \u_logic/_al_u2907_o ;
wire \u_logic/_al_u2908_o ;
wire \u_logic/_al_u290_o ;
wire \u_logic/_al_u2910_o ;
wire \u_logic/_al_u2911_o ;
wire \u_logic/_al_u2913_o ;
wire \u_logic/_al_u2914_o ;
wire \u_logic/_al_u2915_o ;
wire \u_logic/_al_u2917_o ;
wire \u_logic/_al_u2919_o ;
wire \u_logic/_al_u2921_o ;
wire \u_logic/_al_u2924_o ;
wire \u_logic/_al_u2928_o ;
wire \u_logic/_al_u2929_o ;
wire \u_logic/_al_u2930_o ;
wire \u_logic/_al_u2932_o ;
wire \u_logic/_al_u2933_o ;
wire \u_logic/_al_u2934_o ;
wire \u_logic/_al_u2936_o ;
wire \u_logic/_al_u2937_o ;
wire \u_logic/_al_u2938_o ;
wire \u_logic/_al_u2939_o ;
wire \u_logic/_al_u293_o ;
wire \u_logic/_al_u2940_o ;
wire \u_logic/_al_u2941_o ;
wire \u_logic/_al_u2942_o ;
wire \u_logic/_al_u2944_o ;
wire \u_logic/_al_u2945_o ;
wire \u_logic/_al_u2946_o ;
wire \u_logic/_al_u2947_o ;
wire \u_logic/_al_u2948_o ;
wire \u_logic/_al_u2949_o ;
wire \u_logic/_al_u294_o ;
wire \u_logic/_al_u2950_o ;
wire \u_logic/_al_u2953_o ;
wire \u_logic/_al_u2955_o ;
wire \u_logic/_al_u2957_o ;
wire \u_logic/_al_u2959_o ;
wire \u_logic/_al_u295_o ;
wire \u_logic/_al_u2961_o ;
wire \u_logic/_al_u2963_o ;
wire \u_logic/_al_u2964_o ;
wire \u_logic/_al_u2965_o ;
wire \u_logic/_al_u2968_o ;
wire \u_logic/_al_u2969_o ;
wire \u_logic/_al_u296_o ;
wire \u_logic/_al_u2971_o ;
wire \u_logic/_al_u2976_o ;
wire \u_logic/_al_u2979_o ;
wire \u_logic/_al_u2981_o ;
wire \u_logic/_al_u2983_o ;
wire \u_logic/_al_u2984_o ;
wire \u_logic/_al_u2985_o ;
wire \u_logic/_al_u2986_o ;
wire \u_logic/_al_u2988_o ;
wire \u_logic/_al_u2989_o ;
wire \u_logic/_al_u2991_o ;
wire \u_logic/_al_u2993_o ;
wire \u_logic/_al_u2994_o ;
wire \u_logic/_al_u2996_o ;
wire \u_logic/_al_u2997_o ;
wire \u_logic/_al_u2998_o ;
wire \u_logic/_al_u2999_o ;
wire \u_logic/_al_u299_o ;
wire \u_logic/_al_u3001_o ;
wire \u_logic/_al_u3002_o ;
wire \u_logic/_al_u3003_o ;
wire \u_logic/_al_u3005_o ;
wire \u_logic/_al_u3007_o ;
wire \u_logic/_al_u3008_o ;
wire \u_logic/_al_u3009_o ;
wire \u_logic/_al_u300_o ;
wire \u_logic/_al_u3010_o ;
wire \u_logic/_al_u3011_o ;
wire \u_logic/_al_u3012_o ;
wire \u_logic/_al_u3013_o ;
wire \u_logic/_al_u3014_o ;
wire \u_logic/_al_u3015_o ;
wire \u_logic/_al_u3016_o ;
wire \u_logic/_al_u3018_o ;
wire \u_logic/_al_u3019_o ;
wire \u_logic/_al_u301_o ;
wire \u_logic/_al_u3020_o ;
wire \u_logic/_al_u3021_o ;
wire \u_logic/_al_u3022_o ;
wire \u_logic/_al_u3023_o ;
wire \u_logic/_al_u3024_o ;
wire \u_logic/_al_u3026_o ;
wire \u_logic/_al_u3027_o ;
wire \u_logic/_al_u3029_o ;
wire \u_logic/_al_u302_o ;
wire \u_logic/_al_u3030_o ;
wire \u_logic/_al_u3031_o ;
wire \u_logic/_al_u3032_o ;
wire \u_logic/_al_u3035_o ;
wire \u_logic/_al_u3036_o ;
wire \u_logic/_al_u3038_o ;
wire \u_logic/_al_u3039_o ;
wire \u_logic/_al_u303_o ;
wire \u_logic/_al_u3041_o ;
wire \u_logic/_al_u3043_o ;
wire \u_logic/_al_u3044_o ;
wire \u_logic/_al_u3045_o ;
wire \u_logic/_al_u3046_o ;
wire \u_logic/_al_u3047_o ;
wire \u_logic/_al_u3049_o ;
wire \u_logic/_al_u3052_o ;
wire \u_logic/_al_u3053_o ;
wire \u_logic/_al_u3055_o ;
wire \u_logic/_al_u3056_o ;
wire \u_logic/_al_u3057_o ;
wire \u_logic/_al_u3058_o ;
wire \u_logic/_al_u3059_o ;
wire \u_logic/_al_u305_o ;
wire \u_logic/_al_u3060_o ;
wire \u_logic/_al_u3062_o ;
wire \u_logic/_al_u3063_o ;
wire \u_logic/_al_u3064_o ;
wire \u_logic/_al_u3065_o ;
wire \u_logic/_al_u3067_o ;
wire \u_logic/_al_u3068_o ;
wire \u_logic/_al_u3069_o ;
wire \u_logic/_al_u306_o ;
wire \u_logic/_al_u3072_o ;
wire \u_logic/_al_u3074_o ;
wire \u_logic/_al_u3076_o ;
wire \u_logic/_al_u3077_o ;
wire \u_logic/_al_u3078_o ;
wire \u_logic/_al_u3079_o ;
wire \u_logic/_al_u307_o ;
wire \u_logic/_al_u3080_o ;
wire \u_logic/_al_u3081_o ;
wire \u_logic/_al_u3082_o ;
wire \u_logic/_al_u3083_o ;
wire \u_logic/_al_u3085_o ;
wire \u_logic/_al_u3086_o ;
wire \u_logic/_al_u3087_o ;
wire \u_logic/_al_u3088_o ;
wire \u_logic/_al_u3089_o ;
wire \u_logic/_al_u308_o ;
wire \u_logic/_al_u3090_o ;
wire \u_logic/_al_u3091_o ;
wire \u_logic/_al_u3092_o ;
wire \u_logic/_al_u3093_o ;
wire \u_logic/_al_u3094_o ;
wire \u_logic/_al_u3095_o ;
wire \u_logic/_al_u3096_o ;
wire \u_logic/_al_u3097_o ;
wire \u_logic/_al_u3098_o ;
wire \u_logic/_al_u3099_o ;
wire \u_logic/_al_u3100_o ;
wire \u_logic/_al_u3101_o ;
wire \u_logic/_al_u3102_o ;
wire \u_logic/_al_u3104_o ;
wire \u_logic/_al_u3105_o ;
wire \u_logic/_al_u3106_o ;
wire \u_logic/_al_u3107_o ;
wire \u_logic/_al_u3108_o ;
wire \u_logic/_al_u3109_o ;
wire \u_logic/_al_u3110_o ;
wire \u_logic/_al_u3113_o ;
wire \u_logic/_al_u3114_o ;
wire \u_logic/_al_u3115_o ;
wire \u_logic/_al_u3116_o ;
wire \u_logic/_al_u3119_o ;
wire \u_logic/_al_u311_o ;
wire \u_logic/_al_u3120_o ;
wire \u_logic/_al_u3122_o ;
wire \u_logic/_al_u3123_o ;
wire \u_logic/_al_u3124_o ;
wire \u_logic/_al_u3125_o ;
wire \u_logic/_al_u3126_o ;
wire \u_logic/_al_u3127_o ;
wire \u_logic/_al_u312_o ;
wire \u_logic/_al_u3130_o ;
wire \u_logic/_al_u3132_o ;
wire \u_logic/_al_u3133_o ;
wire \u_logic/_al_u3134_o ;
wire \u_logic/_al_u3135_o ;
wire \u_logic/_al_u3136_o ;
wire \u_logic/_al_u3139_o ;
wire \u_logic/_al_u313_o ;
wire \u_logic/_al_u3140_o ;
wire \u_logic/_al_u3141_o ;
wire \u_logic/_al_u3142_o ;
wire \u_logic/_al_u3144_o ;
wire \u_logic/_al_u3146_o ;
wire \u_logic/_al_u3147_o ;
wire \u_logic/_al_u3148_o ;
wire \u_logic/_al_u3149_o ;
wire \u_logic/_al_u3150_o ;
wire \u_logic/_al_u3151_o ;
wire \u_logic/_al_u3152_o ;
wire \u_logic/_al_u3155_o ;
wire \u_logic/_al_u3156_o ;
wire \u_logic/_al_u3157_o ;
wire \u_logic/_al_u3160_o ;
wire \u_logic/_al_u3161_o ;
wire \u_logic/_al_u3162_o ;
wire \u_logic/_al_u3164_o ;
wire \u_logic/_al_u3165_o ;
wire \u_logic/_al_u3166_o ;
wire \u_logic/_al_u3167_o ;
wire \u_logic/_al_u3170_o ;
wire \u_logic/_al_u3172_o ;
wire \u_logic/_al_u3174_o ;
wire \u_logic/_al_u3175_o ;
wire \u_logic/_al_u3176_o ;
wire \u_logic/_al_u3177_o ;
wire \u_logic/_al_u3178_o ;
wire \u_logic/_al_u3179_o ;
wire \u_logic/_al_u317_o ;
wire \u_logic/_al_u3181_o ;
wire \u_logic/_al_u3182_o ;
wire \u_logic/_al_u3183_o ;
wire \u_logic/_al_u3185_o ;
wire \u_logic/_al_u3186_o ;
wire \u_logic/_al_u3187_o ;
wire \u_logic/_al_u3190_o ;
wire \u_logic/_al_u3191_o ;
wire \u_logic/_al_u3192_o ;
wire \u_logic/_al_u3194_o ;
wire \u_logic/_al_u3195_o ;
wire \u_logic/_al_u3196_o ;
wire \u_logic/_al_u3197_o ;
wire \u_logic/_al_u3198_o ;
wire \u_logic/_al_u319_o ;
wire \u_logic/_al_u3200_o ;
wire \u_logic/_al_u3201_o ;
wire \u_logic/_al_u3202_o ;
wire \u_logic/_al_u3203_o ;
wire \u_logic/_al_u3205_o ;
wire \u_logic/_al_u3206_o ;
wire \u_logic/_al_u3207_o ;
wire \u_logic/_al_u3209_o ;
wire \u_logic/_al_u320_o ;
wire \u_logic/_al_u3210_o ;
wire \u_logic/_al_u3211_o ;
wire \u_logic/_al_u3212_o ;
wire \u_logic/_al_u3213_o ;
wire \u_logic/_al_u3214_o ;
wire \u_logic/_al_u3215_o ;
wire \u_logic/_al_u3217_o ;
wire \u_logic/_al_u3219_o ;
wire \u_logic/_al_u3220_o ;
wire \u_logic/_al_u3221_o ;
wire \u_logic/_al_u3222_o ;
wire \u_logic/_al_u3223_o ;
wire \u_logic/_al_u3224_o ;
wire \u_logic/_al_u3226_o ;
wire \u_logic/_al_u3227_o ;
wire \u_logic/_al_u3228_o ;
wire \u_logic/_al_u3229_o ;
wire \u_logic/_al_u3230_o ;
wire \u_logic/_al_u3232_o ;
wire \u_logic/_al_u3233_o ;
wire \u_logic/_al_u3234_o ;
wire \u_logic/_al_u3235_o ;
wire \u_logic/_al_u3237_o ;
wire \u_logic/_al_u3238_o ;
wire \u_logic/_al_u3239_o ;
wire \u_logic/_al_u323_o ;
wire \u_logic/_al_u3240_o ;
wire \u_logic/_al_u3241_o ;
wire \u_logic/_al_u3243_o ;
wire \u_logic/_al_u3244_o ;
wire \u_logic/_al_u3245_o ;
wire \u_logic/_al_u3246_o ;
wire \u_logic/_al_u3247_o ;
wire \u_logic/_al_u324_o ;
wire \u_logic/_al_u3250_o ;
wire \u_logic/_al_u3251_o ;
wire \u_logic/_al_u3252_o ;
wire \u_logic/_al_u3253_o ;
wire \u_logic/_al_u3254_o ;
wire \u_logic/_al_u3256_o ;
wire \u_logic/_al_u3257_o ;
wire \u_logic/_al_u3258_o ;
wire \u_logic/_al_u3259_o ;
wire \u_logic/_al_u325_o ;
wire \u_logic/_al_u3260_o ;
wire \u_logic/_al_u3262_o ;
wire \u_logic/_al_u3263_o ;
wire \u_logic/_al_u3264_o ;
wire \u_logic/_al_u3265_o ;
wire \u_logic/_al_u3266_o ;
wire \u_logic/_al_u326_o ;
wire \u_logic/_al_u3270_o ;
wire \u_logic/_al_u3272_o ;
wire \u_logic/_al_u3273_o ;
wire \u_logic/_al_u3274_o ;
wire \u_logic/_al_u3275_o ;
wire \u_logic/_al_u3276_o ;
wire \u_logic/_al_u3278_o ;
wire \u_logic/_al_u3280_o ;
wire \u_logic/_al_u3281_o ;
wire \u_logic/_al_u3283_o ;
wire \u_logic/_al_u3284_o ;
wire \u_logic/_al_u3285_o ;
wire \u_logic/_al_u3286_o ;
wire \u_logic/_al_u3287_o ;
wire \u_logic/_al_u3288_o ;
wire \u_logic/_al_u3290_o ;
wire \u_logic/_al_u3292_o ;
wire \u_logic/_al_u3293_o ;
wire \u_logic/_al_u3296_o ;
wire \u_logic/_al_u3297_o ;
wire \u_logic/_al_u3299_o ;
wire \u_logic/_al_u329_o ;
wire \u_logic/_al_u3303_o ;
wire \u_logic/_al_u3305_o ;
wire \u_logic/_al_u3306_o ;
wire \u_logic/_al_u3308_o ;
wire \u_logic/_al_u3309_o ;
wire \u_logic/_al_u330_o ;
wire \u_logic/_al_u3312_o ;
wire \u_logic/_al_u3313_o ;
wire \u_logic/_al_u3315_o ;
wire \u_logic/_al_u3316_o ;
wire \u_logic/_al_u3317_o ;
wire \u_logic/_al_u331_o ;
wire \u_logic/_al_u3320_o ;
wire \u_logic/_al_u3322_o ;
wire \u_logic/_al_u3323_o ;
wire \u_logic/_al_u3326_o ;
wire \u_logic/_al_u332_o ;
wire \u_logic/_al_u3330_o ;
wire \u_logic/_al_u3331_o ;
wire \u_logic/_al_u3334_o ;
wire \u_logic/_al_u3335_o ;
wire \u_logic/_al_u3339_o ;
wire \u_logic/_al_u3341_o ;
wire \u_logic/_al_u3342_o ;
wire \u_logic/_al_u3347_o ;
wire \u_logic/_al_u3350_o ;
wire \u_logic/_al_u3351_o ;
wire \u_logic/_al_u3352_o ;
wire \u_logic/_al_u3353_o ;
wire \u_logic/_al_u3357_o ;
wire \u_logic/_al_u335_o ;
wire \u_logic/_al_u3360_o ;
wire \u_logic/_al_u3361_o ;
wire \u_logic/_al_u3362_o ;
wire \u_logic/_al_u3364_o ;
wire \u_logic/_al_u3366_o ;
wire \u_logic/_al_u3368_o ;
wire \u_logic/_al_u336_o ;
wire \u_logic/_al_u3372_o ;
wire \u_logic/_al_u3373_o ;
wire \u_logic/_al_u3374_o ;
wire \u_logic/_al_u3376_o ;
wire \u_logic/_al_u3378_o ;
wire \u_logic/_al_u3379_o ;
wire \u_logic/_al_u337_o ;
wire \u_logic/_al_u3382_o ;
wire \u_logic/_al_u3384_o ;
wire \u_logic/_al_u3385_o ;
wire \u_logic/_al_u3386_o ;
wire \u_logic/_al_u3388_o ;
wire \u_logic/_al_u338_o ;
wire \u_logic/_al_u3390_o ;
wire \u_logic/_al_u3391_o ;
wire \u_logic/_al_u3392_o ;
wire \u_logic/_al_u3393_o ;
wire \u_logic/_al_u3394_o ;
wire \u_logic/_al_u3396_o ;
wire \u_logic/_al_u3398_o ;
wire \u_logic/_al_u3401_o ;
wire \u_logic/_al_u3402_o ;
wire \u_logic/_al_u3403_o ;
wire \u_logic/_al_u3404_o ;
wire \u_logic/_al_u3405_o ;
wire \u_logic/_al_u3406_o ;
wire \u_logic/_al_u3407_o ;
wire \u_logic/_al_u3408_o ;
wire \u_logic/_al_u3410_o ;
wire \u_logic/_al_u3412_o ;
wire \u_logic/_al_u3417_o ;
wire \u_logic/_al_u341_o ;
wire \u_logic/_al_u3420_o ;
wire \u_logic/_al_u3421_o ;
wire \u_logic/_al_u3425_o ;
wire \u_logic/_al_u3428_o ;
wire \u_logic/_al_u3429_o ;
wire \u_logic/_al_u342_o ;
wire \u_logic/_al_u3432_o ;
wire \u_logic/_al_u3434_o ;
wire \u_logic/_al_u3436_o ;
wire \u_logic/_al_u3439_o ;
wire \u_logic/_al_u343_o ;
wire \u_logic/_al_u3443_o ;
wire \u_logic/_al_u3445_o ;
wire \u_logic/_al_u3447_o ;
wire \u_logic/_al_u3449_o ;
wire \u_logic/_al_u344_o ;
wire \u_logic/_al_u3450_o ;
wire \u_logic/_al_u3451_o ;
wire \u_logic/_al_u3452_o ;
wire \u_logic/_al_u3453_o ;
wire \u_logic/_al_u3454_o ;
wire \u_logic/_al_u3456_o ;
wire \u_logic/_al_u3457_o ;
wire \u_logic/_al_u3458_o ;
wire \u_logic/_al_u3460_o ;
wire \u_logic/_al_u3462_o ;
wire \u_logic/_al_u3464_o ;
wire \u_logic/_al_u3476_o ;
wire \u_logic/_al_u3478_o ;
wire \u_logic/_al_u347_o ;
wire \u_logic/_al_u3480_o ;
wire \u_logic/_al_u3481_o ;
wire \u_logic/_al_u3482_o ;
wire \u_logic/_al_u3483_o ;
wire \u_logic/_al_u3484_o ;
wire \u_logic/_al_u3486_o ;
wire \u_logic/_al_u3487_o ;
wire \u_logic/_al_u3488_o ;
wire \u_logic/_al_u3489_o ;
wire \u_logic/_al_u348_o ;
wire \u_logic/_al_u3490_o ;
wire \u_logic/_al_u3491_o ;
wire \u_logic/_al_u3493_o ;
wire \u_logic/_al_u3494_o ;
wire \u_logic/_al_u3495_o ;
wire \u_logic/_al_u3496_o ;
wire \u_logic/_al_u3497_o ;
wire \u_logic/_al_u3499_o ;
wire \u_logic/_al_u349_o ;
wire \u_logic/_al_u3500_o ;
wire \u_logic/_al_u3501_o ;
wire \u_logic/_al_u3502_o ;
wire \u_logic/_al_u3503_o ;
wire \u_logic/_al_u3504_o ;
wire \u_logic/_al_u3505_o ;
wire \u_logic/_al_u3507_o ;
wire \u_logic/_al_u3509_o ;
wire \u_logic/_al_u350_o ;
wire \u_logic/_al_u3510_o ;
wire \u_logic/_al_u3512_o ;
wire \u_logic/_al_u3513_o ;
wire \u_logic/_al_u3514_o ;
wire \u_logic/_al_u3515_o ;
wire \u_logic/_al_u3516_o ;
wire \u_logic/_al_u3517_o ;
wire \u_logic/_al_u3518_o ;
wire \u_logic/_al_u3519_o ;
wire \u_logic/_al_u3520_o ;
wire \u_logic/_al_u3521_o ;
wire \u_logic/_al_u3522_o ;
wire \u_logic/_al_u3524_o ;
wire \u_logic/_al_u3525_o ;
wire \u_logic/_al_u3527_o ;
wire \u_logic/_al_u3528_o ;
wire \u_logic/_al_u3529_o ;
wire \u_logic/_al_u3530_o ;
wire \u_logic/_al_u3531_o ;
wire \u_logic/_al_u3532_o ;
wire \u_logic/_al_u3533_o ;
wire \u_logic/_al_u3534_o ;
wire \u_logic/_al_u3535_o ;
wire \u_logic/_al_u3536_o ;
wire \u_logic/_al_u3537_o ;
wire \u_logic/_al_u3538_o ;
wire \u_logic/_al_u3539_o ;
wire \u_logic/_al_u353_o ;
wire \u_logic/_al_u3540_o ;
wire \u_logic/_al_u3541_o ;
wire \u_logic/_al_u3542_o ;
wire \u_logic/_al_u3543_o ;
wire \u_logic/_al_u3544_o ;
wire \u_logic/_al_u3545_o ;
wire \u_logic/_al_u3546_o ;
wire \u_logic/_al_u3547_o ;
wire \u_logic/_al_u3548_o ;
wire \u_logic/_al_u3549_o ;
wire \u_logic/_al_u354_o ;
wire \u_logic/_al_u3550_o ;
wire \u_logic/_al_u3551_o ;
wire \u_logic/_al_u3552_o ;
wire \u_logic/_al_u3553_o ;
wire \u_logic/_al_u3554_o ;
wire \u_logic/_al_u3555_o ;
wire \u_logic/_al_u3556_o ;
wire \u_logic/_al_u3557_o ;
wire \u_logic/_al_u3558_o ;
wire \u_logic/_al_u3559_o ;
wire \u_logic/_al_u355_o ;
wire \u_logic/_al_u3560_o ;
wire \u_logic/_al_u3561_o ;
wire \u_logic/_al_u3562_o ;
wire \u_logic/_al_u3563_o ;
wire \u_logic/_al_u3564_o ;
wire \u_logic/_al_u3565_o ;
wire \u_logic/_al_u3566_o ;
wire \u_logic/_al_u3567_o ;
wire \u_logic/_al_u3568_o ;
wire \u_logic/_al_u3569_o ;
wire \u_logic/_al_u356_o ;
wire \u_logic/_al_u3570_o ;
wire \u_logic/_al_u3571_o ;
wire \u_logic/_al_u3572_o ;
wire \u_logic/_al_u3573_o ;
wire \u_logic/_al_u3574_o ;
wire \u_logic/_al_u3575_o ;
wire \u_logic/_al_u3576_o ;
wire \u_logic/_al_u3577_o ;
wire \u_logic/_al_u3578_o ;
wire \u_logic/_al_u3579_o ;
wire \u_logic/_al_u3580_o ;
wire \u_logic/_al_u3581_o ;
wire \u_logic/_al_u3582_o ;
wire \u_logic/_al_u3583_o ;
wire \u_logic/_al_u3584_o ;
wire \u_logic/_al_u3585_o ;
wire \u_logic/_al_u3586_o ;
wire \u_logic/_al_u3587_o ;
wire \u_logic/_al_u3588_o ;
wire \u_logic/_al_u3589_o ;
wire \u_logic/_al_u3590_o ;
wire \u_logic/_al_u3591_o ;
wire \u_logic/_al_u3592_o ;
wire \u_logic/_al_u3593_o ;
wire \u_logic/_al_u3594_o ;
wire \u_logic/_al_u3595_o ;
wire \u_logic/_al_u3596_o ;
wire \u_logic/_al_u3597_o ;
wire \u_logic/_al_u3598_o ;
wire \u_logic/_al_u3599_o ;
wire \u_logic/_al_u359_o ;
wire \u_logic/_al_u3600_o ;
wire \u_logic/_al_u3601_o ;
wire \u_logic/_al_u3602_o ;
wire \u_logic/_al_u3603_o ;
wire \u_logic/_al_u3604_o ;
wire \u_logic/_al_u3605_o ;
wire \u_logic/_al_u3606_o ;
wire \u_logic/_al_u3607_o ;
wire \u_logic/_al_u3608_o ;
wire \u_logic/_al_u3609_o ;
wire \u_logic/_al_u360_o ;
wire \u_logic/_al_u3610_o ;
wire \u_logic/_al_u3611_o ;
wire \u_logic/_al_u3612_o ;
wire \u_logic/_al_u3613_o ;
wire \u_logic/_al_u3614_o ;
wire \u_logic/_al_u3615_o ;
wire \u_logic/_al_u3616_o ;
wire \u_logic/_al_u3617_o ;
wire \u_logic/_al_u3618_o ;
wire \u_logic/_al_u3619_o ;
wire \u_logic/_al_u361_o ;
wire \u_logic/_al_u3620_o ;
wire \u_logic/_al_u3621_o ;
wire \u_logic/_al_u3622_o ;
wire \u_logic/_al_u3623_o ;
wire \u_logic/_al_u3624_o ;
wire \u_logic/_al_u3625_o ;
wire \u_logic/_al_u3626_o ;
wire \u_logic/_al_u3627_o ;
wire \u_logic/_al_u3628_o ;
wire \u_logic/_al_u3629_o ;
wire \u_logic/_al_u362_o ;
wire \u_logic/_al_u3630_o ;
wire \u_logic/_al_u3631_o ;
wire \u_logic/_al_u3633_o ;
wire \u_logic/_al_u3634_o ;
wire \u_logic/_al_u3635_o ;
wire \u_logic/_al_u3643_o ;
wire \u_logic/_al_u3645_o ;
wire \u_logic/_al_u3646_o ;
wire \u_logic/_al_u3647_o ;
wire \u_logic/_al_u3648_o ;
wire \u_logic/_al_u3649_o ;
wire \u_logic/_al_u3650_o ;
wire \u_logic/_al_u3651_o ;
wire \u_logic/_al_u3652_o ;
wire \u_logic/_al_u3654_o ;
wire \u_logic/_al_u3655_o ;
wire \u_logic/_al_u3657_o ;
wire \u_logic/_al_u3658_o ;
wire \u_logic/_al_u3659_o ;
wire \u_logic/_al_u365_o ;
wire \u_logic/_al_u3660_o ;
wire \u_logic/_al_u3661_o ;
wire \u_logic/_al_u3663_o ;
wire \u_logic/_al_u3664_o ;
wire \u_logic/_al_u3665_o ;
wire \u_logic/_al_u3666_o ;
wire \u_logic/_al_u366_o ;
wire \u_logic/_al_u3671_o ;
wire \u_logic/_al_u3672_o ;
wire \u_logic/_al_u3675_o ;
wire \u_logic/_al_u3676_o ;
wire \u_logic/_al_u3677_o ;
wire \u_logic/_al_u3678_o ;
wire \u_logic/_al_u3681_o ;
wire \u_logic/_al_u3684_o ;
wire \u_logic/_al_u3686_o ;
wire \u_logic/_al_u3689_o ;
wire \u_logic/_al_u368_o ;
wire \u_logic/_al_u3690_o ;
wire \u_logic/_al_u3691_o ;
wire \u_logic/_al_u3694_o ;
wire \u_logic/_al_u3695_o ;
wire \u_logic/_al_u3697_o ;
wire \u_logic/_al_u3698_o ;
wire \u_logic/_al_u3701_o ;
wire \u_logic/_al_u3702_o ;
wire \u_logic/_al_u3704_o ;
wire \u_logic/_al_u3705_o ;
wire \u_logic/_al_u3706_o ;
wire \u_logic/_al_u3708_o ;
wire \u_logic/_al_u3710_o ;
wire \u_logic/_al_u3711_o ;
wire \u_logic/_al_u3712_o ;
wire \u_logic/_al_u3714_o ;
wire \u_logic/_al_u3715_o ;
wire \u_logic/_al_u3716_o ;
wire \u_logic/_al_u3718_o ;
wire \u_logic/_al_u3719_o ;
wire \u_logic/_al_u371_o ;
wire \u_logic/_al_u3720_o ;
wire \u_logic/_al_u3722_o ;
wire \u_logic/_al_u3723_o ;
wire \u_logic/_al_u3725_o ;
wire \u_logic/_al_u3729_o ;
wire \u_logic/_al_u372_o ;
wire \u_logic/_al_u3733_o ;
wire \u_logic/_al_u3735_o ;
wire \u_logic/_al_u3738_o ;
wire \u_logic/_al_u3739_o ;
wire \u_logic/_al_u373_o ;
wire \u_logic/_al_u3741_o ;
wire \u_logic/_al_u3742_o ;
wire \u_logic/_al_u3743_o ;
wire \u_logic/_al_u3744_o ;
wire \u_logic/_al_u3745_o ;
wire \u_logic/_al_u3746_o ;
wire \u_logic/_al_u374_o ;
wire \u_logic/_al_u3750_o ;
wire \u_logic/_al_u3752_o ;
wire \u_logic/_al_u3754_o ;
wire \u_logic/_al_u3756_o ;
wire \u_logic/_al_u3757_o ;
wire \u_logic/_al_u3760_o ;
wire \u_logic/_al_u3761_o ;
wire \u_logic/_al_u3762_o ;
wire \u_logic/_al_u3763_o ;
wire \u_logic/_al_u3764_o ;
wire \u_logic/_al_u3765_o ;
wire \u_logic/_al_u3766_o ;
wire \u_logic/_al_u3769_o ;
wire \u_logic/_al_u3770_o ;
wire \u_logic/_al_u3771_o ;
wire \u_logic/_al_u3772_o ;
wire \u_logic/_al_u3773_o ;
wire \u_logic/_al_u3774_o ;
wire \u_logic/_al_u3775_o ;
wire \u_logic/_al_u3776_o ;
wire \u_logic/_al_u3778_o ;
wire \u_logic/_al_u3779_o ;
wire \u_logic/_al_u377_o ;
wire \u_logic/_al_u3782_o ;
wire \u_logic/_al_u3783_o ;
wire \u_logic/_al_u3785_o ;
wire \u_logic/_al_u3786_o ;
wire \u_logic/_al_u3788_o ;
wire \u_logic/_al_u378_o ;
wire \u_logic/_al_u3790_o ;
wire \u_logic/_al_u3791_o ;
wire \u_logic/_al_u3792_o ;
wire \u_logic/_al_u3793_o ;
wire \u_logic/_al_u3795_o ;
wire \u_logic/_al_u3796_o ;
wire \u_logic/_al_u3797_o ;
wire \u_logic/_al_u3798_o ;
wire \u_logic/_al_u3799_o ;
wire \u_logic/_al_u3800_o ;
wire \u_logic/_al_u3802_o ;
wire \u_logic/_al_u3803_o ;
wire \u_logic/_al_u3806_o ;
wire \u_logic/_al_u3807_o ;
wire \u_logic/_al_u3809_o ;
wire \u_logic/_al_u380_o ;
wire \u_logic/_al_u3810_o ;
wire \u_logic/_al_u3811_o ;
wire \u_logic/_al_u3812_o ;
wire \u_logic/_al_u3813_o ;
wire \u_logic/_al_u3815_o ;
wire \u_logic/_al_u3816_o ;
wire \u_logic/_al_u3817_o ;
wire \u_logic/_al_u3818_o ;
wire \u_logic/_al_u3819_o ;
wire \u_logic/_al_u3820_o ;
wire \u_logic/_al_u3821_o ;
wire \u_logic/_al_u3822_o ;
wire \u_logic/_al_u3824_o ;
wire \u_logic/_al_u3826_o ;
wire \u_logic/_al_u3827_o ;
wire \u_logic/_al_u3828_o ;
wire \u_logic/_al_u3829_o ;
wire \u_logic/_al_u3830_o ;
wire \u_logic/_al_u3831_o ;
wire \u_logic/_al_u3832_o ;
wire \u_logic/_al_u3833_o ;
wire \u_logic/_al_u3834_o ;
wire \u_logic/_al_u3836_o ;
wire \u_logic/_al_u3838_o ;
wire \u_logic/_al_u3839_o ;
wire \u_logic/_al_u3840_o ;
wire \u_logic/_al_u3841_o ;
wire \u_logic/_al_u3842_o ;
wire \u_logic/_al_u3843_o ;
wire \u_logic/_al_u3844_o ;
wire \u_logic/_al_u3845_o ;
wire \u_logic/_al_u3846_o ;
wire \u_logic/_al_u3847_o ;
wire \u_logic/_al_u3848_o ;
wire \u_logic/_al_u3849_o ;
wire \u_logic/_al_u3850_o ;
wire \u_logic/_al_u3851_o ;
wire \u_logic/_al_u3853_o ;
wire \u_logic/_al_u3855_o ;
wire \u_logic/_al_u3857_o ;
wire \u_logic/_al_u3858_o ;
wire \u_logic/_al_u3859_o ;
wire \u_logic/_al_u385_o ;
wire \u_logic/_al_u3860_o ;
wire \u_logic/_al_u3861_o ;
wire \u_logic/_al_u3863_o ;
wire \u_logic/_al_u3864_o ;
wire \u_logic/_al_u3865_o ;
wire \u_logic/_al_u3866_o ;
wire \u_logic/_al_u3867_o ;
wire \u_logic/_al_u3868_o ;
wire \u_logic/_al_u3869_o ;
wire \u_logic/_al_u386_o ;
wire \u_logic/_al_u3870_o ;
wire \u_logic/_al_u3871_o ;
wire \u_logic/_al_u3872_o ;
wire \u_logic/_al_u3873_o ;
wire \u_logic/_al_u3876_o ;
wire \u_logic/_al_u3877_o ;
wire \u_logic/_al_u3878_o ;
wire \u_logic/_al_u3879_o ;
wire \u_logic/_al_u3883_o ;
wire \u_logic/_al_u3885_o ;
wire \u_logic/_al_u3887_o ;
wire \u_logic/_al_u3889_o ;
wire \u_logic/_al_u388_o ;
wire \u_logic/_al_u3890_o ;
wire \u_logic/_al_u3891_o ;
wire \u_logic/_al_u3892_o ;
wire \u_logic/_al_u3893_o ;
wire \u_logic/_al_u3894_o ;
wire \u_logic/_al_u3898_o ;
wire \u_logic/_al_u3899_o ;
wire \u_logic/_al_u3900_o ;
wire \u_logic/_al_u3902_o ;
wire \u_logic/_al_u3903_o ;
wire \u_logic/_al_u3905_o ;
wire \u_logic/_al_u3906_o ;
wire \u_logic/_al_u3907_o ;
wire \u_logic/_al_u3908_o ;
wire \u_logic/_al_u3909_o ;
wire \u_logic/_al_u390_o ;
wire \u_logic/_al_u3910_o ;
wire \u_logic/_al_u3911_o ;
wire \u_logic/_al_u3912_o ;
wire \u_logic/_al_u3914_o ;
wire \u_logic/_al_u3915_o ;
wire \u_logic/_al_u3916_o ;
wire \u_logic/_al_u3917_o ;
wire \u_logic/_al_u3919_o ;
wire \u_logic/_al_u391_o ;
wire \u_logic/_al_u3920_o ;
wire \u_logic/_al_u3921_o ;
wire \u_logic/_al_u3922_o ;
wire \u_logic/_al_u3923_o ;
wire \u_logic/_al_u3924_o ;
wire \u_logic/_al_u3927_o ;
wire \u_logic/_al_u3928_o ;
wire \u_logic/_al_u3929_o ;
wire \u_logic/_al_u392_o ;
wire \u_logic/_al_u3931_o ;
wire \u_logic/_al_u3932_o ;
wire \u_logic/_al_u3933_o ;
wire \u_logic/_al_u3934_o ;
wire \u_logic/_al_u3935_o ;
wire \u_logic/_al_u3936_o ;
wire \u_logic/_al_u3937_o ;
wire \u_logic/_al_u3938_o ;
wire \u_logic/_al_u3939_o ;
wire \u_logic/_al_u3940_o ;
wire \u_logic/_al_u3941_o ;
wire \u_logic/_al_u3942_o ;
wire \u_logic/_al_u3944_o ;
wire \u_logic/_al_u3945_o ;
wire \u_logic/_al_u3946_o ;
wire \u_logic/_al_u3947_o ;
wire \u_logic/_al_u3948_o ;
wire \u_logic/_al_u394_o ;
wire \u_logic/_al_u3950_o ;
wire \u_logic/_al_u3952_o ;
wire \u_logic/_al_u3953_o ;
wire \u_logic/_al_u3954_o ;
wire \u_logic/_al_u3955_o ;
wire \u_logic/_al_u3956_o ;
wire \u_logic/_al_u3957_o ;
wire \u_logic/_al_u3958_o ;
wire \u_logic/_al_u3959_o ;
wire \u_logic/_al_u395_o ;
wire \u_logic/_al_u3960_o ;
wire \u_logic/_al_u3961_o ;
wire \u_logic/_al_u3962_o ;
wire \u_logic/_al_u3963_o ;
wire \u_logic/_al_u3964_o ;
wire \u_logic/_al_u3965_o ;
wire \u_logic/_al_u3966_o ;
wire \u_logic/_al_u3967_o ;
wire \u_logic/_al_u3970_o ;
wire \u_logic/_al_u3971_o ;
wire \u_logic/_al_u3972_o ;
wire \u_logic/_al_u3973_o ;
wire \u_logic/_al_u3975_o ;
wire \u_logic/_al_u3977_o ;
wire \u_logic/_al_u3978_o ;
wire \u_logic/_al_u3979_o ;
wire \u_logic/_al_u397_o ;
wire \u_logic/_al_u3980_o ;
wire \u_logic/_al_u3981_o ;
wire \u_logic/_al_u3982_o ;
wire \u_logic/_al_u3983_o ;
wire \u_logic/_al_u3984_o ;
wire \u_logic/_al_u3985_o ;
wire \u_logic/_al_u3988_o ;
wire \u_logic/_al_u3989_o ;
wire \u_logic/_al_u398_o ;
wire \u_logic/_al_u3990_o ;
wire \u_logic/_al_u3991_o ;
wire \u_logic/_al_u3992_o ;
wire \u_logic/_al_u3993_o ;
wire \u_logic/_al_u3994_o ;
wire \u_logic/_al_u3995_o ;
wire \u_logic/_al_u3997_o ;
wire \u_logic/_al_u3998_o ;
wire \u_logic/_al_u3999_o ;
wire \u_logic/_al_u4000_o ;
wire \u_logic/_al_u4001_o ;
wire \u_logic/_al_u4002_o ;
wire \u_logic/_al_u4004_o ;
wire \u_logic/_al_u4005_o ;
wire \u_logic/_al_u4007_o ;
wire \u_logic/_al_u4008_o ;
wire \u_logic/_al_u400_o ;
wire \u_logic/_al_u4010_o ;
wire \u_logic/_al_u4012_o ;
wire \u_logic/_al_u4014_o ;
wire \u_logic/_al_u4015_o ;
wire \u_logic/_al_u4016_o ;
wire \u_logic/_al_u4017_o ;
wire \u_logic/_al_u4019_o ;
wire \u_logic/_al_u401_o ;
wire \u_logic/_al_u4020_o ;
wire \u_logic/_al_u4021_o ;
wire \u_logic/_al_u4022_o ;
wire \u_logic/_al_u4023_o ;
wire \u_logic/_al_u4024_o ;
wire \u_logic/_al_u4025_o ;
wire \u_logic/_al_u4027_o ;
wire \u_logic/_al_u4028_o ;
wire \u_logic/_al_u4029_o ;
wire \u_logic/_al_u4031_o ;
wire \u_logic/_al_u4032_o ;
wire \u_logic/_al_u4033_o ;
wire \u_logic/_al_u4034_o ;
wire \u_logic/_al_u4035_o ;
wire \u_logic/_al_u4036_o ;
wire \u_logic/_al_u4038_o ;
wire \u_logic/_al_u4039_o ;
wire \u_logic/_al_u403_o ;
wire \u_logic/_al_u4041_o ;
wire \u_logic/_al_u4042_o ;
wire \u_logic/_al_u4044_o ;
wire \u_logic/_al_u4045_o ;
wire \u_logic/_al_u4046_o ;
wire \u_logic/_al_u4047_o ;
wire \u_logic/_al_u4048_o ;
wire \u_logic/_al_u4049_o ;
wire \u_logic/_al_u404_o ;
wire \u_logic/_al_u4051_o ;
wire \u_logic/_al_u4053_o ;
wire \u_logic/_al_u4055_o ;
wire \u_logic/_al_u4057_o ;
wire \u_logic/_al_u4059_o ;
wire \u_logic/_al_u405_o ;
wire \u_logic/_al_u4060_o ;
wire \u_logic/_al_u4061_o ;
wire \u_logic/_al_u4062_o ;
wire \u_logic/_al_u4063_o ;
wire \u_logic/_al_u4064_o ;
wire \u_logic/_al_u4065_o ;
wire \u_logic/_al_u4066_o ;
wire \u_logic/_al_u4067_o ;
wire \u_logic/_al_u4069_o ;
wire \u_logic/_al_u406_o ;
wire \u_logic/_al_u4070_o ;
wire \u_logic/_al_u4072_o ;
wire \u_logic/_al_u4074_o ;
wire \u_logic/_al_u4076_o ;
wire \u_logic/_al_u4077_o ;
wire \u_logic/_al_u4078_o ;
wire \u_logic/_al_u4079_o ;
wire \u_logic/_al_u407_o ;
wire \u_logic/_al_u4080_o ;
wire \u_logic/_al_u4081_o ;
wire \u_logic/_al_u4082_o ;
wire \u_logic/_al_u4083_o ;
wire \u_logic/_al_u4084_o ;
wire \u_logic/_al_u4085_o ;
wire \u_logic/_al_u4086_o ;
wire \u_logic/_al_u4088_o ;
wire \u_logic/_al_u4089_o ;
wire \u_logic/_al_u4090_o ;
wire \u_logic/_al_u4091_o ;
wire \u_logic/_al_u4093_o ;
wire \u_logic/_al_u4094_o ;
wire \u_logic/_al_u4095_o ;
wire \u_logic/_al_u4096_o ;
wire \u_logic/_al_u4097_o ;
wire \u_logic/_al_u4098_o ;
wire \u_logic/_al_u4099_o ;
wire \u_logic/_al_u4100_o ;
wire \u_logic/_al_u4102_o ;
wire \u_logic/_al_u4103_o ;
wire \u_logic/_al_u4105_o ;
wire \u_logic/_al_u4106_o ;
wire \u_logic/_al_u4107_o ;
wire \u_logic/_al_u4108_o ;
wire \u_logic/_al_u410_o ;
wire \u_logic/_al_u4110_o ;
wire \u_logic/_al_u4111_o ;
wire \u_logic/_al_u4112_o ;
wire \u_logic/_al_u4113_o ;
wire \u_logic/_al_u4114_o ;
wire \u_logic/_al_u4115_o ;
wire \u_logic/_al_u4117_o ;
wire \u_logic/_al_u4118_o ;
wire \u_logic/_al_u4119_o ;
wire \u_logic/_al_u411_o ;
wire \u_logic/_al_u4121_o ;
wire \u_logic/_al_u4122_o ;
wire \u_logic/_al_u4123_o ;
wire \u_logic/_al_u4124_o ;
wire \u_logic/_al_u4125_o ;
wire \u_logic/_al_u4126_o ;
wire \u_logic/_al_u4127_o ;
wire \u_logic/_al_u4129_o ;
wire \u_logic/_al_u4130_o ;
wire \u_logic/_al_u4131_o ;
wire \u_logic/_al_u4134_o ;
wire \u_logic/_al_u4135_o ;
wire \u_logic/_al_u4136_o ;
wire \u_logic/_al_u4137_o ;
wire \u_logic/_al_u4138_o ;
wire \u_logic/_al_u4139_o ;
wire \u_logic/_al_u4140_o ;
wire \u_logic/_al_u4141_o ;
wire \u_logic/_al_u4144_o ;
wire \u_logic/_al_u4145_o ;
wire \u_logic/_al_u4146_o ;
wire \u_logic/_al_u4148_o ;
wire \u_logic/_al_u4149_o ;
wire \u_logic/_al_u4151_o ;
wire \u_logic/_al_u4152_o ;
wire \u_logic/_al_u4153_o ;
wire \u_logic/_al_u4154_o ;
wire \u_logic/_al_u4156_o ;
wire \u_logic/_al_u4157_o ;
wire \u_logic/_al_u4158_o ;
wire \u_logic/_al_u4159_o ;
wire \u_logic/_al_u4161_o ;
wire \u_logic/_al_u4162_o ;
wire \u_logic/_al_u4163_o ;
wire \u_logic/_al_u4164_o ;
wire \u_logic/_al_u4166_o ;
wire \u_logic/_al_u4167_o ;
wire \u_logic/_al_u4169_o ;
wire \u_logic/_al_u4170_o ;
wire \u_logic/_al_u4171_o ;
wire \u_logic/_al_u4172_o ;
wire \u_logic/_al_u4174_o ;
wire \u_logic/_al_u4175_o ;
wire \u_logic/_al_u4178_o ;
wire \u_logic/_al_u4179_o ;
wire \u_logic/_al_u4180_o ;
wire \u_logic/_al_u4182_o ;
wire \u_logic/_al_u4184_o ;
wire \u_logic/_al_u4185_o ;
wire \u_logic/_al_u4186_o ;
wire \u_logic/_al_u4188_o ;
wire \u_logic/_al_u4191_o ;
wire \u_logic/_al_u4192_o ;
wire \u_logic/_al_u4193_o ;
wire \u_logic/_al_u4194_o ;
wire \u_logic/_al_u4196_o ;
wire \u_logic/_al_u4197_o ;
wire \u_logic/_al_u4198_o ;
wire \u_logic/_al_u4201_o ;
wire \u_logic/_al_u4202_o ;
wire \u_logic/_al_u4203_o ;
wire \u_logic/_al_u4204_o ;
wire \u_logic/_al_u4205_o ;
wire \u_logic/_al_u4207_o ;
wire \u_logic/_al_u4209_o ;
wire \u_logic/_al_u4210_o ;
wire \u_logic/_al_u4211_o ;
wire \u_logic/_al_u4212_o ;
wire \u_logic/_al_u4213_o ;
wire \u_logic/_al_u4214_o ;
wire \u_logic/_al_u4215_o ;
wire \u_logic/_al_u4216_o ;
wire \u_logic/_al_u4217_o ;
wire \u_logic/_al_u4218_o ;
wire \u_logic/_al_u4219_o ;
wire \u_logic/_al_u4220_o ;
wire \u_logic/_al_u4222_o ;
wire \u_logic/_al_u4223_o ;
wire \u_logic/_al_u4224_o ;
wire \u_logic/_al_u4225_o ;
wire \u_logic/_al_u4228_o ;
wire \u_logic/_al_u4229_o ;
wire \u_logic/_al_u4230_o ;
wire \u_logic/_al_u4231_o ;
wire \u_logic/_al_u4232_o ;
wire \u_logic/_al_u4233_o ;
wire \u_logic/_al_u4234_o ;
wire \u_logic/_al_u4236_o ;
wire \u_logic/_al_u4237_o ;
wire \u_logic/_al_u4238_o ;
wire \u_logic/_al_u4239_o ;
wire \u_logic/_al_u4240_o ;
wire \u_logic/_al_u4243_o ;
wire \u_logic/_al_u4244_o ;
wire \u_logic/_al_u4245_o ;
wire \u_logic/_al_u4247_o ;
wire \u_logic/_al_u4249_o ;
wire \u_logic/_al_u4250_o ;
wire \u_logic/_al_u4251_o ;
wire \u_logic/_al_u4252_o ;
wire \u_logic/_al_u4253_o ;
wire \u_logic/_al_u4254_o ;
wire \u_logic/_al_u4255_o ;
wire \u_logic/_al_u4257_o ;
wire \u_logic/_al_u4260_o ;
wire \u_logic/_al_u4261_o ;
wire \u_logic/_al_u4262_o ;
wire \u_logic/_al_u4263_o ;
wire \u_logic/_al_u4265_o ;
wire \u_logic/_al_u4266_o ;
wire \u_logic/_al_u4267_o ;
wire \u_logic/_al_u4268_o ;
wire \u_logic/_al_u4269_o ;
wire \u_logic/_al_u4272_o ;
wire \u_logic/_al_u4273_o ;
wire \u_logic/_al_u4276_o ;
wire \u_logic/_al_u4278_o ;
wire \u_logic/_al_u4279_o ;
wire \u_logic/_al_u427_o ;
wire \u_logic/_al_u4280_o ;
wire \u_logic/_al_u4281_o ;
wire \u_logic/_al_u4282_o ;
wire \u_logic/_al_u4283_o ;
wire \u_logic/_al_u4284_o ;
wire \u_logic/_al_u4285_o ;
wire \u_logic/_al_u4286_o ;
wire \u_logic/_al_u4287_o ;
wire \u_logic/_al_u4288_o ;
wire \u_logic/_al_u4289_o ;
wire \u_logic/_al_u428_o ;
wire \u_logic/_al_u4290_o ;
wire \u_logic/_al_u4292_o ;
wire \u_logic/_al_u4295_o ;
wire \u_logic/_al_u4296_o ;
wire \u_logic/_al_u4297_o ;
wire \u_logic/_al_u4298_o ;
wire \u_logic/_al_u4299_o ;
wire \u_logic/_al_u429_o ;
wire \u_logic/_al_u4300_o ;
wire \u_logic/_al_u4301_o ;
wire \u_logic/_al_u4302_o ;
wire \u_logic/_al_u4303_o ;
wire \u_logic/_al_u4304_o ;
wire \u_logic/_al_u4305_o ;
wire \u_logic/_al_u4306_o ;
wire \u_logic/_al_u4307_o ;
wire \u_logic/_al_u4308_o ;
wire \u_logic/_al_u4309_o ;
wire \u_logic/_al_u430_o ;
wire \u_logic/_al_u4310_o ;
wire \u_logic/_al_u4312_o ;
wire \u_logic/_al_u4313_o ;
wire \u_logic/_al_u4314_o ;
wire \u_logic/_al_u4315_o ;
wire \u_logic/_al_u4316_o ;
wire \u_logic/_al_u4319_o ;
wire \u_logic/_al_u4321_o ;
wire \u_logic/_al_u4323_o ;
wire \u_logic/_al_u4325_o ;
wire \u_logic/_al_u4326_o ;
wire \u_logic/_al_u4327_o ;
wire \u_logic/_al_u4328_o ;
wire \u_logic/_al_u4329_o ;
wire \u_logic/_al_u4330_o ;
wire \u_logic/_al_u4331_o ;
wire \u_logic/_al_u4332_o ;
wire \u_logic/_al_u4333_o ;
wire \u_logic/_al_u4334_o ;
wire \u_logic/_al_u4335_o ;
wire \u_logic/_al_u4336_o ;
wire \u_logic/_al_u4337_o ;
wire \u_logic/_al_u4338_o ;
wire \u_logic/_al_u4339_o ;
wire \u_logic/_al_u433_o ;
wire \u_logic/_al_u4340_o ;
wire \u_logic/_al_u4344_o ;
wire \u_logic/_al_u4349_o ;
wire \u_logic/_al_u434_o ;
wire \u_logic/_al_u4351_o ;
wire \u_logic/_al_u4352_o ;
wire \u_logic/_al_u4354_o ;
wire \u_logic/_al_u4355_o ;
wire \u_logic/_al_u4357_o ;
wire \u_logic/_al_u4359_o ;
wire \u_logic/_al_u435_o ;
wire \u_logic/_al_u4361_o ;
wire \u_logic/_al_u4362_o ;
wire \u_logic/_al_u4363_o ;
wire \u_logic/_al_u4364_o ;
wire \u_logic/_al_u4365_o ;
wire \u_logic/_al_u4366_o ;
wire \u_logic/_al_u4367_o ;
wire \u_logic/_al_u4368_o ;
wire \u_logic/_al_u4369_o ;
wire \u_logic/_al_u436_o ;
wire \u_logic/_al_u4370_o ;
wire \u_logic/_al_u4372_o ;
wire \u_logic/_al_u4373_o ;
wire \u_logic/_al_u4377_o ;
wire \u_logic/_al_u4379_o ;
wire \u_logic/_al_u4381_o ;
wire \u_logic/_al_u4382_o ;
wire \u_logic/_al_u4384_o ;
wire \u_logic/_al_u4386_o ;
wire \u_logic/_al_u4387_o ;
wire \u_logic/_al_u4389_o ;
wire \u_logic/_al_u4390_o ;
wire \u_logic/_al_u4391_o ;
wire \u_logic/_al_u4392_o ;
wire \u_logic/_al_u4393_o ;
wire \u_logic/_al_u4395_o ;
wire \u_logic/_al_u4397_o ;
wire \u_logic/_al_u4399_o ;
wire \u_logic/_al_u439_o ;
wire \u_logic/_al_u4401_o ;
wire \u_logic/_al_u4403_o ;
wire \u_logic/_al_u4405_o ;
wire \u_logic/_al_u4407_o ;
wire \u_logic/_al_u4409_o ;
wire \u_logic/_al_u4411_o ;
wire \u_logic/_al_u4412_o ;
wire \u_logic/_al_u4413_o ;
wire \u_logic/_al_u4414_o ;
wire \u_logic/_al_u4415_o ;
wire \u_logic/_al_u4416_o ;
wire \u_logic/_al_u4417_o ;
wire \u_logic/_al_u4418_o ;
wire \u_logic/_al_u4419_o ;
wire \u_logic/_al_u4420_o ;
wire \u_logic/_al_u4422_o ;
wire \u_logic/_al_u4423_o ;
wire \u_logic/_al_u4424_o ;
wire \u_logic/_al_u4425_o ;
wire \u_logic/_al_u4426_o ;
wire \u_logic/_al_u4428_o ;
wire \u_logic/_al_u442_o ;
wire \u_logic/_al_u4431_o ;
wire \u_logic/_al_u4432_o ;
wire \u_logic/_al_u4434_o ;
wire \u_logic/_al_u4436_o ;
wire \u_logic/_al_u4437_o ;
wire \u_logic/_al_u4438_o ;
wire \u_logic/_al_u4439_o ;
wire \u_logic/_al_u4442_o ;
wire \u_logic/_al_u4443_o ;
wire \u_logic/_al_u4444_o ;
wire \u_logic/_al_u4445_o ;
wire \u_logic/_al_u4447_o ;
wire \u_logic/_al_u4449_o ;
wire \u_logic/_al_u4451_o ;
wire \u_logic/_al_u4452_o ;
wire \u_logic/_al_u4453_o ;
wire \u_logic/_al_u4454_o ;
wire \u_logic/_al_u4455_o ;
wire \u_logic/_al_u4456_o ;
wire \u_logic/_al_u4457_o ;
wire \u_logic/_al_u4458_o ;
wire \u_logic/_al_u4459_o ;
wire \u_logic/_al_u445_o ;
wire \u_logic/_al_u4460_o ;
wire \u_logic/_al_u4462_o ;
wire \u_logic/_al_u4464_o ;
wire \u_logic/_al_u4465_o ;
wire \u_logic/_al_u4466_o ;
wire \u_logic/_al_u4469_o ;
wire \u_logic/_al_u446_o ;
wire \u_logic/_al_u4471_o ;
wire \u_logic/_al_u4472_o ;
wire \u_logic/_al_u4473_o ;
wire \u_logic/_al_u4474_o ;
wire \u_logic/_al_u4477_o ;
wire \u_logic/_al_u4479_o ;
wire \u_logic/_al_u447_o ;
wire \u_logic/_al_u4480_o ;
wire \u_logic/_al_u4481_o ;
wire \u_logic/_al_u4483_o ;
wire \u_logic/_al_u4484_o ;
wire \u_logic/_al_u4486_o ;
wire \u_logic/_al_u4487_o ;
wire \u_logic/_al_u4488_o ;
wire \u_logic/_al_u448_o ;
wire \u_logic/_al_u4490_o ;
wire \u_logic/_al_u4492_o ;
wire \u_logic/_al_u4494_o ;
wire \u_logic/_al_u4495_o ;
wire \u_logic/_al_u4497_o ;
wire \u_logic/_al_u4501_o ;
wire \u_logic/_al_u4503_o ;
wire \u_logic/_al_u4504_o ;
wire \u_logic/_al_u4506_o ;
wire \u_logic/_al_u4508_o ;
wire \u_logic/_al_u4509_o ;
wire \u_logic/_al_u4513_o ;
wire \u_logic/_al_u4514_o ;
wire \u_logic/_al_u4515_o ;
wire \u_logic/_al_u4516_o ;
wire \u_logic/_al_u4518_o ;
wire \u_logic/_al_u451_o ;
wire \u_logic/_al_u4521_o ;
wire \u_logic/_al_u4523_o ;
wire \u_logic/_al_u4525_o ;
wire \u_logic/_al_u4526_o ;
wire \u_logic/_al_u4527_o ;
wire \u_logic/_al_u4528_o ;
wire \u_logic/_al_u4530_o ;
wire \u_logic/_al_u4533_o ;
wire \u_logic/_al_u4535_o ;
wire \u_logic/_al_u4537_o ;
wire \u_logic/_al_u4538_o ;
wire \u_logic/_al_u4539_o ;
wire \u_logic/_al_u453_o ;
wire \u_logic/_al_u4540_o ;
wire \u_logic/_al_u4542_o ;
wire \u_logic/_al_u4543_o ;
wire \u_logic/_al_u4544_o ;
wire \u_logic/_al_u4545_o ;
wire \u_logic/_al_u4546_o ;
wire \u_logic/_al_u4547_o ;
wire \u_logic/_al_u4548_o ;
wire \u_logic/_al_u4550_o ;
wire \u_logic/_al_u4551_o ;
wire \u_logic/_al_u4552_o ;
wire \u_logic/_al_u4555_o ;
wire \u_logic/_al_u4556_o ;
wire \u_logic/_al_u4557_o ;
wire \u_logic/_al_u4558_o ;
wire \u_logic/_al_u4560_o ;
wire \u_logic/_al_u4562_o ;
wire \u_logic/_al_u4564_o ;
wire \u_logic/_al_u4565_o ;
wire \u_logic/_al_u4566_o ;
wire \u_logic/_al_u4568_o ;
wire \u_logic/_al_u4569_o ;
wire \u_logic/_al_u4571_o ;
wire \u_logic/_al_u4572_o ;
wire \u_logic/_al_u4573_o ;
wire \u_logic/_al_u4576_o ;
wire \u_logic/_al_u4577_o ;
wire \u_logic/_al_u4578_o ;
wire \u_logic/_al_u457_o ;
wire \u_logic/_al_u4580_o ;
wire \u_logic/_al_u4581_o ;
wire \u_logic/_al_u4583_o ;
wire \u_logic/_al_u4584_o ;
wire \u_logic/_al_u4585_o ;
wire \u_logic/_al_u4588_o ;
wire \u_logic/_al_u458_o ;
wire \u_logic/_al_u4590_o ;
wire \u_logic/_al_u4591_o ;
wire \u_logic/_al_u4592_o ;
wire \u_logic/_al_u4593_o ;
wire \u_logic/_al_u4595_o ;
wire \u_logic/_al_u4596_o ;
wire \u_logic/_al_u4597_o ;
wire \u_logic/_al_u459_o ;
wire \u_logic/_al_u4600_o ;
wire \u_logic/_al_u4601_o ;
wire \u_logic/_al_u4602_o ;
wire \u_logic/_al_u4603_o ;
wire \u_logic/_al_u4605_o ;
wire \u_logic/_al_u4607_o ;
wire \u_logic/_al_u4609_o ;
wire \u_logic/_al_u4610_o ;
wire \u_logic/_al_u4611_o ;
wire \u_logic/_al_u4613_o ;
wire \u_logic/_al_u4614_o ;
wire \u_logic/_al_u4616_o ;
wire \u_logic/_al_u4617_o ;
wire \u_logic/_al_u4620_o ;
wire \u_logic/_al_u4622_o ;
wire \u_logic/_al_u4623_o ;
wire \u_logic/_al_u4625_o ;
wire \u_logic/_al_u4626_o ;
wire \u_logic/_al_u4627_o ;
wire \u_logic/_al_u4629_o ;
wire \u_logic/_al_u4631_o ;
wire \u_logic/_al_u4633_o ;
wire \u_logic/_al_u4634_o ;
wire \u_logic/_al_u4635_o ;
wire \u_logic/_al_u4636_o ;
wire \u_logic/_al_u4638_o ;
wire \u_logic/_al_u463_o ;
wire \u_logic/_al_u4640_o ;
wire \u_logic/_al_u4641_o ;
wire \u_logic/_al_u4643_o ;
wire \u_logic/_al_u4644_o ;
wire \u_logic/_al_u4646_o ;
wire \u_logic/_al_u4647_o ;
wire \u_logic/_al_u4649_o ;
wire \u_logic/_al_u464_o ;
wire \u_logic/_al_u4650_o ;
wire \u_logic/_al_u4652_o ;
wire \u_logic/_al_u4653_o ;
wire \u_logic/_al_u4655_o ;
wire \u_logic/_al_u4656_o ;
wire \u_logic/_al_u4658_o ;
wire \u_logic/_al_u4659_o ;
wire \u_logic/_al_u465_o ;
wire \u_logic/_al_u4661_o ;
wire \u_logic/_al_u4662_o ;
wire \u_logic/_al_u4664_o ;
wire \u_logic/_al_u4665_o ;
wire \u_logic/_al_u4667_o ;
wire \u_logic/_al_u4669_o ;
wire \u_logic/_al_u466_o ;
wire \u_logic/_al_u4670_o ;
wire \u_logic/_al_u4671_o ;
wire \u_logic/_al_u4673_o ;
wire \u_logic/_al_u4674_o ;
wire \u_logic/_al_u4675_o ;
wire \u_logic/_al_u4677_o ;
wire \u_logic/_al_u4678_o ;
wire \u_logic/_al_u4680_o ;
wire \u_logic/_al_u4681_o ;
wire \u_logic/_al_u4683_o ;
wire \u_logic/_al_u4684_o ;
wire \u_logic/_al_u4685_o ;
wire \u_logic/_al_u4687_o ;
wire \u_logic/_al_u4688_o ;
wire \u_logic/_al_u4690_o ;
wire \u_logic/_al_u4691_o ;
wire \u_logic/_al_u4693_o ;
wire \u_logic/_al_u4694_o ;
wire \u_logic/_al_u4695_o ;
wire \u_logic/_al_u4696_o ;
wire \u_logic/_al_u4698_o ;
wire \u_logic/_al_u469_o ;
wire \u_logic/_al_u4700_o ;
wire \u_logic/_al_u4702_o ;
wire \u_logic/_al_u4704_o ;
wire \u_logic/_al_u4706_o ;
wire \u_logic/_al_u4708_o ;
wire \u_logic/_al_u4709_o ;
wire \u_logic/_al_u4712_o ;
wire \u_logic/_al_u4714_o ;
wire \u_logic/_al_u4715_o ;
wire \u_logic/_al_u4717_o ;
wire \u_logic/_al_u4718_o ;
wire \u_logic/_al_u471_o ;
wire \u_logic/_al_u4720_o ;
wire \u_logic/_al_u4721_o ;
wire \u_logic/_al_u4723_o ;
wire \u_logic/_al_u4724_o ;
wire \u_logic/_al_u4727_o ;
wire \u_logic/_al_u4729_o ;
wire \u_logic/_al_u4730_o ;
wire \u_logic/_al_u4733_o ;
wire \u_logic/_al_u4736_o ;
wire \u_logic/_al_u4739_o ;
wire \u_logic/_al_u4741_o ;
wire \u_logic/_al_u4742_o ;
wire \u_logic/_al_u4745_o ;
wire \u_logic/_al_u4748_o ;
wire \u_logic/_al_u4751_o ;
wire \u_logic/_al_u4754_o ;
wire \u_logic/_al_u4756_o ;
wire \u_logic/_al_u4757_o ;
wire \u_logic/_al_u4759_o ;
wire \u_logic/_al_u475_o ;
wire \u_logic/_al_u4760_o ;
wire \u_logic/_al_u4762_o ;
wire \u_logic/_al_u4764_o ;
wire \u_logic/_al_u4765_o ;
wire \u_logic/_al_u4768_o ;
wire \u_logic/_al_u476_o ;
wire \u_logic/_al_u4771_o ;
wire \u_logic/_al_u4773_o ;
wire \u_logic/_al_u4774_o ;
wire \u_logic/_al_u4776_o ;
wire \u_logic/_al_u4777_o ;
wire \u_logic/_al_u4779_o ;
wire \u_logic/_al_u477_o ;
wire \u_logic/_al_u4780_o ;
wire \u_logic/_al_u4783_o ;
wire \u_logic/_al_u4785_o ;
wire \u_logic/_al_u4786_o ;
wire \u_logic/_al_u4788_o ;
wire \u_logic/_al_u4789_o ;
wire \u_logic/_al_u478_o ;
wire \u_logic/_al_u4790_o ;
wire \u_logic/_al_u482_o ;
wire \u_logic/_al_u484_o ;
wire \u_logic/_al_u487_o ;
wire \u_logic/_al_u488_o ;
wire \u_logic/_al_u489_o ;
wire \u_logic/_al_u490_o ;
wire \u_logic/_al_u493_o ;
wire \u_logic/_al_u494_o ;
wire \u_logic/_al_u495_o ;
wire \u_logic/_al_u499_o ;
wire \u_logic/_al_u500_o ;
wire \u_logic/_al_u501_o ;
wire \u_logic/_al_u502_o ;
wire \u_logic/_al_u506_o ;
wire \u_logic/_al_u507_o ;
wire \u_logic/_al_u508_o ;
wire \u_logic/_al_u511_o ;
wire \u_logic/_al_u512_o ;
wire \u_logic/_al_u513_o ;
wire \u_logic/_al_u514_o ;
wire \u_logic/_al_u517_o ;
wire \u_logic/_al_u518_o ;
wire \u_logic/_al_u519_o ;
wire \u_logic/_al_u520_o ;
wire \u_logic/_al_u523_o ;
wire \u_logic/_al_u524_o ;
wire \u_logic/_al_u525_o ;
wire \u_logic/_al_u526_o ;
wire \u_logic/_al_u530_o ;
wire \u_logic/_al_u531_o ;
wire \u_logic/_al_u532_o ;
wire \u_logic/_al_u535_o ;
wire \u_logic/_al_u537_o ;
wire \u_logic/_al_u538_o ;
wire \u_logic/_al_u53_o ;
wire \u_logic/_al_u541_o ;
wire \u_logic/_al_u542_o ;
wire \u_logic/_al_u543_o ;
wire \u_logic/_al_u544_o ;
wire \u_logic/_al_u547_o ;
wire \u_logic/_al_u548_o ;
wire \u_logic/_al_u549_o ;
wire \u_logic/_al_u550_o ;
wire \u_logic/_al_u553_o ;
wire \u_logic/_al_u554_o ;
wire \u_logic/_al_u555_o ;
wire \u_logic/_al_u556_o ;
wire \u_logic/_al_u559_o ;
wire \u_logic/_al_u561_o ;
wire \u_logic/_al_u565_o ;
wire \u_logic/_al_u566_o ;
wire \u_logic/_al_u568_o ;
wire \u_logic/_al_u571_o ;
wire \u_logic/_al_u572_o ;
wire \u_logic/_al_u574_o ;
wire \u_logic/_al_u577_o ;
wire \u_logic/_al_u578_o ;
wire \u_logic/_al_u579_o ;
wire \u_logic/_al_u580_o ;
wire \u_logic/_al_u584_o ;
wire \u_logic/_al_u585_o ;
wire \u_logic/_al_u586_o ;
wire \u_logic/_al_u589_o ;
wire \u_logic/_al_u590_o ;
wire \u_logic/_al_u591_o ;
wire \u_logic/_al_u592_o ;
wire \u_logic/_al_u596_o ;
wire \u_logic/_al_u598_o ;
wire \u_logic/_al_u601_o ;
wire \u_logic/_al_u602_o ;
wire \u_logic/_al_u603_o ;
wire \u_logic/_al_u604_o ;
wire \u_logic/_al_u607_o ;
wire \u_logic/_al_u608_o ;
wire \u_logic/_al_u609_o ;
wire \u_logic/_al_u610_o ;
wire \u_logic/_al_u614_o ;
wire \u_logic/_al_u615_o ;
wire \u_logic/_al_u616_o ;
wire \u_logic/_al_u620_o ;
wire \u_logic/_al_u625_o ;
wire \u_logic/_al_u627_o ;
wire \u_logic/_al_u629_o ;
wire \u_logic/_al_u630_o ;
wire \u_logic/_al_u631_o ;
wire \u_logic/_al_u632_o ;
wire \u_logic/_al_u638_o ;
wire \u_logic/_al_u640_o ;
wire \u_logic/_al_u642_o ;
wire \u_logic/_al_u643_o ;
wire \u_logic/_al_u648_o ;
wire \u_logic/_al_u651_o ;
wire \u_logic/_al_u653_o ;
wire \u_logic/_al_u654_o ;
wire \u_logic/_al_u655_o ;
wire \u_logic/_al_u658_o ;
wire \u_logic/_al_u659_o ;
wire \u_logic/_al_u660_o ;
wire \u_logic/_al_u665_o ;
wire \u_logic/_al_u666_o ;
wire \u_logic/_al_u667_o ;
wire \u_logic/_al_u669_o ;
wire \u_logic/_al_u670_o ;
wire \u_logic/_al_u672_o ;
wire \u_logic/_al_u675_o ;
wire \u_logic/_al_u684_o ;
wire \u_logic/_al_u685_o ;
wire \u_logic/_al_u686_o ;
wire \u_logic/_al_u687_o ;
wire \u_logic/_al_u688_o ;
wire \u_logic/_al_u689_o ;
wire \u_logic/_al_u690_o ;
wire \u_logic/_al_u691_o ;
wire \u_logic/_al_u692_o ;
wire \u_logic/_al_u694_o ;
wire \u_logic/_al_u695_o ;
wire \u_logic/_al_u696_o ;
wire \u_logic/_al_u697_o ;
wire \u_logic/_al_u704_o ;
wire \u_logic/_al_u705_o ;
wire \u_logic/_al_u709_o ;
wire \u_logic/_al_u711_o ;
wire \u_logic/_al_u712_o ;
wire \u_logic/_al_u713_o ;
wire \u_logic/_al_u716_o ;
wire \u_logic/_al_u717_o ;
wire \u_logic/_al_u718_o ;
wire \u_logic/_al_u719_o ;
wire \u_logic/_al_u720_o ;
wire \u_logic/_al_u721_o ;
wire \u_logic/_al_u723_o ;
wire \u_logic/_al_u724_o ;
wire \u_logic/_al_u725_o ;
wire \u_logic/_al_u726_o ;
wire \u_logic/_al_u727_o ;
wire \u_logic/_al_u728_o ;
wire \u_logic/_al_u730_o ;
wire \u_logic/_al_u732_o ;
wire \u_logic/_al_u734_o ;
wire \u_logic/_al_u736_o ;
wire \u_logic/_al_u738_o ;
wire \u_logic/_al_u739_o ;
wire \u_logic/_al_u740_o ;
wire \u_logic/_al_u741_o ;
wire \u_logic/_al_u742_o ;
wire \u_logic/_al_u743_o ;
wire \u_logic/_al_u744_o ;
wire \u_logic/_al_u745_o ;
wire \u_logic/_al_u747_o ;
wire \u_logic/_al_u748_o ;
wire \u_logic/_al_u749_o ;
wire \u_logic/_al_u750_o ;
wire \u_logic/_al_u751_o ;
wire \u_logic/_al_u752_o ;
wire \u_logic/_al_u754_o ;
wire \u_logic/_al_u755_o ;
wire \u_logic/_al_u756_o ;
wire \u_logic/_al_u757_o ;
wire \u_logic/_al_u758_o ;
wire \u_logic/_al_u759_o ;
wire \u_logic/_al_u761_o ;
wire \u_logic/_al_u762_o ;
wire \u_logic/_al_u763_o ;
wire \u_logic/_al_u765_o ;
wire \u_logic/_al_u766_o ;
wire \u_logic/_al_u768_o ;
wire \u_logic/_al_u769_o ;
wire \u_logic/_al_u770_o ;
wire \u_logic/_al_u771_o ;
wire \u_logic/_al_u772_o ;
wire \u_logic/_al_u773_o ;
wire \u_logic/_al_u775_o ;
wire \u_logic/_al_u776_o ;
wire \u_logic/_al_u777_o ;
wire \u_logic/_al_u778_o ;
wire \u_logic/_al_u779_o ;
wire \u_logic/_al_u780_o ;
wire \u_logic/_al_u782_o ;
wire \u_logic/_al_u783_o ;
wire \u_logic/_al_u784_o ;
wire \u_logic/_al_u785_o ;
wire \u_logic/_al_u786_o ;
wire \u_logic/_al_u787_o ;
wire \u_logic/_al_u789_o ;
wire \u_logic/_al_u790_o ;
wire \u_logic/_al_u791_o ;
wire \u_logic/_al_u792_o ;
wire \u_logic/_al_u793_o ;
wire \u_logic/_al_u794_o ;
wire \u_logic/_al_u796_o ;
wire \u_logic/_al_u797_o ;
wire \u_logic/_al_u798_o ;
wire \u_logic/_al_u799_o ;
wire \u_logic/_al_u800_o ;
wire \u_logic/_al_u801_o ;
wire \u_logic/_al_u803_o ;
wire \u_logic/_al_u804_o ;
wire \u_logic/_al_u805_o ;
wire \u_logic/_al_u806_o ;
wire \u_logic/_al_u807_o ;
wire \u_logic/_al_u808_o ;
wire \u_logic/_al_u810_o ;
wire \u_logic/_al_u811_o ;
wire \u_logic/_al_u812_o ;
wire \u_logic/_al_u813_o ;
wire \u_logic/_al_u814_o ;
wire \u_logic/_al_u815_o ;
wire \u_logic/_al_u817_o ;
wire \u_logic/_al_u818_o ;
wire \u_logic/_al_u819_o ;
wire \u_logic/_al_u821_o ;
wire \u_logic/_al_u822_o ;
wire \u_logic/_al_u824_o ;
wire \u_logic/_al_u825_o ;
wire \u_logic/_al_u826_o ;
wire \u_logic/_al_u828_o ;
wire \u_logic/_al_u829_o ;
wire \u_logic/_al_u832_o ;
wire \u_logic/_al_u833_o ;
wire \u_logic/_al_u835_o ;
wire \u_logic/_al_u836_o ;
wire \u_logic/_al_u838_o ;
wire \u_logic/_al_u839_o ;
wire \u_logic/_al_u840_o ;
wire \u_logic/_al_u841_o ;
wire \u_logic/_al_u842_o ;
wire \u_logic/_al_u843_o ;
wire \u_logic/_al_u845_o ;
wire \u_logic/_al_u846_o ;
wire \u_logic/_al_u847_o ;
wire \u_logic/_al_u848_o ;
wire \u_logic/_al_u849_o ;
wire \u_logic/_al_u850_o ;
wire \u_logic/_al_u852_o ;
wire \u_logic/_al_u853_o ;
wire \u_logic/_al_u854_o ;
wire \u_logic/_al_u855_o ;
wire \u_logic/_al_u856_o ;
wire \u_logic/_al_u857_o ;
wire \u_logic/_al_u859_o ;
wire \u_logic/_al_u860_o ;
wire \u_logic/_al_u861_o ;
wire \u_logic/_al_u862_o ;
wire \u_logic/_al_u863_o ;
wire \u_logic/_al_u864_o ;
wire \u_logic/_al_u866_o ;
wire \u_logic/_al_u867_o ;
wire \u_logic/_al_u868_o ;
wire \u_logic/_al_u869_o ;
wire \u_logic/_al_u870_o ;
wire \u_logic/_al_u871_o ;
wire \u_logic/_al_u873_o ;
wire \u_logic/_al_u874_o ;
wire \u_logic/_al_u875_o ;
wire \u_logic/_al_u876_o ;
wire \u_logic/_al_u877_o ;
wire \u_logic/_al_u878_o ;
wire \u_logic/_al_u880_o ;
wire \u_logic/_al_u881_o ;
wire \u_logic/_al_u882_o ;
wire \u_logic/_al_u883_o ;
wire \u_logic/_al_u884_o ;
wire \u_logic/_al_u885_o ;
wire \u_logic/_al_u887_o ;
wire \u_logic/_al_u888_o ;
wire \u_logic/_al_u889_o ;
wire \u_logic/_al_u890_o ;
wire \u_logic/_al_u891_o ;
wire \u_logic/_al_u894_o ;
wire \u_logic/_al_u895_o ;
wire \u_logic/_al_u896_o ;
wire \u_logic/_al_u897_o ;
wire \u_logic/_al_u898_o ;
wire \u_logic/_al_u899_o ;
wire \u_logic/_al_u901_o ;
wire \u_logic/_al_u902_o ;
wire \u_logic/_al_u903_o ;
wire \u_logic/_al_u904_o ;
wire \u_logic/_al_u905_o ;
wire \u_logic/_al_u907_o ;
wire \u_logic/_al_u909_o ;
wire \u_logic/_al_u910_o ;
wire \u_logic/_al_u911_o ;
wire \u_logic/_al_u913_o ;
wire \u_logic/_al_u914_o ;
wire \u_logic/_al_u916_o ;
wire \u_logic/_al_u917_o ;
wire \u_logic/_al_u918_o ;
wire \u_logic/_al_u920_o ;
wire \u_logic/_al_u921_o ;
wire \u_logic/_al_u923_o ;
wire \u_logic/_al_u924_o ;
wire \u_logic/_al_u925_o ;
wire \u_logic/_al_u926_o ;
wire \u_logic/_al_u927_o ;
wire \u_logic/_al_u928_o ;
wire \u_logic/_al_u930_o ;
wire \u_logic/_al_u931_o ;
wire \u_logic/_al_u932_o ;
wire \u_logic/_al_u934_o ;
wire \u_logic/_al_u935_o ;
wire \u_logic/_al_u937_o ;
wire \u_logic/_al_u938_o ;
wire \u_logic/_al_u939_o ;
wire \u_logic/_al_u940_o ;
wire \u_logic/_al_u941_o ;
wire \u_logic/_al_u942_o ;
wire \u_logic/_al_u944_o ;
wire \u_logic/_al_u945_o ;
wire \u_logic/_al_u946_o ;
wire \u_logic/_al_u947_o ;
wire \u_logic/_al_u948_o ;
wire \u_logic/_al_u949_o ;
wire \u_logic/_al_u951_o ;
wire \u_logic/_al_u954_o ;
wire \u_logic/_al_u956_o ;
wire \u_logic/_al_u957_o ;
wire \u_logic/_al_u958_o ;
wire \u_logic/_al_u959_o ;
wire \u_logic/_al_u960_o ;
wire \u_logic/_al_u961_o ;
wire \u_logic/_al_u971_o ;
wire \u_logic/_al_u972_o ;
wire \u_logic/_al_u973_o ;
wire \u_logic/_al_u976_o ;
wire \u_logic/_al_u977_o ;
wire \u_logic/_al_u979_o ;
wire \u_logic/_al_u981_o ;
wire \u_logic/_al_u983_o ;
wire \u_logic/_al_u985_o ;
wire \u_logic/_al_u987_o ;
wire \u_logic/_al_u989_o ;
wire \u_logic/_al_u993_o ;
wire \u_logic/_al_u995_o ;
wire \u_logic/_al_u997_o ;
wire \u_logic/add0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3107)
wire \u_logic/add1/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3152)
wire \u_logic/add2/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add2/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3153)
wire \u_logic/add3_add4/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c27 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c31 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/add3_add4/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3159)
wire \u_logic/eq0/xor_i0[14]_i1[14]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq0/xor_i0[22]_i1[22]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq0/xor_i0[4]_i1[4]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq0/xor_i0[9]_i1[9]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3110)
wire \u_logic/eq1/xor_i0[11]_i1[11]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[13]_i1[13]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[17]_i1[17]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[23]_i1[23]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[24]_i1[24]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[25]_i1[25]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[31]_i1[31]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[3]_i1[3]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/eq1/xor_i0[6]_i1[6]_o_lutinv ; // ../rtl/topmodule/cortexm0ds_logic.v(3124)
wire \u_logic/mult0_0_0_0 ;
wire \u_logic/mult0_0_0_1 ;
wire \u_logic/mult0_0_0_10 ;
wire \u_logic/mult0_0_0_11 ;
wire \u_logic/mult0_0_0_12 ;
wire \u_logic/mult0_0_0_13 ;
wire \u_logic/mult0_0_0_14 ;
wire \u_logic/mult0_0_0_15 ;
wire \u_logic/mult0_0_0_16 ;
wire \u_logic/mult0_0_0_17 ;
wire \u_logic/mult0_0_0_18 ;
wire \u_logic/mult0_0_0_19 ;
wire \u_logic/mult0_0_0_2 ;
wire \u_logic/mult0_0_0_20 ;
wire \u_logic/mult0_0_0_21 ;
wire \u_logic/mult0_0_0_22 ;
wire \u_logic/mult0_0_0_23 ;
wire \u_logic/mult0_0_0_24 ;
wire \u_logic/mult0_0_0_25 ;
wire \u_logic/mult0_0_0_26 ;
wire \u_logic/mult0_0_0_27 ;
wire \u_logic/mult0_0_0_28 ;
wire \u_logic/mult0_0_0_29 ;
wire \u_logic/mult0_0_0_3 ;
wire \u_logic/mult0_0_0_30 ;
wire \u_logic/mult0_0_0_31 ;
wire \u_logic/mult0_0_0_4 ;
wire \u_logic/mult0_0_0_5 ;
wire \u_logic/mult0_0_0_6 ;
wire \u_logic/mult0_0_0_7 ;
wire \u_logic/mult0_0_0_8 ;
wire \u_logic/mult0_0_0_9 ;
wire \u_logic/mult0_0_1_0 ;
wire \u_logic/mult0_0_1_1 ;
wire \u_logic/mult0_0_1_10 ;
wire \u_logic/mult0_0_1_11 ;
wire \u_logic/mult0_0_1_12 ;
wire \u_logic/mult0_0_1_13 ;
wire \u_logic/mult0_0_1_2 ;
wire \u_logic/mult0_0_1_3 ;
wire \u_logic/mult0_0_1_4 ;
wire \u_logic/mult0_0_1_5 ;
wire \u_logic/mult0_0_1_6 ;
wire \u_logic/mult0_0_1_7 ;
wire \u_logic/mult0_0_1_8 ;
wire \u_logic/mult0_0_1_9 ;
wire \u_logic/mult0_1_0_0 ;
wire \u_logic/mult0_1_0_1 ;
wire \u_logic/mult0_1_0_10 ;
wire \u_logic/mult0_1_0_11 ;
wire \u_logic/mult0_1_0_12 ;
wire \u_logic/mult0_1_0_13 ;
wire \u_logic/mult0_1_0_2 ;
wire \u_logic/mult0_1_0_3 ;
wire \u_logic/mult0_1_0_4 ;
wire \u_logic/mult0_1_0_5 ;
wire \u_logic/mult0_1_0_6 ;
wire \u_logic/mult0_1_0_7 ;
wire \u_logic/mult0_1_0_8 ;
wire \u_logic/mult0_1_0_9 ;
wire \u_logic/n1008 ;
wire \u_logic/n1009 ;
wire \u_logic/n1110 ;
wire \u_logic/n1111 ;
wire \u_logic/n1112 ;
wire \u_logic/n1113 ;
wire \u_logic/n1114 ;
wire \u_logic/n1115 ;
wire \u_logic/n1116 ;
wire \u_logic/n1199 ;
wire \u_logic/n1200 ;
wire \u_logic/n1360 ;
wire \u_logic/n1481 ;
wire \u_logic/n1568 ;
wire \u_logic/n1571 ;
wire \u_logic/n1573 ;
wire \u_logic/n1577 ;
wire \u_logic/n1580 ;
wire \u_logic/n274 ;
wire \u_logic/n276 ;
wire \u_logic/n3178 ;
wire \u_logic/n327 ;
wire \u_logic/n332 ;
wire \u_logic/n3472 ;
wire \u_logic/n3708 ;
wire \u_logic/n3724 ;
wire \u_logic/n3767 ;
wire \u_logic/n394 ;
wire \u_logic/n4330 ;
wire \u_logic/n524 ;
wire \u_logic/n525 ;
wire \u_logic/n526 ;
wire \u_logic/n527 ;
wire \u_logic/n528 ;
wire \u_logic/n529 ;
wire \u_logic/n530 ;
wire \u_logic/n531 ;
wire \u_logic/n532 ;
wire \u_logic/n533 ;
wire \u_logic/n5754 ;
wire \u_logic/n602 ;
wire \u_logic/n6103_lutinv ;
wire \u_logic/n6121_lutinv ;
wire \u_logic/n6123_lutinv ;
wire \u_logic/n6142_lutinv ;
wire \u_logic/n6147_lutinv ;
wire \u_logic/n6149_lutinv ;
wire \u_logic/n987 ;
wire \u_logic/sub0/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c11 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c13 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c15 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c17 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c19 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c21 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c23 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub0/c9 ; // ../rtl/topmodule/cortexm0ds_logic.v(3150)
wire \u_logic/sub1/c1 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c3 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c5 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/sub1/c7 ; // ../rtl/topmodule/cortexm0ds_logic.v(3151)
wire \u_logic/u1/c1 ;
wire \u_logic/u1/c11 ;
wire \u_logic/u1/c13 ;
wire \u_logic/u1/c3 ;
wire \u_logic/u1/c5 ;
wire \u_logic/u1/c7 ;
wire \u_logic/u1/c9 ;
wire \u_logic/u2/c1 ;
wire \u_logic/u2/c11 ;
wire \u_logic/u2/c13 ;
wire \u_logic/u2/c3 ;
wire \u_logic/u2/c5 ;
wire \u_logic/u2/c7 ;
wire \u_logic/u2/c9 ;
wire \u_logic/vis_apsr_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_apsr_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(70)
wire \u_logic/vis_control_o ; // ../rtl/topmodule/cortexm0ds_logic.v(117)
wire \u_logic/vis_ipsr_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_ipsr_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(71)
wire \u_logic/vis_msp_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_msp_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(67)
wire \u_logic/vis_pc_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_pc_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(69)
wire \u_logic/vis_primask_o ; // ../rtl/topmodule/cortexm0ds_logic.v(118)
wire \u_logic/vis_psp_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_psp_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(68)
wire \u_logic/vis_r0_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r0_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(53)
wire \u_logic/vis_r10_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r10_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(63)
wire \u_logic/vis_r11_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r11_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(64)
wire \u_logic/vis_r12_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r12_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(65)
wire \u_logic/vis_r14_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r14_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(66)
wire \u_logic/vis_r1_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r1_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(54)
wire \u_logic/vis_r2_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r2_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(55)
wire \u_logic/vis_r3_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r3_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(56)
wire \u_logic/vis_r4_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r4_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(57)
wire \u_logic/vis_r5_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r5_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(58)
wire \u_logic/vis_r6_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r6_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(59)
wire \u_logic/vis_r7_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r7_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(60)
wire \u_logic/vis_r8_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r8_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(61)
wire \u_logic/vis_r9_o[0] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[10] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[11] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[12] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[13] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[14] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[15] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[16] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[17] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[18] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[19] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[1] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[20] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[21] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[22] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[23] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[24] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[25] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[26] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[27] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[28] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[29] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[2] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[30] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[31] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[3] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[4] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[5] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[6] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[7] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[8] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_r9_o[9] ; // ../rtl/topmodule/cortexm0ds_logic.v(62)
wire \u_logic/vis_tbit_o ; // ../rtl/topmodule/cortexm0ds_logic.v(116)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b0|FMDATA_Interface/reg0_b1 (
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.mi({HADDR[2],HADDR[3]}),
.sr(cpuresetn),
.q({FMDATA_WADDR[0],FMDATA_WADDR[1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b10|FMDATA_Interface/reg0_b2 (
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.mi({HADDR[12],HADDR[4]}),
.sr(cpuresetn),
.q({FMDATA_WADDR[10],FMDATA_WADDR[2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b11|FMDATA_Interface/reg0_b4 (
.c(\FM_HW/rd_SCAN [9:8]),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({HADDR[13],HADDR[6]}),
.sr(cpuresetn),
.f(FMDATA_RDATA[9:8]),
.q({FMDATA_WADDR[11],FMDATA_WADDR[4]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b5|FMDATA_Interface/reg0_b3 (
.b({_al_u160_o,_al_u160_o}),
.c(FMDATA_RDATA[5:4]),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({_al_u230_o,_al_u230_o}),
.mi({HADDR[7],HADDR[5]}),
.sr(cpuresetn),
.f({_al_u419_o,_al_u422_o}),
.q({FMDATA_WADDR[5],FMDATA_WADDR[3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b6 (
.c({open_n98,\UART_Interface/addr_reg [2]}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({open_n99,_al_u410_o}),
.mi({open_n103,HADDR[8]}),
.sr(cpuresetn),
.f({open_n115,_al_u411_o}),
.q({open_n119,FMDATA_WADDR[6]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg0_b7|FMDATA_Interface/reg0_b8 (
.a({\Interconncet/SlaveMUX/hsel_reg [0],_al_u228_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [1],_al_u251_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [2],_al_u230_o}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\UART_Interface/rd_en_reg ,_al_u160_o}),
.e({open_n120,FMDATA_RDATA[16]}),
.mi({HADDR[9],HADDR[10]}),
.sr(cpuresetn),
.f({_al_u409_o,HRDATA[16]}),
.q({FMDATA_WADDR[7],FMDATA_WADDR[8]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FMDATA_Interface/reg1_b1|FMDATA_Interface/reg1_b3 (
.b({open_n138,\Interconncet/SlaveMUX/hsel_reg [1]}),
.c({\FM_HW/rd_SCAN [10],\Interconncet/SlaveMUX/hsel_reg [2]}),
.ce(\FMDATA_Interface/n10 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\Interconncet/SlaveMUX/hsel_reg [0]}),
.mi({\FMDATA_Interface/sel0_b1_sel_o ,\FMDATA_Interface/sel0_b3_sel_o }),
.sr(cpuresetn),
.f({FMDATA_RDATA[10],_al_u230_o}),
.q({\FMDATA_Interface/size_reg [1],\FMDATA_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/ChannelNO_or_FREQ_reg|FM_HW/_al_u1624 (
.a({open_n152,HADDR[6]}),
.b({open_n153,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 }),
.c({open_n154,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 }),
.clk(\FM_Display/clk_1Hz ),
.d({\FM_Display/ChannelNO_or_FREQ ,HADDR[7]}),
.sr(RSTn_pad),
.f({open_n172,\FM_HW/_al_u1624_o }),
.q({\FM_Display/ChannelNO_or_FREQ ,open_n176})); // ../rtl/peripherals/FM_Display.v(89)
EG_PHY_PAD #(
//.LOCATION("A4"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u10 (
.do({open_n178,open_n179,open_n180,\FM_Display/seg_pad [0]}),
.opad(seg[0])); // ../rtl/peripherals/FM_Display.v(13)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u107|FM_Display/reg4_b11 (
.a({\FM_Display/conter [25],\FM_Display/_al_u114_o }),
.b({\FM_Display/conter [3],\FM_Display/n12 [11]}),
.c({\FM_Display/conter [4],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [5],\FM_Display/conter [11]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u107_o ,open_n207}),
.q({open_n211,\FM_Display/conter [11]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~0*D*C*B*A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u108|FM_Display/reg4_b19 (
.a({\FM_Display/_al_u107_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/conter [21],\FM_Display/n12 [19]}),
.c({\FM_Display/conter [22],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [23],\FM_Display/conter [19]}),
.e({\FM_Display/conter [24],open_n213}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u108_o ,open_n228}),
.q({open_n232,\FM_Display/conter [19]})); // ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u109|FM_Display/_al_u110 (
.a({open_n233,\FM_Display/_al_u109_o }),
.b({open_n234,\FM_Display/conter [0]}),
.c({\FM_Display/conter [13],\FM_Display/conter [1]}),
.d({\FM_Display/conter [12],\FM_Display/conter [10]}),
.e({open_n237,\FM_Display/conter [11]}),
.f({\FM_Display/_al_u109_o ,\FM_Display/_al_u110_o }));
EG_PHY_PAD #(
//.LOCATION("A3"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u11 (
.do({open_n259,open_n260,open_n261,\FM_Display/sel_pad [3]}),
.opad(sel[3])); // ../rtl/peripherals/FM_Display.v(14)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u111|FM_Display/reg4_b0 (
.a({\FM_Display/conter [6],\FM_Display/_al_u114_o }),
.b({\FM_Display/conter [7],\FM_Display/n12 [0]}),
.c({\FM_Display/conter [8],\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [9],\FM_Display/conter [0]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u111_o ,open_n288}),
.q({open_n292,\FM_Display/conter [0]})); // ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(D*~C*B*~A)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(D*~C*B*~A)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000010000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u112|FM_Display/_al_u113 (
.a({\FM_Display/conter [18],\FM_Display/conter [14]}),
.b({\FM_Display/conter [19],\FM_Display/conter [15]}),
.c({\FM_Display/conter [2],\FM_Display/conter [16]}),
.d({\FM_Display/conter [20],\FM_Display/conter [17]}),
.f({\FM_Display/_al_u112_o ,\FM_Display/_al_u113_o }));
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u114|FM_Display/reg4_b14 (
.a({\FM_Display/_al_u108_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/_al_u110_o ,\FM_Display/n12 [14]}),
.c({\FM_Display/_al_u111_o ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/_al_u112_o ,\FM_Display/conter [14]}),
.e({\FM_Display/_al_u113_o ,open_n318}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u114_o ,open_n333}),
.q({open_n337,\FM_Display/conter [14]})); // ../rtl/peripherals/FM_Display.v(48)
EG_PHY_PAD #(
//.LOCATION("A5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u12 (
.do({open_n339,open_n340,open_n341,\FM_Display/sel_pad [2]}),
.opad(sel[2])); // ../rtl/peripherals/FM_Display.v(14)
EG_PHY_PAD #(
//.LOCATION("B6"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u13 (
.do({open_n356,open_n357,open_n358,\FM_Display/sel_pad [1]}),
.opad(sel[1])); // ../rtl/peripherals/FM_Display.v(14)
EG_PHY_PAD #(
//.LOCATION("C9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u14 (
.do({open_n373,open_n374,open_n375,\FM_Display/sel_pad [0]}),
.opad(sel[0])); // ../rtl/peripherals/FM_Display.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+B*C*~(D)*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+~(B)*~(C)*D*0))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+B*C*~(D)*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+~(B)*~(C)*D*1))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b1010000010101010),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0000001000101010),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u142|FM_Display/_al_u171 (
.a({open_n389,\FM_Display/_al_u170_o }),
.b({\FM_Display/channel_NO [2],\FM_Display/ctrl_channel_NO }),
.c({\FM_Display/channel_NO [4],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [0],\FM_Display/channel_NO [2]}),
.e({open_n392,\FM_Display/channel_NO [3]}),
.f({\FM_Display/_al_u142_o ,\FM_Display/_al_u171_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b0101111111111111),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b1100110011000101),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u143|FM_Display/_al_u219 (
.a({\FM_Display/n29 ,\FM_Display/_al_u218_o }),
.b({\FM_Display/_al_u142_o ,\FM_Display/seg_pad [1]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d(\FM_Display/channel_NO [3:2]),
.e({open_n415,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u143_o ,\FM_Display/_al_u219_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b1100110011000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u144 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/seg_pad [2],\FM_Display/seg_pad [2]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n448,\FM_Display/channel_NO [3]}),
.fx({open_n453,\FM_Display/_al_u144_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*(~C*~(B)*~(0)+~C*B*~(0)+~(~C)*B*0+~C*B*0)))"),
//.LUT1("(~D*~(A*(~C*~(B)*~(1)+~C*B*~(1)+~(~C)*B*1+~C*B*1)))"),
.INIT_LUT0(16'b0000000011110101),
.INIT_LUT1(16'b0000000001110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u147 (
.a({\FM_Display/_al_u143_o ,\FM_Display/_al_u143_o }),
.b({\FM_Display/_al_u144_o ,\FM_Display/_al_u144_o }),
.c({\FM_Display/_al_u145_o ,\FM_Display/_al_u145_o }),
.d({\FM_Display/_al_u146_o ,\FM_Display/_al_u146_o }),
.mi({open_n468,\FM_Display/channel_NO [4]}),
.fx({open_n473,\FM_Display/_al_u147_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~(~C*~A)*~(0)*~(D)+~(~C*~A)*0*~(D)+~(~(~C*~A))*0*D+~(~C*~A)*0*D))"),
//.LUT1("(B*~(~(~C*~A)*~(1)*~(D)+~(~C*~A)*1*~(D)+~(~(~C*~A))*1*D+~(~C*~A)*1*D))"),
.INIT_LUT0(16'b1100110000000100),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u148 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n488,\FM_Display/seg_pad [2]}),
.fx({open_n493,\FM_Display/_al_u148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*~(~C*B*A))"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*~(~C*B*A))"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b0000000011110111),
.INIT_LUTG0(16'b1111111101111100),
.INIT_LUTG1(16'b0000000011110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u150|FM_Display/_al_u163 (
.a({\FM_Display/frac_digit [2],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [3],\FM_Display/frac_digit [1]}),
.c({\FM_Display/seg_pad [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/ctrl_freq [0],\FM_Display/frac_digit [3]}),
.e({open_n498,\FM_Display/seg_pad [6]}),
.f({\FM_Display/_al_u150_o ,\FM_Display/n91 [6]}));
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C*~A))"),
//.LUTF1("~(~(C*~A)*~((~D*~B))*~(0)+~(C*~A)*(~D*~B)*~(0)+~(~(C*~A))*(~D*~B)*0+~(C*~A)*(~D*~B)*0)"),
//.LUTG0("(B*~(D*C*~A))"),
//.LUTG1("~(~(C*~A)*~((~D*~B))*~(1)+~(C*~A)*(~D*~B)*~(1)+~(~(C*~A))*(~D*~B)*1+~(C*~A)*(~D*~B)*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110011001100),
.INIT_LUTF1(16'b0101000001010000),
.INIT_LUTG0(16'b1000110011001100),
.INIT_LUTG1(16'b1111111111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u151|FM_Display/reg0_b1 (
.a({\FM_Display/_al_u148_o ,\FM_Display/n100 [6]}),
.b({\FM_Display/n95 [2],\FM_Display/n89 }),
.c({\FM_Display/_al_u150_o ,\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [1]}),
.e({\FM_Display/ctrl_freq [1],open_n519}),
.mi({open_n521,HWDATA[6]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u151_o ,\FM_Display/_al_u166_o }),
.q({open_n536,\FM_Display/frac_digit [1]})); // ../rtl/peripherals/FM_Display.v(30)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001111111011),
.INIT_LUT1(16'b1111111111111011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u152 (
.a({\FM_Display/single_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/single_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/single_digit [3],\FM_Display/single_digit [3]}),
.mi({open_n549,\FM_Display/seg_pad [2]}),
.fx({open_n554,\FM_Display/n93 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~((D*~B)*~(C)*~(0)+(D*~B)*C*~(0)+~((D*~B))*C*0+(D*~B)*C*0))"),
//.LUT1("(A*~((D*~B)*~(C)*~(1)+(D*~B)*C*~(1)+~((D*~B))*C*1+(D*~B)*C*1))"),
.INIT_LUT0(16'b1000100010101010),
.INIT_LUT1(16'b0000101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u157 (
.a({\FM_Display/lt2/o_3_lutinv ,\FM_Display/lt2/o_3_lutinv }),
.b({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.c({\FM_Display/seg_pad [6],\FM_Display/seg_pad [6]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n569,\FM_Display/channel_NO [4]}),
.fx({open_n574,\FM_Display/_al_u157_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0011111111110000),
.INIT_LUT1(16'b1111101001010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u158 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n589,\FM_Display/channel_NO [4]}),
.fx({open_n594,\FM_Display/_al_u158_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1010101011110000),
.INIT_LUT1(16'b1111111111001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u164 (
.a({\FM_Display/n93 [6],\FM_Display/n93 [6]}),
.b({\FM_Display/n95 [6],\FM_Display/n95 [6]}),
.c({\FM_Display/n91 [6],\FM_Display/n91 [6]}),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.mi({open_n609,\FM_Display/ctrl_freq [1]}),
.fx({open_n614,\FM_Display/_al_u164_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111110011110011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111101101010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u168|FM_Display/_al_u202 (
.a({open_n617,\FM_Display/ctrl_channel_NO }),
.b({open_n618,\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [0],\FM_Display/channel_NO [1]}),
.d({\FM_Display/ctrl_channel_NO ,\FM_Display/channel_NO [2]}),
.e({open_n621,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u168_o ,\FM_Display/_al_u202_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
//.LUTF1("(~(~D*B)*~(C*A))"),
//.LUTG0("(1*~A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
//.LUTG1("(~(~D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0101111100010011),
.INIT_LUTG0(16'b0100010100000001),
.INIT_LUTG1(16'b0101111100010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u169|FM_Display/_al_u231 (
.a({\FM_Display/lt2/o_3_lutinv ,\FM_Display/_al_u230_o }),
.b({\FM_Display/_al_u168_o ,\FM_Display/lt2/o_3_lutinv }),
.c({\FM_Display/seg_pad [4],\FM_Display/ctrl_channel_NO }),
.d({\FM_Display/channel_NO [3],\FM_Display/seg_pad [5]}),
.e({open_n644,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u169_o ,\FM_Display/_al_u231_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~D))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000011000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\FM_Display/_al_u170|FM_Display/_al_u77 (
.b({open_n667,\FM_Display/channel_NO [2]}),
.c(\FM_Display/channel_NO [4:3]),
.d({\FM_Display/channel_NO [0],\FM_Display/channel_NO [1]}),
.f({\FM_Display/_al_u170_o ,\FM_Display/lt2/o_3_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*(~(B)*C*~(D)+B*C*~(D)+~(B)*~(C)*D))"),
.INIT_LUTF0(16'b0011110011110011),
.INIT_LUTF1(16'b0000001010100000),
.INIT_LUTG0(16'b1111101101010001),
.INIT_LUTG1(16'b0000001010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u172|FM_Display/_al_u189 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b(\FM_Display/channel_NO [1:0]),
.c(\FM_Display/channel_NO [2:1]),
.d(\FM_Display/channel_NO [3:2]),
.e({open_n690,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u172_o ,\FM_Display/_al_u189_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
.INIT_LUTF0(16'b0000001111101101),
.INIT_LUTF1(16'b1111111010111010),
.INIT_LUTG0(16'b1111111111101101),
.INIT_LUTG1(16'b0000001010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u174|FM_Display/_al_u205 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.e({\FM_Display/seg_pad [4],\FM_Display/seg_pad [0]}),
.f({\FM_Display/_al_u174_o ,\FM_Display/n91 [0]}));
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~A*~(0*~(~C*~B)))"),
//.LUTF1("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG0("~(~D*~A*~(1*~(~C*~B)))"),
//.LUTG1("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110101010),
.INIT_LUTF1(16'b0000000000110101),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0000000000110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u176|FM_Display/reg7_b4 (
.a({\FM_Display/_al_u174_o ,\FM_Display/_al_u173_o }),
.b({\FM_Display/_al_u175_o ,\FM_Display/_al_u176_o }),
.c({\FM_Display/ctrl_freq [0],\FM_Display/_al_u179_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/ctrl_freq [1],\FM_Display/_al_u180_o }),
.e({open_n734,\FM_Display/n89 }),
.f({\FM_Display/_al_u176_o ,open_n750}),
.q({open_n754,\FM_Display/seg_pad [4]})); // ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001110011111),
.INIT_LUTF1(16'b1111111010111011),
.INIT_LUTG0(16'b1111111110011111),
.INIT_LUTG1(16'b0000001010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u177|FM_Display/reg1_b1 (
.a({\FM_Display/thousand_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/percentage_digit [2]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/thousand_digit [3],\FM_Display/percentage_digit [3]}),
.e({\FM_Display/seg_pad [4],\FM_Display/seg_pad [1]}),
.mi({open_n756,HWDATA[10]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u177_o ,\FM_Display/n95 [1]}),
.q({open_n771,\FM_Display/single_digit [1]})); // ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUTF1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
//.LUTG1("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUTF0(16'b1111111010111010),
.INIT_LUTF1(16'b0101001100000000),
.INIT_LUTG0(16'b0000001010111010),
.INIT_LUTG1(16'b0101001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u179|FM_Display/_al_u175 (
.a({\FM_Display/_al_u177_o ,\FM_Display/single_digit [0]}),
.b({\FM_Display/_al_u178_o ,\FM_Display/single_digit [1]}),
.c({\FM_Display/ctrl_freq [0],\FM_Display/single_digit [2]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/single_digit [3]}),
.e({open_n774,\FM_Display/seg_pad [4]}),
.f({\FM_Display/_al_u179_o ,\FM_Display/_al_u175_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*(~C*~(B)*~(A)+~C*B*~(A)+~(~C)*B*A+~C*B*A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000110100000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000110100000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u180|FM_Display/_al_u188 (
.a({open_n795,\FM_Display/_al_u187_o }),
.b({open_n796,\FM_Display/_al_u168_o }),
.c(\FM_Display/seg_pad [4:3]),
.d({\FM_Display/n28_lutinv ,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u180_o ,\FM_Display/_al_u188_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C*~A))"),
//.LUTF1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
//.LUTG0("(B*~(D*C*~A))"),
//.LUTG1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
.INIT_LUTF0(16'b1000110011001100),
.INIT_LUTF1(16'b0000000010010010),
.INIT_LUTG0(16'b1000110011001100),
.INIT_LUTG1(16'b0000000010010010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u182|FM_Display/_al_u238 (
.a({\FM_Display/frac_digit [0],\FM_Display/_al_u237_o }),
.b({\FM_Display/frac_digit [1],\FM_Display/n89 }),
.c({\FM_Display/frac_digit [2],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/frac_digit [3],\FM_Display/ctrl_freq [1]}),
.f({\FM_Display/_al_u182_o ,\FM_Display/_al_u238_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~A*~(~C*B))"),
//.LUT1("(~1*~D*~A*~(~C*B))"),
.INIT_LUT0(16'b0000000001010001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u184 (
.a({\FM_Display/_al_u182_o ,\FM_Display/_al_u182_o }),
.b({\FM_Display/_al_u183_o ,\FM_Display/_al_u183_o }),
.c({\FM_Display/seg_pad [3],\FM_Display/seg_pad [3]}),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.mi({open_n857,\FM_Display/ctrl_freq [1]}),
.fx({open_n862,\FM_Display/_al_u184_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(0*D*~B))"),
//.LUT1("(C*~A*~(1*D*~B))"),
.INIT_LUT0(16'b0101000001010000),
.INIT_LUT1(16'b0100000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u186 (
.a({\FM_Display/_al_u184_o ,\FM_Display/_al_u184_o }),
.b({\FM_Display/_al_u185_o ,\FM_Display/_al_u185_o }),
.c({\FM_Display/n89 ,\FM_Display/n89 }),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.mi({open_n877,\FM_Display/ctrl_freq [1]}),
.fx({open_n882,\FM_Display/_al_u186_o }));
// ../rtl/demodulation/FM_Demodulation.v(71)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u187|FM_HW/FM_Demodulation/EOC_Count_Demodulate_reg (
.c({\FM_Display/channel_NO [2],open_n889}),
.ce(\FM_Display/n28_lutinv ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_Display/channel_NO [1],\FM_HW/FM_Demodulation/EOC_Count_Demodulate }),
.f({\FM_Display/_al_u187_o ,open_n907}),
.q({open_n911,\FM_HW/FM_Demodulation/EOC_Count_Demodulate })); // ../rtl/demodulation/FM_Demodulation.v(71)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0100010100011111),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u190 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n924,\FM_Display/channel_NO [4]}),
.fx({open_n929,\FM_Display/_al_u190_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
//.LUTG0("(~D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
.INIT_LUTF0(16'b0000000000110101),
.INIT_LUTF1(16'b0000000010010010),
.INIT_LUTG0(16'b0000000000110101),
.INIT_LUTG1(16'b0000000010010010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u192|FM_Display/_al_u225 (
.a({\FM_Display/single_digit [0],\FM_Display/n91 [1]}),
.b({\FM_Display/single_digit [1],\FM_Display/n93 [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/single_digit [3],\FM_Display/ctrl_freq [1]}),
.f({\FM_Display/_al_u192_o ,\FM_Display/_al_u225_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~D))"),
//.LUT1("(C*~(~B*~D))"),
.INIT_LUT0(16'b1111000011000000),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"))
\FM_Display/_al_u193|FM_Display/_al_u183 (
.b({\FM_Display/single_digit [2],\FM_Display/frac_digit [2]}),
.c({\FM_Display/single_digit [3],\FM_Display/frac_digit [3]}),
.d({\FM_Display/single_digit [1],\FM_Display/frac_digit [1]}),
.f({\FM_Display/_al_u193_o ,\FM_Display/_al_u183_o }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*~B*~A))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u194|FM_HW/FM_Demodulation/reg5_b160 (
.a({open_n978,\FM_Display/_al_u213_o }),
.b({open_n979,\FM_Display/_al_u214_o }),
.c({\FM_Display/ctrl_freq [1],\FM_Display/n89 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_Display/ctrl_freq [0],\FM_Display/seg_pad [7]}),
.mi({open_n990,\FM_HW/FM_Demodulation/dmd_data_filter[17] [0]}),
.f({\FM_Display/_al_u194_o ,\FM_Display/_al_u215_o }),
.q({open_n995,\FM_HW/FM_Demodulation/dmd_data_filter[16] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~A*~(~D*B))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b0101000000010000),
.MODE("LOGIC"))
\FM_Display/_al_u195|FM_Display/_al_u211 (
.a({\FM_Display/_al_u192_o ,open_n996}),
.b({\FM_Display/_al_u193_o ,\FM_Display/ChannelNO_or_FREQ }),
.c({\FM_Display/_al_u194_o ,\FM_Display/seg_pad [0]}),
.d({\FM_Display/seg_pad [3],\FM_Display/n28_lutinv }),
.f({\FM_Display/_al_u195_o ,\FM_Display/_al_u211_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0)"),
//.LUTF1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1)"),
//.LUTG1("(~D*(A*~(B)*~(C)+~(A)*~(B)*C+A*B*C))"),
.INIT_LUTF0(16'b1111110010010011),
.INIT_LUTF1(16'b0000000010010010),
.INIT_LUTG0(16'b0000000010010010),
.INIT_LUTG1(16'b0000000010010010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u196|FM_Display/_al_u185 (
.a({\FM_Display/percentage_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/thousand_digit [3]}),
.e({open_n1019,\FM_Display/seg_pad [3]}),
.f({\FM_Display/_al_u196_o ,\FM_Display/_al_u185_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0)"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1)"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b1111110010001110),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b0000000010001110),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u197|FM_Display/_al_u234 (
.a({open_n1040,\FM_Display/percentage_digit [0]}),
.b(\FM_Display/percentage_digit [2:1]),
.c(\FM_Display/percentage_digit [3:2]),
.d({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [3]}),
.e({open_n1043,\FM_Display/seg_pad [5]}),
.f({\FM_Display/_al_u197_o ,\FM_Display/_al_u234_o }));
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*~D*C)*~(~B*A))"),
//.LUTF1("(C*~A*~(~D*B))"),
//.LUTG0("~(~(1*~D*C)*~(~B*A))"),
//.LUTG1("(C*~A*~(~D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0101000000010000),
.INIT_LUTG0(16'b0010001011110010),
.INIT_LUTG1(16'b0101000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u198|FM_Display/reg7_b7 (
.a({\FM_Display/_al_u196_o ,\FM_Display/_al_u215_o }),
.b({\FM_Display/_al_u197_o ,\FM_Display/_al_u216_o }),
.c({\FM_Display/_al_u105_o ,\FM_Display/n89 }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/seg_pad [3],\FM_Display/_al_u193_o }),
.e({open_n1065,\FM_Display/_al_u194_o }),
.f({\FM_Display/_al_u198_o ,open_n1081}),
.q({open_n1085,\FM_Display/seg_pad [7]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"))
\FM_Display/_al_u199|FM_Display/_al_u241 (
.b({\FM_Display/ChannelNO_or_FREQ ,\FM_Display/ChannelNO_or_FREQ }),
.c({\FM_Display/seg_pad [3],\FM_Display/seg_pad [5]}),
.d({\FM_Display/n28_lutinv ,\FM_Display/n28_lutinv }),
.f({\FM_Display/_al_u199_o ,\FM_Display/_al_u241_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*~B))"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("(A*~(D*C*~B))"),
//.LUTG1("(A*~(D*~C*B))"),
.INIT_LUTF0(16'b1000101010101010),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1000101010101010),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u201|FM_Display/_al_u216 (
.a({\FM_Display/n29 ,\FM_Display/n29 }),
.b({\FM_Display/lt2/o_3_lutinv ,\FM_Display/_al_u187_o }),
.c({\FM_Display/seg_pad [0],\FM_Display/channel_NO [3]}),
.d({\FM_Display/channel_NO [4],\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u201_o ,\FM_Display/_al_u216_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~(0*~(~D*A))))"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(C*~(~B*~(1*~(~D*A))))"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b1100000011000000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b1111000011010000),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u203|FM_Display/_al_u173 (
.a({\FM_Display/_al_u201_o ,\FM_Display/_al_u169_o }),
.b({\FM_Display/_al_u202_o ,\FM_Display/_al_u171_o }),
.c({\FM_Display/_al_u190_o ,\FM_Display/n29 }),
.d({\FM_Display/channel_NO [3],\FM_Display/_al_u172_o }),
.e({open_n1134,\FM_Display/channel_NO [4]}),
.f({\FM_Display/_al_u203_o ,\FM_Display/_al_u173_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001111101101),
.INIT_LUT1(16'b1111111111101101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u204 (
.a({\FM_Display/percentage_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/percentage_digit [2]}),
.d({\FM_Display/percentage_digit [3],\FM_Display/percentage_digit [3]}),
.mi({open_n1167,\FM_Display/seg_pad [0]}),
.fx({open_n1172,\FM_Display/n95 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b0000010100000011),
.INIT_LUT1(16'b0000010100000011),
.MODE("LOGIC"))
\FM_Display/_al_u206|FM_Display/_al_u236 (
.a({\FM_Display/n95 [0],\FM_Display/_al_u234_o }),
.b({\FM_Display/n91 [0],\FM_Display/_al_u235_o }),
.c({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.d({\FM_Display/ctrl_freq [1],\FM_Display/ctrl_freq [1]}),
.f({\FM_Display/_al_u206_o ,\FM_Display/_al_u236_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D)"),
.INIT_LUTF0(16'b1111111010111010),
.INIT_LUTF1(16'b0000011011110111),
.INIT_LUTG0(16'b0000001010111010),
.INIT_LUTG1(16'b0000011011110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u208|FM_Display/_al_u178 (
.a({\FM_Display/thousand_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/thousand_digit [2],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/thousand_digit [3],\FM_Display/percentage_digit [2]}),
.d({\FM_Display/seg_pad [0],\FM_Display/percentage_digit [3]}),
.e({open_n1197,\FM_Display/seg_pad [4]}),
.f({\FM_Display/_al_u208_o ,\FM_Display/_al_u178_o }));
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(D*(~A*~((C*B))*~(0)+~A*(C*B)*~(0)+~(~A)*(C*B)*0+~A*(C*B)*0))"),
//.LUTG0("(D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(D*(~A*~((C*B))*~(1)+~A*(C*B)*~(1)+~(~A)*(C*B)*1+~A*(C*B)*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101001100000000),
.INIT_LUTF1(16'b0101010100000000),
.INIT_LUTG0(16'b0101001100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u210|FM_Display/reg1_b3 (
.a({\FM_Display/n93 [0],\FM_Display/n100 [1]}),
.b({\FM_Display/_al_u208_o ,\FM_Display/n95 [1]}),
.c({\FM_Display/_al_u209_o ,\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [1]}),
.e({\FM_Display/ctrl_freq [1],open_n1218}),
.mi({open_n1220,HWDATA[12]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u210_o ,\FM_Display/_al_u228_o }),
.q({open_n1235,\FM_Display/single_digit [3]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000110011111010),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000110011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u213|FM_HW/FM_Demodulation/reg5_b164 (
.a({\FM_Display/_al_u183_o ,\FM_HW/_al_u553_o }),
.b({\FM_Display/_al_u197_o ,FM_HW_state[1]}),
.c({\FM_Display/ctrl_freq [0],FM_HW_state[2]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_Display/ctrl_freq [1],FM_HW_state[3]}),
.mi({open_n1239,\FM_HW/FM_Demodulation/dmd_data_filter[17] [4]}),
.f({\FM_Display/_al_u213_o ,\FM_HW/FM_Dump_Data_IQ/n57 }),
.q({open_n1255,\FM_HW/FM_Demodulation/dmd_data_filter[16] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*C*~(D)*~(0)+A*C*~(D)*~(0)+~(A)*~(C)*D*0))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~B*(~(A)*C*~(D)*~(1)+A*C*~(D)*~(1)+~(A)*~(C)*D*1))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u218|FM_Display/_al_u145 (
.a({open_n1256,\FM_Display/ctrl_channel_NO }),
.b({open_n1257,\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [0],\FM_Display/channel_NO [1]}),
.d({\FM_Display/ctrl_channel_NO ,\FM_Display/channel_NO [2]}),
.e({open_n1260,\FM_Display/channel_NO [3]}),
.f({\FM_Display/_al_u218_o ,\FM_Display/_al_u145_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100001111111111),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u220 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n1293,\FM_Display/channel_NO [4]}),
.fx({open_n1298,\FM_Display/_al_u220_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~B*~A))"),
//.LUTF1("(D*~(C*~B*~A))"),
//.LUTG0("(D*~(C*~B*~A))"),
//.LUTG1("(D*~(C*~B*~A))"),
.INIT_LUTF0(16'b1110111100000000),
.INIT_LUTF1(16'b1110111100000000),
.INIT_LUTG0(16'b1110111100000000),
.INIT_LUTG1(16'b1110111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u221|FM_Display/_al_u146 (
.a({FM_HW_state[3],FM_HW_state[3]}),
.b({FM_HW_state[2],FM_HW_state[2]}),
.c({FM_HW_state[1],FM_HW_state[1]}),
.d({\FM_Display/seg_pad [1],\FM_Display/seg_pad [2]}),
.f({\FM_Display/_al_u221_o ,\FM_Display/_al_u146_o }));
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~A*~(~0*~B)))"),
//.LUTF1("(~D*~(C*(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTG0("(~D*~(C*~A*~(~1*~B)))"),
//.LUTG1("(~D*~(C*(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000010101111),
.INIT_LUTG1(16'b0000000001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u222|FM_Display/reg9_b0 (
.a({\FM_Display/_al_u219_o ,\FM_Display/_al_u157_o }),
.b({\FM_Display/_al_u220_o ,\FM_Display/_al_u158_o }),
.c({\FM_Display/n29 ,\FM_Display/n29 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/_al_u221_o ,\FM_Display/_al_u159_o }),
.e({\FM_Display/channel_NO [3],\FM_Display/channel_NO [3]}),
.mi({open_n1326,HWDATA[0]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u222_o ,\FM_Display/_al_u160_o }),
.q({open_n1341,\FM_Display/channel_NO [0]})); // ../rtl/peripherals/FM_Display.v(30)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001110011111),
.INIT_LUT1(16'b1111111110011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u223 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n1354,\FM_Display/seg_pad [1]}),
.fx({open_n1359,\FM_Display/n91 [1]}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0000001110011111),
.INIT_LUT1(16'b1111111110011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u224 (
.a({\FM_Display/single_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/single_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/single_digit [2]}),
.d({\FM_Display/single_digit [3],\FM_Display/single_digit [3]}),
.mi({open_n1374,\FM_Display/seg_pad [1]}),
.fx({open_n1379,\FM_Display/n93 [1]}));
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~D*(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B))"),
//.LUTG0("(~D)"),
//.LUTG1("(~D*(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0000000011100010),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u230|FM_Display/ctrl_channel_NO_reg (
.a({\FM_Display/channel_NO [0],open_n1382}),
.b({\FM_Display/channel_NO [1],open_n1383}),
.c({\FM_Display/channel_NO [2],open_n1384}),
.ce(\FM_Display/n29 ),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/channel_NO [3],\FM_Display/ctrl_channel_NO }),
.f({\FM_Display/_al_u230_o ,open_n1402}),
.q({open_n1406,\FM_Display/ctrl_channel_NO })); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.INIT_LUT0(16'b0011111100000011),
.INIT_LUT1(16'b0101000000011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u232 (
.a({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_channel_NO }),
.b({\FM_Display/channel_NO [0],\FM_Display/channel_NO [0]}),
.c({\FM_Display/channel_NO [1],\FM_Display/channel_NO [1]}),
.d({\FM_Display/channel_NO [2],\FM_Display/channel_NO [2]}),
.mi({open_n1419,\FM_Display/channel_NO [3]}),
.fx({open_n1424,\FM_Display/_al_u232_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(B*~((0*~A))*~(D)+B*(0*~A)*~(D)+~(B)*(0*~A)*D+B*(0*~A)*D))"),
//.LUTF1("(C*~(~A*~(~D*B)))"),
//.LUTG0("(C*(B*~((1*~A))*~(D)+B*(1*~A)*~(D)+~(B)*(1*~A)*D+B*(1*~A)*D))"),
//.LUTG1("(C*~(~A*~(~D*B)))"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b1010000011100000),
.INIT_LUTG0(16'b0101000011000000),
.INIT_LUTG1(16'b1010000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u233|FM_Display/_al_u191 (
.a({\FM_Display/_al_u231_o ,\FM_Display/_al_u188_o }),
.b({\FM_Display/_al_u232_o ,\FM_Display/_al_u189_o }),
.c({\FM_Display/n29 ,\FM_Display/n29 }),
.d(\FM_Display/channel_NO [4:3]),
.e({open_n1429,\FM_Display/_al_u190_o }),
.f({\FM_Display/_al_u233_o ,\FM_Display/_al_u191_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b1111110010001110),
.INIT_LUT1(16'b0000000010001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u235 (
.a({\FM_Display/frac_digit [0],\FM_Display/frac_digit [0]}),
.b({\FM_Display/frac_digit [1],\FM_Display/frac_digit [1]}),
.c({\FM_Display/frac_digit [2],\FM_Display/frac_digit [2]}),
.d({\FM_Display/frac_digit [3],\FM_Display/frac_digit [3]}),
.mi({open_n1462,\FM_Display/seg_pad [5]}),
.fx({open_n1467,\FM_Display/_al_u235_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b1111110010001111),
.INIT_LUT1(16'b0000000010001110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_Display/_al_u237 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.mi({open_n1482,\FM_Display/seg_pad [5]}),
.fx({open_n1487,\FM_Display/_al_u237_o }));
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*~C*~B))"),
//.LUTF1("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
//.LUTG0("~(A*~(D*~C*~B))"),
//.LUTG1("(~D*(A*~(B)*~(C)+~(A)*B*~(C)+A*B*~(C)+A*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101011101010101),
.INIT_LUTF1(16'b0000000010001110),
.INIT_LUTG0(16'b0101011101010101),
.INIT_LUTG1(16'b0000000010001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u239|FM_Display/reg7_b1 (
.a({\FM_Display/single_digit [0],\FM_Display/_al_u222_o }),
.b({\FM_Display/single_digit [1],\FM_Display/_al_u225_o }),
.c({\FM_Display/single_digit [2],\FM_Display/_al_u228_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/single_digit [3],\FM_Display/n89 }),
.f({\FM_Display/_al_u239_o ,open_n1508}),
.q({open_n1512,\FM_Display/seg_pad [1]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(~D*B))"),
//.LUT1("(C)"),
.INIT_LUT0(16'b0101000000010000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
\FM_Display/_al_u240 (
.a({open_n1513,\FM_Display/_al_u239_o }),
.b({open_n1514,\FM_Display/_al_u193_o }),
.c({open_n1515,\FM_Display/_al_u194_o }),
.d({open_n1518,\FM_Display/seg_pad [5]}),
.f({open_n1532,\FM_Display/_al_u240_o }));
EG_PHY_PAD #(
//.LOCATION("C8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u3 (
.do({open_n1539,open_n1540,open_n1541,\FM_Display/seg_pad [7]}),
.opad(seg[7])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_PAD #(
//.LOCATION("A8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u4 (
.do({open_n1556,open_n1557,open_n1558,\FM_Display/seg_pad [6]}),
.opad(seg[6])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_PAD #(
//.LOCATION("B5"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u5 (
.do({open_n1573,open_n1574,open_n1575,\FM_Display/seg_pad [5]}),
.opad(seg[5])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_PAD #(
//.LOCATION("A7"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u6 (
.do({open_n1590,open_n1591,open_n1592,\FM_Display/seg_pad [4]}),
.opad(seg[4])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(~D*C*~B*~A)"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"))
\FM_Display/_al_u68|FM_Display/_al_u67 (
.a({FM_HW_state[3],FM_HW_state[3]}),
.b({FM_HW_state[2],FM_HW_state[2]}),
.c({FM_HW_state[1],FM_HW_state[1]}),
.d({\FM_Display/ChannelNO_or_FREQ ,\FM_Display/ChannelNO_or_FREQ }),
.f({\FM_Display/n29 ,\FM_Display/n89 }));
EG_PHY_PAD #(
//.LOCATION("E8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u7 (
.do({open_n1627,open_n1628,open_n1629,\FM_Display/seg_pad [3]}),
.opad(seg[3])); // ../rtl/peripherals/FM_Display.v(13)
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C+~D*C)"),
//.LUTF1("(C*A*~(~D*~B))"),
//.LUTG0("(D*~C+~D*C)"),
//.LUTG1("(C*A*~(~D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTF1(16'b1010000010000000),
.INIT_LUTG0(16'b0000111111110000),
.INIT_LUTG1(16'b1010000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/_al_u78|FM_Display/reg8_b1 (
.a({\FM_Display/n29 ,open_n1643}),
.b({\FM_Display/lt2/o_3_lutinv ,open_n1644}),
.c({\FM_Display/ctrl_channel_NO ,\FM_Display/ctrl_freq [1]}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/channel_NO [4],\FM_Display/ctrl_freq [0]}),
.f({\FM_Display/_al_u78_o ,open_n1662}),
.q({open_n1666,\FM_Display/ctrl_freq [1]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~B*~A))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(D*~(C*~B*~A))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b1110111100000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b1110111100000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u79|FM_Display/_al_u159 (
.a({open_n1667,FM_HW_state[3]}),
.b({FM_HW_state[2],FM_HW_state[2]}),
.c({FM_HW_state[1],FM_HW_state[1]}),
.d({FM_HW_state[3],\FM_Display/seg_pad [6]}),
.f({\FM_Display/n28_lutinv ,\FM_Display/_al_u159_o }));
EG_PHY_PAD #(
//.LOCATION("B8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u8 (
.do({open_n1693,open_n1694,open_n1695,\FM_Display/seg_pad [2]}),
.opad(seg[2])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D*C*~B*A)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_Display/_al_u82|FM_Display/_al_u86 (
.a({\FM_Display/counter_1Khz [6],open_n1709}),
.b({\FM_Display/counter_1Khz [7],\FM_Display/_al_u84_o }),
.c({\FM_Display/counter_1Khz [8],\FM_Display/_al_u85_o }),
.d({\FM_Display/counter_1Khz [9],\FM_Display/_al_u83_o }),
.f({\FM_Display/_al_u82_o ,\FM_Display/_al_u86_o }));
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~0*D*~C*~B*A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~1*D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u83|FM_Display/reg5_b3 (
.a({\FM_Display/_al_u82_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/counter_1Khz [2],\FM_Display/n20 [3]}),
.c({\FM_Display/counter_1Khz [3],\FM_Display/n19 }),
.clk(clk_pad),
.d(\FM_Display/counter_1Khz [4:3]),
.e({\FM_Display/counter_1Khz [5],open_n1735}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u83_o ,open_n1750}),
.q({open_n1754,\FM_Display/counter_1Khz [3]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u84|FM_Display/reg5_b15 (
.a({\FM_Display/counter_1Khz [12],\FM_Display/_al_u86_o }),
.b({\FM_Display/counter_1Khz [13],\FM_Display/n20 [15]}),
.c({\FM_Display/counter_1Khz [14],\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [15],\FM_Display/counter_1Khz [15]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u84_o ,open_n1768}),
.q({open_n1772,\FM_Display/counter_1Khz [15]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/_al_u85|FM_Display/reg5_b0 (
.a({\FM_Display/counter_1Khz [0],\FM_Display/_al_u86_o }),
.b({\FM_Display/counter_1Khz [1],\FM_Display/n20 [0]}),
.c({\FM_Display/counter_1Khz [10],\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [0]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u85_o ,open_n1790}),
.q({open_n1794,\FM_Display/counter_1Khz [0]})); // ../rtl/peripherals/FM_Display.v(68)
EG_PHY_PAD #(
//.LOCATION("A6"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
\FM_Display/_al_u9 (
.do({open_n1796,open_n1797,open_n1798,\FM_Display/seg_pad [1]}),
.opad(seg[1])); // ../rtl/peripherals/FM_Display.v(13)
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u11_al_u249 (
.a({\FM_Display/conter [13],\FM_Display/conter [11]}),
.b({\FM_Display/conter [14],\FM_Display/conter [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c11 ),
.f({\FM_Display/n12 [13],\FM_Display/n12 [11]}),
.fco(\FM_Display/add0/c15 ),
.fx({\FM_Display/n12 [14],\FM_Display/n12 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u15_al_u250 (
.a({\FM_Display/conter [17],\FM_Display/conter [15]}),
.b({\FM_Display/conter [18],\FM_Display/conter [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c15 ),
.f({\FM_Display/n12 [17],\FM_Display/n12 [15]}),
.fco(\FM_Display/add0/c19 ),
.fx({\FM_Display/n12 [18],\FM_Display/n12 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u19_al_u251 (
.a({\FM_Display/conter [21],\FM_Display/conter [19]}),
.b({\FM_Display/conter [22],\FM_Display/conter [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c19 ),
.f({\FM_Display/n12 [21],\FM_Display/n12 [19]}),
.fco(\FM_Display/add0/c23 ),
.fx({\FM_Display/n12 [22],\FM_Display/n12 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u23_al_u252 (
.a({\FM_Display/conter [25],\FM_Display/conter [23]}),
.b({open_n1866,\FM_Display/conter [24]}),
.c(2'b00),
.d(2'b00),
.e({open_n1869,1'b0}),
.fci(\FM_Display/add0/c23 ),
.f({\FM_Display/n12 [25],\FM_Display/n12 [23]}),
.fx({open_n1885,\FM_Display/n12 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u3_al_u247 (
.a({\FM_Display/conter [5],\FM_Display/conter [3]}),
.b({\FM_Display/conter [6],\FM_Display/conter [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c3 ),
.f({\FM_Display/n12 [5],\FM_Display/n12 [3]}),
.fco(\FM_Display/add0/c7 ),
.fx({\FM_Display/n12 [6],\FM_Display/n12 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/u7_al_u248 (
.a({\FM_Display/conter [9],\FM_Display/conter [7]}),
.b({\FM_Display/conter [10],\FM_Display/conter [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add0/c7 ),
.f({\FM_Display/n12 [9],\FM_Display/n12 [7]}),
.fco(\FM_Display/add0/c11 ),
.fx({\FM_Display/n12 [10],\FM_Display/n12 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add0/ucin_al_u246"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add0/ucin_al_u246 (
.a({\FM_Display/conter [1],1'b0}),
.b({\FM_Display/conter [2],\FM_Display/conter [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_Display/n12 [1],open_n1941}),
.fco(\FM_Display/add0/c3 ),
.fx({\FM_Display/n12 [2],\FM_Display/n12 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u253"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u11_al_u256 (
.a({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [11]}),
.b({\FM_Display/counter_1Khz [14],\FM_Display/counter_1Khz [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c11 ),
.f({\FM_Display/n20 [13],\FM_Display/n20 [11]}),
.fco(\FM_Display/add1/c15 ),
.fx({\FM_Display/n20 [14],\FM_Display/n20 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u253"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u15_al_u257 (
.a({open_n1962,\FM_Display/counter_1Khz [15]}),
.c(2'b00),
.d({open_n1967,1'b0}),
.fci(\FM_Display/add1/c15 ),
.f({open_n1984,\FM_Display/n20 [15]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u253"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u3_al_u254 (
.a({\FM_Display/counter_1Khz [5],\FM_Display/counter_1Khz [3]}),
.b({\FM_Display/counter_1Khz [6],\FM_Display/counter_1Khz [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c3 ),
.f({\FM_Display/n20 [5],\FM_Display/n20 [3]}),
.fco(\FM_Display/add1/c7 ),
.fx({\FM_Display/n20 [6],\FM_Display/n20 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u253"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/u7_al_u255 (
.a({\FM_Display/counter_1Khz [9],\FM_Display/counter_1Khz [7]}),
.b({\FM_Display/counter_1Khz [10],\FM_Display/counter_1Khz [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_Display/add1/c7 ),
.f({\FM_Display/n20 [9],\FM_Display/n20 [7]}),
.fco(\FM_Display/add1/c11 ),
.fx({\FM_Display/n20 [10],\FM_Display/n20 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_Display/add1/ucin_al_u253"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_Display/add1/ucin_al_u253 (
.a({\FM_Display/counter_1Khz [1],1'b0}),
.b({\FM_Display/counter_1Khz [2],\FM_Display/counter_1Khz [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_Display/n20 [1],open_n2043}),
.fco(\FM_Display/add1/c3 ),
.fx({\FM_Display/n20 [2],\FM_Display/n20 [0]}));
EG_PHY_GCLK \FM_Display/clk_1KHz_gclk_inst (
.clki(\FM_Display/clk_1KHz ),
.clko(\FM_Display/clk_1KHz_gclk_net ));
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("~(~D*~(~C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b1111111100001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/clk_1KHz_reg|FM_Display/reg5_b6 (
.a({open_n2046,\FM_Display/_al_u86_o }),
.b({\FM_Display/clk_1KHz ,\FM_Display/n20 [6]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/_al_u86_o ,\FM_Display/counter_1Khz [6]}),
.sr(RSTn_pad),
.q({\FM_Display/clk_1KHz ,\FM_Display/counter_1Khz [6]})); // ../rtl/peripherals/FM_Display.v(68)
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_0|lt0_cin (
.a({\FM_Display/conter [0],1'b0}),
.b({1'b0,open_n2065}),
.fco(\FM_Display/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_10|lt0_9 (
.a(\FM_Display/conter [10:9]),
.b(2'b00),
.fci(\FM_Display/lt0_c9 ),
.fco(\FM_Display/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_12|lt0_11 (
.a(\FM_Display/conter [12:11]),
.b(2'b10),
.fci(\FM_Display/lt0_c11 ),
.fco(\FM_Display/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_14|lt0_13 (
.a(\FM_Display/conter [14:13]),
.b(2'b11),
.fci(\FM_Display/lt0_c13 ),
.fco(\FM_Display/lt0_c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_16|lt0_15 (
.a(\FM_Display/conter [16:15]),
.b(2'b01),
.fci(\FM_Display/lt0_c15 ),
.fco(\FM_Display/lt0_c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_18|lt0_17 (
.a(\FM_Display/conter [18:17]),
.b(2'b01),
.fci(\FM_Display/lt0_c17 ),
.fco(\FM_Display/lt0_c19 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y5Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_20|lt0_19 (
.a(\FM_Display/conter [20:19]),
.b(2'b11),
.fci(\FM_Display/lt0_c19 ),
.fco(\FM_Display/lt0_c21 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y5Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_22|lt0_21 (
.a(\FM_Display/conter [22:21]),
.b(2'b11),
.fci(\FM_Display/lt0_c21 ),
.fco(\FM_Display/lt0_c23 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y6Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_24|lt0_23 (
.a(\FM_Display/conter [24:23]),
.b(2'b01),
.fci(\FM_Display/lt0_c23 ),
.fco(\FM_Display/lt0_c25 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_2|lt0_1 (
.a(\FM_Display/conter [2:1]),
.b(2'b00),
.fci(\FM_Display/lt0_c1 ),
.fco(\FM_Display/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_4|lt0_3 (
.a(\FM_Display/conter [4:3]),
.b(2'b00),
.fci(\FM_Display/lt0_c3 ),
.fco(\FM_Display/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_6|lt0_5 (
.a(\FM_Display/conter [6:5]),
.b(2'b00),
.fci(\FM_Display/lt0_c5 ),
.fco(\FM_Display/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_8|lt0_7 (
.a(\FM_Display/conter [8:7]),
.b(2'b01),
.fci(\FM_Display/lt0_c7 ),
.fco(\FM_Display/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt0_0|lt0_cin"),
//.R_POSITION("X0Y6Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt0_cout|lt0_25 (
.a({1'b0,\FM_Display/conter [25]}),
.b(2'b11),
.fci(\FM_Display/lt0_c25 ),
.f({\FM_Display/n11 ,open_n2397}));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_0|lt1_cin (
.a({\FM_Display/counter_1Khz [0],1'b0}),
.b({1'b0,open_n2403}),
.fco(\FM_Display/lt1_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_10|lt1_9 (
.a(\FM_Display/counter_1Khz [10:9]),
.b(2'b01),
.fci(\FM_Display/lt1_c9 ),
.fco(\FM_Display/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_12|lt1_11 (
.a(\FM_Display/counter_1Khz [12:11]),
.b(2'b00),
.fci(\FM_Display/lt1_c11 ),
.fco(\FM_Display/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_14|lt1_13 (
.a(\FM_Display/counter_1Khz [14:13]),
.b(2'b10),
.fci(\FM_Display/lt1_c13 ),
.fco(\FM_Display/lt1_c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_2|lt1_1 (
.a(\FM_Display/counter_1Khz [2:1]),
.b(2'b00),
.fci(\FM_Display/lt1_c1 ),
.fco(\FM_Display/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_4|lt1_3 (
.a(\FM_Display/counter_1Khz [4:3]),
.b(2'b10),
.fci(\FM_Display/lt1_c3 ),
.fco(\FM_Display/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_6|lt1_5 (
.a(\FM_Display/counter_1Khz [6:5]),
.b(2'b10),
.fci(\FM_Display/lt1_c5 ),
.fco(\FM_Display/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_8|lt1_7 (
.a(\FM_Display/counter_1Khz [8:7]),
.b(2'b10),
.fci(\FM_Display/lt1_c7 ),
.fco(\FM_Display/lt1_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_Display/lt1_0|lt1_cin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_Display/lt1_cout|lt1_15 (
.a({1'b0,\FM_Display/counter_1Khz [15]}),
.b(2'b11),
.fci(\FM_Display/lt1_c15 ),
.f({\FM_Display/n19 ,open_n2615}));
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b0000001111101101),
.INIT_LUTG0(16'b1111111101111100),
.INIT_LUTG1(16'b1111111111101101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg0_b0|FM_Display/reg0_b2 (
.a({\FM_Display/single_digit [0],\FM_Display/single_digit [0]}),
.b({\FM_Display/single_digit [1],\FM_Display/single_digit [1]}),
.c({\FM_Display/single_digit [2],\FM_Display/single_digit [2]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/single_digit [3],\FM_Display/single_digit [3]}),
.e({\FM_Display/seg_pad [0],\FM_Display/seg_pad [6]}),
.mi({HWDATA[5],HWDATA[7]}),
.sr(RSTn_pad),
.f({\FM_Display/n93 [0],\FM_Display/n93 [6]}),
.q({\FM_Display/frac_digit [0],\FM_Display/frac_digit [2]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111111011),
.INIT_LUTF1(16'b0000001101111100),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b1111111101111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg1_b0|FM_Display/reg1_b2 (
.a({\FM_Display/percentage_digit [0],\FM_Display/percentage_digit [0]}),
.b({\FM_Display/percentage_digit [1],\FM_Display/percentage_digit [1]}),
.c({\FM_Display/percentage_digit [2],\FM_Display/percentage_digit [2]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/percentage_digit [3],\FM_Display/percentage_digit [3]}),
.e({\FM_Display/seg_pad [6],\FM_Display/seg_pad [2]}),
.mi({HWDATA[9],HWDATA[11]}),
.sr(RSTn_pad),
.f({\FM_Display/n95 [6],\FM_Display/n95 [2]}),
.q({\FM_Display/single_digit [0],\FM_Display/single_digit [2]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001101111100),
.INIT_LUTF1(16'b0000001110011110),
.INIT_LUTG0(16'b1111111101111101),
.INIT_LUTG1(16'b1111111110011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg2_b0|FM_Display/reg0_b3 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.e({\FM_Display/seg_pad [1],\FM_Display/seg_pad [6]}),
.mi({HWDATA[13],HWDATA[8]}),
.sr(RSTn_pad),
.f({\FM_Display/n100 [1],\FM_Display/n100 [6]}),
.q({\FM_Display/percentage_digit [0],\FM_Display/frac_digit [3]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(D)*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(D)*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111111010),
.INIT_LUTF1(16'b1111000011001111),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b1111000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg3_b0|FM_Display/reg2_b3 (
.a({open_n2666,\FM_Display/thousand_digit [0]}),
.b(\FM_Display/thousand_digit [2:1]),
.c(\FM_Display/thousand_digit [3:2]),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [3]}),
.e({open_n2667,\FM_Display/seg_pad [2]}),
.mi(HWDATA[17:16]),
.sr(RSTn_pad),
.f({\FM_Display/_al_u209_o ,\FM_Display/n100 [2]}),
.q({\FM_Display/thousand_digit [0],\FM_Display/percentage_digit [3]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg3_b1|FM_Display/reg3_b2 (
.a({open_n2683,HWDATA[13]}),
.b({HWDATA[15],HWDATA[12]}),
.c({HWDATA[14],HWDATA[11]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_HW/_al_u623_o ,HWDATA[10]}),
.mi({HWDATA[18],HWDATA[19]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u624_o ,\FM_HW/_al_u623_o }),
.q({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [2]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b10|FM_Display/reg4_b7 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [10],\FM_Display/n12 [7]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [10],\FM_Display/conter [7]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [10],\FM_Display/conter [7]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b12|FM_Display/reg4_b13 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [12],\FM_Display/n12 [13]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [12],\FM_Display/conter [13]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [12],\FM_Display/conter [13]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b15|FM_Display/reg4_b16 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [15],\FM_Display/n12 [16]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [15],\FM_Display/conter [16]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [15],\FM_Display/conter [16]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b17|FM_Display/reg4_b18 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [17],\FM_Display/n12 [18]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [17],\FM_Display/conter [18]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [17],\FM_Display/conter [18]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b1|FM_Display/reg4_b2 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [1],\FM_Display/n12 [2]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [1],\FM_Display/conter [2]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [1],\FM_Display/conter [2]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b20|FM_Display/reg4_b21 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [20],\FM_Display/n12 [21]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [20],\FM_Display/conter [21]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [20],\FM_Display/conter [21]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b22|FM_Display/reg4_b23 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [22],\FM_Display/n12 [23]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [22],\FM_Display/conter [23]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [22],\FM_Display/conter [23]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b24|FM_Display/reg4_b25 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [24],\FM_Display/n12 [25]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [24],\FM_Display/conter [25]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [24],\FM_Display/conter [25]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b3|FM_Display/reg4_b4 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [3],\FM_Display/n12 [4]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [3],\FM_Display/conter [4]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [3],\FM_Display/conter [4]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b5|FM_Display/reg4_b6 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [5],\FM_Display/n12 [6]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [5],\FM_Display/conter [6]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [5],\FM_Display/conter [6]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg4_b8|FM_Display/reg4_b9 (
.a({\FM_Display/_al_u114_o ,\FM_Display/_al_u114_o }),
.b({\FM_Display/n12 [8],\FM_Display/n12 [9]}),
.c({\FM_Display/n11 ,\FM_Display/n11 }),
.clk(clk_pad),
.d({\FM_Display/conter [8],\FM_Display/conter [9]}),
.sr(RSTn_pad),
.q({\FM_Display/conter [8],\FM_Display/conter [9]})); // ../rtl/peripherals/FM_Display.v(48)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b10|FM_Display/reg5_b9 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b(\FM_Display/n20 [10:9]),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d(\FM_Display/counter_1Khz [10:9]),
.sr(RSTn_pad),
.q(\FM_Display/counter_1Khz [10:9])); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b11|FM_Display/reg5_b12 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/n20 [11],\FM_Display/n20 [12]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [12]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [11],\FM_Display/counter_1Khz [12]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b13|FM_Display/reg5_b14 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/n20 [13],\FM_Display/n20 [14]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [14]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [13],\FM_Display/counter_1Khz [14]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b1|FM_Display/reg5_b2 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/n20 [1],\FM_Display/n20 [2]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [1],\FM_Display/counter_1Khz [2]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [1],\FM_Display/counter_1Khz [2]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b4|FM_Display/reg5_b5 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/n20 [4],\FM_Display/n20 [5]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [4],\FM_Display/counter_1Khz [5]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [4],\FM_Display/counter_1Khz [5]})); // ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
// ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg5_b7|FM_Display/reg5_b8 (
.a({\FM_Display/_al_u86_o ,\FM_Display/_al_u86_o }),
.b({\FM_Display/n20 [7],\FM_Display/n20 [8]}),
.c({\FM_Display/n19 ,\FM_Display/n19 }),
.clk(clk_pad),
.d({\FM_Display/counter_1Khz [7],\FM_Display/counter_1Khz [8]}),
.sr(RSTn_pad),
.q({\FM_Display/counter_1Khz [7],\FM_Display/counter_1Khz [8]})); // ../rtl/peripherals/FM_Display.v(68)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1101111111110000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1101111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_Display/reg6_b0|FM_HW/_al_u3948 (
.a({\FM_Display/_al_u74_o ,open_n3043}),
.b({\FM_Display/sel_pad [0],\FM_HW/_al_u3479_o }),
.c({\FM_Display/ctrl_freq [0],\FM_HW/_al_u3443_o }),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/ctrl_freq [1],\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.sr(\FM_Display/n29 ),
.f({open_n3060,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
.q({\FM_Display/sel_pad [0],open_n3064})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(~B*A)))"),
//.LUTG0("~(C*~(D*~(~B*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111100001111),
.INIT_LUTG0(16'b1101111100001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_Display/reg6_b1 (
.a({open_n3065,\FM_Display/_al_u74_o }),
.b({open_n3066,\FM_Display/sel_pad [1]}),
.c({open_n3067,\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({open_n3068,\FM_Display/ctrl_freq [1]}),
.sr(\FM_Display/n29 ),
.q({open_n3090,\FM_Display/sel_pad [1]})); // ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(~D*~A*~(0*C)))"),
//.LUTF1("(~A*~(B*~(0*~(~D*C))))"),
//.LUTG0("~(~B*~(~D*~A*~(1*C)))"),
//.LUTG1("(~A*~(B*~(1*~(~D*C))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011011101),
.INIT_LUTF1(16'b0001000100010001),
.INIT_LUTG0(16'b1100110011001101),
.INIT_LUTG1(16'b0101010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg6_b3|FM_Display/reg6_b2 (
.a({\FM_Display/_al_u78_o ,\FM_Display/_al_u104_o }),
.b({\FM_Display/_al_u80_o ,\FM_Display/_al_u78_o }),
.c({\FM_Display/n89 ,\FM_Display/n89 }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/_al_u74_o ,\FM_Display/n29 }),
.e({\FM_Display/sel_pad [3],\FM_Display/_al_u105_o }),
.q(\FM_Display/sel_pad [3:2])); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~(0*~(~C*~B))))"),
//.LUT1("~(~A*~(D*~(1*~(~C*~B))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111110101010),
.INIT_LUT1(16'b1010101110101010),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b0 (
.a({\FM_Display/_al_u203_o ,\FM_Display/_al_u203_o }),
.b({\FM_Display/_al_u206_o ,\FM_Display/_al_u206_o }),
.c({\FM_Display/_al_u210_o ,\FM_Display/_al_u210_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/_al_u211_o ,\FM_Display/_al_u211_o }),
.mi({open_n3123,\FM_Display/n89 }),
.q({open_n3130,\FM_Display/seg_pad [0]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(~0*~(~D*~C*A)))"),
//.LUT1("~(~B*~(~1*~(~D*~C*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111111101),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b3 (
.a({\FM_Display/_al_u186_o ,\FM_Display/_al_u186_o }),
.b({\FM_Display/_al_u191_o ,\FM_Display/_al_u191_o }),
.c({\FM_Display/_al_u195_o ,\FM_Display/_al_u195_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/_al_u198_o ,\FM_Display/_al_u198_o }),
.mi({open_n3142,\FM_Display/_al_u199_o }),
.q({open_n3149,\FM_Display/seg_pad [3]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(0*~(~D*C*~B)))"),
//.LUT1("~(~A*~(1*~(~D*C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111111101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b5 (
.a({\FM_Display/_al_u233_o ,\FM_Display/_al_u233_o }),
.b({\FM_Display/_al_u236_o ,\FM_Display/_al_u236_o }),
.c({\FM_Display/_al_u238_o ,\FM_Display/_al_u238_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/_al_u240_o ,\FM_Display/_al_u240_o }),
.mi({open_n3161,\FM_Display/_al_u241_o }),
.q({open_n3168,\FM_Display/seg_pad [5]})); // ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(0*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)))"),
//.LUTF1("~(D*~(C*B))"),
//.LUTG0("~(A*~(1*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)))"),
//.LUTG1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b1100000011111111),
.INIT_LUTG0(16'b0111010111111101),
.INIT_LUTG1(16'b1100000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg7_b6|FM_Display/reg7_b2 (
.a({open_n3169,\FM_Display/_al_u147_o }),
.b({\FM_Display/_al_u164_o ,\FM_Display/_al_u151_o }),
.c({\FM_Display/_al_u166_o ,\FM_Display/_al_u153_o }),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/_al_u160_o ,\FM_Display/_al_u155_o }),
.e({open_n3171,\FM_Display/n89 }),
.q({\FM_Display/seg_pad [6],\FM_Display/seg_pad [2]})); // ../rtl/peripherals/FM_Display.v(99)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_Display/reg8_b0|FM_HW/_al_u3934 (
.b({open_n3194,\FM_HW/_al_u3464_o }),
.c({open_n3195,\FM_HW/_al_u3437_o }),
.ce(\FM_Display/n89 ),
.clk(\FM_Display/clk_1KHz_gclk_net ),
.d({\FM_Display/ctrl_freq [0],\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({open_n3213,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
.q({\FM_Display/ctrl_freq [0],open_n3217})); // ../rtl/peripherals/FM_Display.v(99)
// ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_Display/reg9_b3|FM_Display/reg9_b4 (
.c({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [0]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\FM_Display/n93 [2],\FM_Display/n100 [2]}),
.mi({HWDATA[3],HWDATA[4]}),
.sr(RSTn_pad),
.f({\FM_Display/_al_u153_o ,\FM_Display/_al_u155_o }),
.q({\FM_Display/channel_NO [3],\FM_Display/channel_NO [4]})); // ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/Audio_PWM/N_1_reg|FM_HW/_al_u3194 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ,\FM_HW/_al_u3193_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2211_o ,HADDR[7]}),
.ce(RSTn_pad),
.clk(\FM_HW/clk_PWM1 ),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 }),
.e({open_n3239,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 }),
.mi({\FM_HW/Audio_PWM/N ,open_n3241}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ,\FM_HW/_al_u3194_o }),
.q({\FM_HW/Audio_PWM/N_1 ,open_n3257})); // ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(0*~C*~B))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(D*~A*~(1*~C*~B))"),
//.LUTG1("(~D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0101010000000000),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/Audio_PWM/N_reg|FM_HW/_al_u1864 (
.a({open_n3258,\FM_HW/_al_u1820_o }),
.b({\FM_HW/_al_u1289_o ,\FM_HW/_al_u1841_o }),
.c({\FM_HW/_al_u1299_o ,\FM_HW/_al_u1863_o }),
.ce(RSTn_pad),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/_al_u1278_o ,HADDR[13]}),
.e({open_n3259,HADDR[12]}),
.mi({\FM_HW/clk_fm_demo_sampling ,open_n3261}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ,\FM_HW/_al_u1864_o }),
.q({\FM_HW/Audio_PWM/N ,open_n3277})); // ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u4004"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u11_al_u4007 (
.a({open_n3278,\FM_HW/Audio_PWM/cnt [11]}),
.c(2'b00),
.d({open_n3283,1'b0}),
.fci(\FM_HW/Audio_PWM/add0/c11 ),
.f({open_n3300,\FM_HW/Audio_PWM/n2 [11]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u4004"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u3_al_u4005 (
.a({\FM_HW/Audio_PWM/cnt [5],\FM_HW/Audio_PWM/cnt [3]}),
.b({\FM_HW/Audio_PWM/cnt [6],\FM_HW/Audio_PWM/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/Audio_PWM/add0/c3 ),
.f({\FM_HW/Audio_PWM/n2 [5],\FM_HW/Audio_PWM/n2 [3]}),
.fco(\FM_HW/Audio_PWM/add0/c7 ),
.fx({\FM_HW/Audio_PWM/n2 [6],\FM_HW/Audio_PWM/n2 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u4004"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/add0/u7_al_u4006 (
.a({\FM_HW/Audio_PWM/cnt [9],\FM_HW/Audio_PWM/cnt [7]}),
.b({\FM_HW/Audio_PWM/cnt [10],\FM_HW/Audio_PWM/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/Audio_PWM/add0/c7 ),
.f({\FM_HW/Audio_PWM/n2 [9],\FM_HW/Audio_PWM/n2 [7]}),
.fco(\FM_HW/Audio_PWM/add0/c11 ),
.fx({\FM_HW/Audio_PWM/n2 [10],\FM_HW/Audio_PWM/n2 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/Audio_PWM/add0/ucin_al_u4004"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/add0/ucin_al_u4004 (
.a({\FM_HW/Audio_PWM/cnt [1],1'b0}),
.b({\FM_HW/Audio_PWM/cnt [2],\FM_HW/Audio_PWM/cnt [0]}),
.c(2'b00),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d(2'b01),
.e(2'b01),
.mi(\FM_HW/FM_Demodulation/dmd_data_filtered [21:20]),
.sr(RSTn_pad),
.f({\FM_HW/Audio_PWM/n2 [1],open_n3355}),
.fco(\FM_HW/Audio_PWM/add0/c3 ),
.fx({\FM_HW/Audio_PWM/n2 [2],\FM_HW/Audio_PWM/n2 [0]}),
.q(\FM_HW/demodulated_signal_downsample [8:7]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/audio_pwm_reg_reg|Audio_PWM/lt1_11 (
.a(2'b00),
.b({1'b1,\FM_HW/Audio_PWM/cnt [11]}),
.clk(\FM_HW/clk_PWM1 ),
.fci(\FM_HW/Audio_PWM/lt1_c11 ),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/audio_pwm_reg ,open_n3377}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin (
.a({\FM_HW/demodulated_signal_downsample [0],1'b1}),
.b({\FM_HW/Audio_PWM/cnt [0],open_n3378}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filtered [16:15]),
.sr(RSTn_pad),
.fco(\FM_HW/Audio_PWM/lt1_c1 ),
.q(\FM_HW/demodulated_signal_downsample [3:2]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_10|Audio_PWM/lt1_9 (
.a(2'b00),
.b(\FM_HW/Audio_PWM/cnt [10:9]),
.fci(\FM_HW/Audio_PWM/lt1_c9 ),
.fco(\FM_HW/Audio_PWM/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_2|Audio_PWM/lt1_1 (
.a(\FM_HW/demodulated_signal_downsample [2:1]),
.b(\FM_HW/Audio_PWM/cnt [2:1]),
.fci(\FM_HW/Audio_PWM/lt1_c1 ),
.fco(\FM_HW/Audio_PWM/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_4|Audio_PWM/lt1_3 (
.a(\FM_HW/demodulated_signal_downsample [4:3]),
.b(\FM_HW/Audio_PWM/cnt [4:3]),
.fci(\FM_HW/Audio_PWM/lt1_c3 ),
.fco(\FM_HW/Audio_PWM/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_6|Audio_PWM/lt1_5 (
.a(\FM_HW/demodulated_signal_downsample [6:5]),
.b(\FM_HW/Audio_PWM/cnt [6:5]),
.fci(\FM_HW/Audio_PWM/lt1_c5 ),
.fco(\FM_HW/Audio_PWM/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/Audio_PWM/lt1_0|Audio_PWM/lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/Audio_PWM/lt1_8|Audio_PWM/lt1_7 (
.a(\FM_HW/demodulated_signal_downsample [8:7]),
.b(\FM_HW/Audio_PWM/cnt [8:7]),
.fci(\FM_HW/Audio_PWM/lt1_c7 ),
.fco(\FM_HW/Audio_PWM/lt1_c9 ));
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b0|FM_HW/Audio_PWM/reg0_b11 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [0],\FM_HW/Audio_PWM/n2 [11]}),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [0],\FM_HW/Audio_PWM/cnt [11]})); // ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b10|FM_HW/Audio_PWM/reg0_b9 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c(\FM_HW/Audio_PWM/n2 [10:9]),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q(\FM_HW/Audio_PWM/cnt [10:9])); // ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b1|FM_HW/Audio_PWM/reg0_b2 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [1],\FM_HW/Audio_PWM/n2 [2]}),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [1],\FM_HW/Audio_PWM/cnt [2]})); // ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b3|FM_HW/Audio_PWM/reg0_b4 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [3],\FM_HW/Audio_PWM/n2 [4]}),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [3],\FM_HW/Audio_PWM/cnt [4]})); // ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b5|FM_HW/Audio_PWM/reg0_b6 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [5],\FM_HW/Audio_PWM/n2 [6]}),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [5],\FM_HW/Audio_PWM/cnt [6]})); // ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
// ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/Audio_PWM/reg0_b7|FM_HW/Audio_PWM/reg0_b8 (
.b({\FM_HW/Audio_PWM/N ,\FM_HW/Audio_PWM/N }),
.c({\FM_HW/Audio_PWM/n2 [7],\FM_HW/Audio_PWM/n2 [8]}),
.clk(\FM_HW/clk_PWM1 ),
.d({\FM_HW/Audio_PWM/N_1 ,\FM_HW/Audio_PWM/N_1 }),
.sr(RSTn_pad),
.q({\FM_HW/Audio_PWM/cnt [7],\FM_HW/Audio_PWM/cnt [8]})); // ../rtl/peripherals/Audio_PWM.v(21)
EG_PHY_GCLK \FM_HW/EOC_gclk_inst (
.clki(\FM_HW/EOC ),
.clko(\FM_HW/EOC_gclk_net ));
EG_PHY_GCLK \FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_inst (
.clki(\FM_HW/FM_Demodulation/EOC_Count_Demodulate ),
.clko(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ));
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/_al_u8|FM_Display/reg3_b3 (
.a({\FM_HW/Channel [1],open_n3646}),
.b({FM_HW_state[3],open_n3647}),
.c({FM_HW_state[2],\RAMCODE_Interface/wr_en_reg }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({FM_HW_state[1],\RAMCODE_Interface/size_reg [2]}),
.mi({open_n3651,HWDATA[20]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ,RAMCODE_WRITE[2]}),
.q({open_n3666,\FM_Display/thousand_digit [3]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/demodulation/FM_RSSI.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG1("(D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111100),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000111111111100),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/_al_u9|FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_reg (
.a({\FM_HW/Channel [1],open_n3667}),
.b({FM_HW_state[3],\FM_HW/_al_u578_o }),
.c({FM_HW_state[2],\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate }),
.clk(\FM_HW/EOC_gclk_net ),
.d({FM_HW_state[1],\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ,open_n3686}),
.q({open_n3690,\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate })); // ../rtl/demodulation/FM_RSSI.v(56)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin (
.a({\FM_HW/FM_Demodulation/fm_sample/cnt [0],1'b0}),
.b({1'b1,open_n3691}),
.f({\FM_HW/FM_Demodulation/fm_sample/n4 [0],open_n3711}),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u2|fm_sample/add0/u1 (
.a(\FM_HW/FM_Demodulation/fm_sample/cnt [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c1 ),
.f(\FM_HW/FM_Demodulation/fm_sample/n4 [2:1]),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u4|fm_sample/add0/u3 (
.a(\FM_HW/FM_Demodulation/fm_sample/cnt [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c3 ),
.f(\FM_HW/FM_Demodulation/fm_sample/n4 [4:3]),
.fco(\FM_HW/FM_Demodulation/fm_sample/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/add0/u0|fm_sample/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/add0/u5_al_u69 (
.a({open_n3760,\FM_HW/FM_Demodulation/fm_sample/cnt [5]}),
.b({open_n3761,1'b0}),
.fci(\FM_HW/FM_Demodulation/fm_sample/add0/c5 ),
.f({open_n3780,\FM_HW/FM_Demodulation/fm_sample/n4 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/fm_sample/clk_fm_demo_sampling_reg|FM_HW/_al_u3165 (
.a({open_n3786,HADDR[6]}),
.b({open_n3787,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 }),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 }),
.ce(RSTn_pad),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,HADDR[7]}),
.f({open_n3805,\FM_HW/_al_u3165_o }),
.q({\FM_HW/clk_fm_demo_sampling ,open_n3809})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin (
.a(2'b11),
.b({\FM_HW/FM_Demodulation/fm_sample/cnt [0],open_n3810}),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_2|fm_sample/lt0_1 (
.a(2'b00),
.b(\FM_HW/FM_Demodulation/fm_sample/cnt [2:1]),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c1 ),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_4|fm_sample/lt0_3 (
.a(2'b01),
.b(\FM_HW/FM_Demodulation/fm_sample/cnt [4:3]),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c3 ),
.fco(\FM_HW/FM_Demodulation/fm_sample/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/fm_sample/lt0_0|fm_sample/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/fm_sample/lt0_cout|fm_sample/lt0_5 (
.a(2'b00),
.b({1'b1,\FM_HW/FM_Demodulation/fm_sample/cnt [5]}),
.fci(\FM_HW/FM_Demodulation/fm_sample/lt0_c5 ),
.f({\FM_HW/FM_Demodulation/fm_sample/n2 ,open_n3902}));
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b0|FM_HW/FM_Demodulation/fm_sample/reg0_b1 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [0],\FM_HW/FM_Demodulation/fm_sample/n4 [1]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [0],\FM_HW/FM_Demodulation/fm_sample/cnt [1]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b2|FM_HW/FM_Demodulation/fm_sample/reg0_b3 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [2],\FM_HW/FM_Demodulation/fm_sample/n4 [3]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [2],\FM_HW/FM_Demodulation/fm_sample/cnt [3]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
// ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/fm_sample/reg0_b4|FM_HW/FM_Demodulation/fm_sample/reg0_b5 (
.b({\FM_HW/FM_Demodulation/fm_sample/n4 [4],\FM_HW/FM_Demodulation/fm_sample/n4 [5]}),
.c({\FM_HW/FM_Demodulation/fm_sample/n2 ,\FM_HW/FM_Demodulation/fm_sample/n2 }),
.ce(\FM_HW/adc_Power_down ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/adc_Power_down ,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/fm_sample/cnt [4],\FM_HW/FM_Demodulation/fm_sample/cnt [5]})); // ../rtl/demodulation/clk_fm_demodulation.v(13)
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT9X9C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("1"),
.SIGNEDBMUX("1"))
\FM_HW/FM_Demodulation/mult0_mult1_ (
.a({1'b0,\FM_HW/FM_Demodulation/QdataN ,1'b0,\FM_HW/FM_Demodulation/IdataN }),
.b({1'b0,\FM_HW/FM_Demodulation/IdataN_1 ,1'b0,\FM_HW/FM_Demodulation/QdataN_1 }),
.p({open_n4056,open_n4057,\FM_HW/FM_Demodulation/n14 ,open_n4058,open_n4059,\FM_HW/FM_Demodulation/n13 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult10_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[13] }),
.b(18'b000000000011101111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4141,open_n4142,open_n4143,open_n4144,open_n4145,open_n4146,open_n4147,open_n4148,open_n4149,open_n4150,open_n4151,open_n4152,open_n4153,open_n4154,open_n4155,open_n4156,open_n4157,open_n4158,\FM_HW/FM_Demodulation/n39 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult11_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[12] }),
.b(18'b000000000001111111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4240,open_n4241,open_n4242,open_n4243,open_n4244,open_n4245,open_n4246,open_n4247,open_n4248,open_n4249,open_n4250,open_n4251,open_n4252,open_n4253,open_n4254,open_n4255,open_n4256,open_n4257,open_n4258,\FM_HW/FM_Demodulation/n42 [17:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult12_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[11] }),
.b(18'b000000000100000011),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4340,open_n4341,open_n4342,open_n4343,open_n4344,open_n4345,open_n4346,open_n4347,open_n4348,open_n4349,open_n4350,open_n4351,open_n4352,open_n4353,open_n4354,open_n4355,open_n4356,\FM_HW/FM_Demodulation/n44 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult13_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[10] }),
.b(18'b000000000001111111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4438,open_n4439,open_n4440,open_n4441,open_n4442,open_n4443,open_n4444,open_n4445,open_n4446,open_n4447,open_n4448,open_n4449,open_n4450,open_n4451,open_n4452,open_n4453,open_n4454,open_n4455,open_n4456,\FM_HW/FM_Demodulation/n46 [17:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult14_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[9] }),
.b(18'b000000000011101111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4538,open_n4539,open_n4540,open_n4541,open_n4542,open_n4543,open_n4544,open_n4545,open_n4546,open_n4547,open_n4548,open_n4549,open_n4550,open_n4551,open_n4552,open_n4553,open_n4554,open_n4555,\FM_HW/FM_Demodulation/n48 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult15_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[8] }),
.b(18'b000000000011010111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4637,open_n4638,open_n4639,open_n4640,open_n4641,open_n4642,open_n4643,open_n4644,open_n4645,open_n4646,open_n4647,open_n4648,open_n4649,open_n4650,open_n4651,open_n4652,open_n4653,open_n4654,\FM_HW/FM_Demodulation/n50 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult16_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[7] }),
.b(18'b000000000010111001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4736,open_n4737,open_n4738,open_n4739,open_n4740,open_n4741,open_n4742,open_n4743,open_n4744,open_n4745,open_n4746,open_n4747,open_n4748,open_n4749,open_n4750,open_n4751,open_n4752,open_n4753,\FM_HW/FM_Demodulation/n52 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult17_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[6] }),
.b(18'b000000000000010011),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4835,open_n4836,open_n4837,open_n4838,open_n4839,open_n4840,open_n4841,open_n4842,open_n4843,open_n4844,open_n4845,open_n4846,open_n4847,open_n4848,open_n4849,open_n4850,open_n4851,open_n4852,open_n4853,open_n4854,open_n4855,\FM_HW/FM_Demodulation/n54 [17:3]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult18_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[5] }),
.b(18'b000000000000111011),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n4937,open_n4938,open_n4939,open_n4940,open_n4941,open_n4942,open_n4943,open_n4944,open_n4945,open_n4946,open_n4947,open_n4948,open_n4949,open_n4950,open_n4951,open_n4952,open_n4953,open_n4954,open_n4955,open_n4956,\FM_HW/FM_Demodulation/n56 [16:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult19_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[4] }),
.b(18'b000000000001010101),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5038,open_n5039,open_n5040,open_n5041,open_n5042,open_n5043,open_n5044,open_n5045,open_n5046,open_n5047,open_n5048,open_n5049,open_n5050,open_n5051,open_n5052,open_n5053,open_n5054,open_n5055,open_n5056,\FM_HW/FM_Demodulation/n58 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult20_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[3] }),
.b(18'b000000000000111001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5138,open_n5139,open_n5140,open_n5141,open_n5142,open_n5143,open_n5144,open_n5145,open_n5146,open_n5147,open_n5148,open_n5149,open_n5150,open_n5151,open_n5152,open_n5153,open_n5154,open_n5155,open_n5156,open_n5157,\FM_HW/FM_Demodulation/n60 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult21_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[2] }),
.b(18'b000000000000010001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5239,open_n5240,open_n5241,open_n5242,open_n5243,open_n5244,open_n5245,open_n5246,open_n5247,open_n5248,open_n5249,open_n5250,open_n5251,open_n5252,open_n5253,open_n5254,open_n5255,open_n5256,open_n5257,open_n5258,open_n5259,\FM_HW/FM_Demodulation/n62 [15:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult22_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[1] }),
.b(18'b000000000000010001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5341,open_n5342,open_n5343,open_n5344,open_n5345,open_n5346,open_n5347,open_n5348,open_n5349,open_n5350,open_n5351,open_n5352,open_n5353,open_n5354,open_n5355,open_n5356,open_n5357,open_n5358,open_n5359,open_n5360,open_n5361,\FM_HW/FM_Demodulation/n64 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult2_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/demodulated_signal_temp [16:7]}),
.b(18'b000000000000010001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5443,open_n5444,open_n5445,open_n5446,open_n5447,open_n5448,open_n5449,open_n5450,open_n5451,open_n5452,open_n5453,open_n5454,open_n5455,open_n5456,open_n5457,open_n5458,open_n5459,open_n5460,open_n5461,open_n5462,open_n5463,\FM_HW/FM_Demodulation/n17 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult3_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[20] }),
.b(18'b000000000000010001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5545,open_n5546,open_n5547,open_n5548,open_n5549,open_n5550,open_n5551,open_n5552,open_n5553,open_n5554,open_n5555,open_n5556,open_n5557,open_n5558,open_n5559,open_n5560,open_n5561,open_n5562,open_n5563,open_n5564,open_n5565,\FM_HW/FM_Demodulation/n18 [15:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult4_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[19] }),
.b(18'b000000000000111001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5647,open_n5648,open_n5649,open_n5650,open_n5651,open_n5652,open_n5653,open_n5654,open_n5655,open_n5656,open_n5657,open_n5658,open_n5659,open_n5660,open_n5661,open_n5662,open_n5663,open_n5664,open_n5665,open_n5666,\FM_HW/FM_Demodulation/n21 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult5_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[18] }),
.b(18'b000000000001010101),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5748,open_n5749,open_n5750,open_n5751,open_n5752,open_n5753,open_n5754,open_n5755,open_n5756,open_n5757,open_n5758,open_n5759,open_n5760,open_n5761,open_n5762,open_n5763,open_n5764,open_n5765,open_n5766,\FM_HW/FM_Demodulation/n24 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult6_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[17] }),
.b(18'b000000000000111011),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5848,open_n5849,open_n5850,open_n5851,open_n5852,open_n5853,open_n5854,open_n5855,open_n5856,open_n5857,open_n5858,open_n5859,open_n5860,open_n5861,open_n5862,open_n5863,open_n5864,open_n5865,open_n5866,open_n5867,\FM_HW/FM_Demodulation/n27 [16:1]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult7_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[16] }),
.b(18'b000000000000010011),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n5949,open_n5950,open_n5951,open_n5952,open_n5953,open_n5954,open_n5955,open_n5956,open_n5957,open_n5958,open_n5959,open_n5960,open_n5961,open_n5962,open_n5963,open_n5964,open_n5965,open_n5966,open_n5967,open_n5968,open_n5969,\FM_HW/FM_Demodulation/n30 [17:3]}));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult8_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[15] }),
.b(18'b000000000010111001),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n6051,open_n6052,open_n6053,open_n6054,open_n6055,open_n6056,open_n6057,open_n6058,open_n6059,open_n6060,open_n6061,open_n6062,open_n6063,open_n6064,open_n6065,open_n6066,open_n6067,open_n6068,\FM_HW/FM_Demodulation/n33 }));
EG_PHY_MULT18 #(
.CEAMUX("SIG"),
.CLKMUX("SIG"),
.INPUTREGA("ENABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.RSTANMUX("1"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\FM_HW/FM_Demodulation/mult9_ (
.a({8'b00000000,\FM_HW/FM_Demodulation/dmd_data_filter[14] }),
.b(18'b000000000011010111),
.cea(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.p({open_n6150,open_n6151,open_n6152,open_n6153,open_n6154,open_n6155,open_n6156,open_n6157,open_n6158,open_n6159,open_n6160,open_n6161,open_n6162,open_n6163,open_n6164,open_n6165,open_n6166,open_n6167,\FM_HW/FM_Demodulation/n36 }));
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b0|FM_HW/FM_Demodulation/reg0_b1 (
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.mi({\FM_HW/ADC_Data [4],\FM_HW/ADC_Data [5]}),
.q({\FM_HW/FM_Demodulation/IdataN_1 [0],\FM_HW/FM_Demodulation/IdataN_1 [1]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(~D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg0_b2|FM_HW/FM_Demodulation/reg0_b4 (
.a({\u_logic/Vuciu6 ,\u_logic/_al_u2981_o }),
.b({\u_logic/Hw8ax6 ,\u_logic/Nkwiu6 }),
.c({\u_logic/Sqwpw6 ,\u_logic/Q4wiu6_lutinv }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Zm8ax6 ,\u_logic/D43qw6 }),
.e({open_n6196,\u_logic/Pe7ax6 }),
.mi({\FM_HW/ADC_Data [6],\FM_HW/ADC_Data [8]}),
.f({\u_logic/Vr1iu6 ,\u_logic/Yw1iu6 }),
.q({\FM_HW/FM_Demodulation/IdataN_1 [2],\FM_HW/FM_Demodulation/IdataN_1 [4]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b3|FM_HW/FM_Demodulation/reg1_b2 (
.c({\u_logic/K0xiu6 ,\u_logic/Cpwiu6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Avwiu6 ,\u_logic/Vuciu6 }),
.mi(\FM_HW/FM_Demodulation/QdataN_1 [3:2]),
.f({\u_logic/Jf7iu6 ,\u_logic/Xs1iu6 }),
.q(\FM_HW/FM_Demodulation/QdataN [3:2])); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b5|FM_HW/FM_Demodulation/reg2_b4 (
.a({\u_logic/Cvciu6 ,open_n6231}),
.b({\u_logic/D43qw6 ,open_n6232}),
.c({\u_logic/Di3qw6 ,\u_logic/Nkwiu6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Le2qw6 ,\u_logic/_al_u106_o }),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [5],\FM_HW/ADC_Data [8]}),
.f({\u_logic/_al_u3483_o ,\u_logic/Kw1iu6_lutinv }),
.q({\FM_HW/FM_Demodulation/QdataN [5],\FM_HW/FM_Demodulation/QdataN_1 [4]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b6|FM_HW/FM_Demodulation/reg2_b0 (
.a({\u_logic/Nkwiu6 ,\u_logic/Vowiu6 }),
.b({\u_logic/D43qw6 ,\u_logic/Hw8ax6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Sqwpw6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Pe7ax6 ,\u_logic/Zm8ax6 }),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [6],\FM_HW/ADC_Data [4]}),
.f({\u_logic/Q3qiu6 ,\u_logic/Iv1iu6 }),
.q({\FM_HW/FM_Demodulation/QdataN [6],\FM_HW/FM_Demodulation/QdataN_1 [0]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg1_b7|FM_HW/FM_Demodulation/reg2_b1 (
.a({open_n6269,\u_logic/Cpwiu6 }),
.b({open_n6270,\u_logic/Q4wiu6_lutinv }),
.c({\u_logic/Cvciu6 ,\u_logic/D43qw6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Vowiu6 ,\u_logic/Pe7ax6 }),
.mi({\FM_HW/FM_Demodulation/QdataN_1 [7],\FM_HW/ADC_Data [5]}),
.f({\u_logic/Ur4iu6 ,\u_logic/_al_u3011_o }),
.q({\FM_HW/FM_Demodulation/QdataN [7],\FM_HW/FM_Demodulation/QdataN_1 [1]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*(C*~(D)*~(0)+~(C)*D*~(0)+C*~(D)*0)))"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(~A*~(B*(C*~(D)*~(1)+~(C)*D*~(1)+C*~(D)*1)))"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000100010101),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0101010100010101),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg2_b2|FM_HW/FM_Demodulation/reg2_b3 (
.a({\u_logic/Ymwiu6 ,\u_logic/_al_u3254_o }),
.b({\u_logic/Hw8ax6 ,\u_logic/Ffqiu6 }),
.c({\u_logic/Sqwpw6 ,\u_logic/D43qw6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Zm8ax6 ,\u_logic/Hw8ax6 }),
.e({open_n6285,\u_logic/Pe7ax6 }),
.mi({\FM_HW/ADC_Data [6],\FM_HW/ADC_Data [7]}),
.f({\u_logic/Fgpiu6 ,\u_logic/Qaqiu6 }),
.q({\FM_HW/FM_Demodulation/QdataN_1 [2],\FM_HW/FM_Demodulation/QdataN_1 [3]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg2_b7|FM_HW/FM_Demodulation/reg2_b6 (
.a({open_n6302,\u_logic/_al_u106_o }),
.b({open_n6303,\u_logic/Hw8ax6 }),
.c({\u_logic/K0xiu6 ,\u_logic/Sqwpw6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/_al_u106_o ,\u_logic/Zm8ax6 }),
.mi(\FM_HW/ADC_Data [11:10]),
.f({\u_logic/Sg7iu6 ,\u_logic/_al_u3029_o }),
.q(\FM_HW/FM_Demodulation/QdataN_1 [7:6])); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg3_b10|reg3_b9 (
.a(\FM_HW/FM_Demodulation/n13 [10:9]),
.b(\FM_HW/FM_Demodulation/n14 [10:9]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0_2/c9 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/sub0_2/c11 ),
.q(\FM_HW/FM_Demodulation/demodulated_signal_temp [10:9]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg3_b12|reg3_b11 (
.a(\FM_HW/FM_Demodulation/n13 [12:11]),
.b(\FM_HW/FM_Demodulation/n14 [12:11]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0_2/c11 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/sub0_2/c13 ),
.q(\FM_HW/FM_Demodulation/demodulated_signal_temp [12:11]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg3_b14|reg3_b13 (
.a(\FM_HW/FM_Demodulation/n13 [14:13]),
.b(\FM_HW/FM_Demodulation/n14 [14:13]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0_2/c13 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/sub0_2/c15 ),
.q(\FM_HW/FM_Demodulation/demodulated_signal_temp [14:13]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg3_b8|reg3_b7 (
.a(\FM_HW/FM_Demodulation/n13 [8:7]),
.b(\FM_HW/FM_Demodulation/n14 [8:7]),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0_2/c7 ),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/sub0_2/c9 ),
.q(\FM_HW/FM_Demodulation/demodulated_signal_temp [8:7]));
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg4_b15|FM_HW/FM_Demodulation/reg4_b17 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n65 [15],\FM_HW/FM_Demodulation/n65 [17]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filtered [15],\FM_HW/FM_Demodulation/dmd_data_filtered [17]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg4_b16|FM_HW/FM_Demodulation/reg4_b18 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n65 [16],\FM_HW/FM_Demodulation/n65 [18]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filtered [16],\FM_HW/FM_Demodulation/dmd_data_filtered [18]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg4_b19|FM_HW/FM_Demodulation/reg4_b20 (
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/n65 [19],\FM_HW/FM_Demodulation/n65 [20]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Demodulation/dmd_data_filtered [19],\FM_HW/FM_Demodulation/dmd_data_filtered [20]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("~((~B*~A)*~((~D*~C))*~(0)+(~B*~A)*(~D*~C)*~(0)+~((~B*~A))*(~D*~C)*0+(~B*~A)*(~D*~C)*0)"),
//.LUTG0("~((~B*~A)*~((~D*~C))*~(1)+(~B*~A)*(~D*~C)*~(1)+~((~B*~A))*(~D*~C)*1+(~B*~A)*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111011101110),
.INIT_LUTG0(16'b1111111111110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg4_b21 (
.a({open_n6478,\FM_HW/_al_u1194_o }),
.b({open_n6479,\FM_HW/_al_u1215_o }),
.c({open_n6480,\FM_HW/_al_u1235_o }),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n6482,\FM_HW/_al_u1256_o }),
.e({open_n6483,HADDR[13]}),
.mi({open_n6485,\FM_HW/FM_Demodulation/n65 [21]}),
.sr(RSTn_pad),
.f({open_n6497,\FM_HW/_al_u1257_o }),
.q({open_n6501,\FM_HW/FM_Demodulation/dmd_data_filtered [21]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b100|FM_HW/FM_Demodulation/reg5_b109 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [0],\FM_HW/FM_Demodulation/dmd_data_filter[11] [9]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [0],\FM_HW/FM_Demodulation/dmd_data_filter[10] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b101|FM_HW/FM_Demodulation/reg5_b108 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [1],\FM_HW/FM_Demodulation/dmd_data_filter[11] [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [1],\FM_HW/FM_Demodulation/dmd_data_filter[10] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b102|FM_HW/FM_Demodulation/reg5_b107 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [2],\FM_HW/FM_Demodulation/dmd_data_filter[11] [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [2],\FM_HW/FM_Demodulation/dmd_data_filter[10] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b103|FM_HW/FM_Demodulation/reg5_b106 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [3],\FM_HW/FM_Demodulation/dmd_data_filter[11] [6]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [3],\FM_HW/FM_Demodulation/dmd_data_filter[10] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b104|FM_HW/FM_Demodulation/reg5_b105 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[11] [4],\FM_HW/FM_Demodulation/dmd_data_filter[11] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[10] [4],\FM_HW/FM_Demodulation/dmd_data_filter[10] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b10|FM_HW/FM_Demodulation/reg5_b150 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [0],\FM_HW/FM_Demodulation/dmd_data_filter[16] [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [0],\FM_HW/FM_Demodulation/dmd_data_filter[15] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b110|FM_HW/FM_Demodulation/reg5_b75 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [0],\FM_HW/FM_Demodulation/dmd_data_filter[8] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [0],\FM_HW/FM_Demodulation/dmd_data_filter[7] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b111|FM_HW/FM_Demodulation/reg5_b74 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [1],\FM_HW/FM_Demodulation/dmd_data_filter[8] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [1],\FM_HW/FM_Demodulation/dmd_data_filter[7] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b112|FM_HW/FM_Demodulation/reg5_b73 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [2],\FM_HW/FM_Demodulation/dmd_data_filter[8] [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [2],\FM_HW/FM_Demodulation/dmd_data_filter[7] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b113|FM_HW/FM_Demodulation/reg5_b72 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [3],\FM_HW/FM_Demodulation/dmd_data_filter[8] [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [3],\FM_HW/FM_Demodulation/dmd_data_filter[7] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b114|FM_HW/FM_Demodulation/reg5_b71 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [4],\FM_HW/FM_Demodulation/dmd_data_filter[8] [1]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [4],\FM_HW/FM_Demodulation/dmd_data_filter[7] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b115|FM_HW/FM_Demodulation/reg5_b70 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [5],\FM_HW/FM_Demodulation/dmd_data_filter[8] [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [5],\FM_HW/FM_Demodulation/dmd_data_filter[7] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b116|FM_HW/FM_Demodulation/reg5_b119 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [6],\FM_HW/FM_Demodulation/dmd_data_filter[12] [9]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [6],\FM_HW/FM_Demodulation/dmd_data_filter[11] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b117|FM_HW/FM_Demodulation/reg5_b118 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[12] [7],\FM_HW/FM_Demodulation/dmd_data_filter[12] [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[11] [7],\FM_HW/FM_Demodulation/dmd_data_filter[11] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b11|FM_HW/FM_Demodulation/reg5_b12 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [1],\FM_HW/FM_Demodulation/dmd_data_filter[2] [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [1],\FM_HW/FM_Demodulation/dmd_data_filter[1] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b120|FM_HW/FM_Demodulation/reg5_b122 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [0],\FM_HW/FM_Demodulation/dmd_data_filter[13] [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [0],\FM_HW/FM_Demodulation/dmd_data_filter[12] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b121 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n6933,\FM_HW/FM_Demodulation/dmd_data_filter[13] [1]}),
.q({open_n6951,\FM_HW/FM_Demodulation/dmd_data_filter[12] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b123|FM_HW/FM_Demodulation/reg5_b124 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [3],\FM_HW/FM_Demodulation/dmd_data_filter[13] [4]}),
.f({\FM_HW/_al_u2699_o ,\FM_HW/_al_u2314_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [3],\FM_HW/FM_Demodulation/dmd_data_filter[12] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b125|FM_HW/FM_Demodulation/reg5_b126 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[13] [5],\FM_HW/FM_Demodulation/dmd_data_filter[13] [6]}),
.f({\FM_HW/_al_u3105_o ,\FM_HW/_al_u3006_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[12] [5],\FM_HW/FM_Demodulation/dmd_data_filter[12] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b128 (
.a({open_n6988,\FM_HW/_al_u1069_o }),
.b({open_n6989,\FM_HW/_al_u1071_o }),
.c({open_n6990,\FM_HW/_al_u1073_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n6991,HADDR[10]}),
.mi({open_n6995,\FM_HW/FM_Demodulation/dmd_data_filter[13] [8]}),
.f({open_n7008,\FM_HW/_al_u1074_o }),
.q({open_n7012,\FM_HW/FM_Demodulation/dmd_data_filter[12] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b129 (
.b({open_n7015,\FM_HW/_al_u674_o }),
.c({open_n7016,HADDR[10]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n7017,\FM_HW/_al_u3007_o }),
.mi({open_n7028,\FM_HW/FM_Demodulation/dmd_data_filter[13] [9]}),
.f({open_n7030,\FM_HW/_al_u3008_o }),
.q({open_n7034,\FM_HW/FM_Demodulation/dmd_data_filter[12] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b130|FM_HW/FM_Demodulation/reg5_b31 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [0],\FM_HW/FM_Demodulation/dmd_data_filter[4] [1]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [0],\FM_HW/FM_Demodulation/dmd_data_filter[3] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b131|FM_HW/FM_Demodulation/reg5_b138 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [1],\FM_HW/FM_Demodulation/dmd_data_filter[14] [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [1],\FM_HW/FM_Demodulation/dmd_data_filter[13] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b132|FM_HW/FM_Demodulation/reg5_b34 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [2],\FM_HW/FM_Demodulation/dmd_data_filter[4] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [2],\FM_HW/FM_Demodulation/dmd_data_filter[3] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b133|FM_HW/FM_Demodulation/reg5_b137 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [3],\FM_HW/FM_Demodulation/dmd_data_filter[14] [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [3],\FM_HW/FM_Demodulation/dmd_data_filter[13] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b134|FM_HW/FM_Demodulation/reg5_b136 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [4],\FM_HW/FM_Demodulation/dmd_data_filter[14] [6]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [4],\FM_HW/FM_Demodulation/dmd_data_filter[13] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b135 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n7185,\FM_HW/FM_Demodulation/dmd_data_filter[14] [5]}),
.q({open_n7192,\FM_HW/FM_Demodulation/dmd_data_filter[13] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b139|FM_HW/FM_Demodulation/reg5_b33 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[14] [9],\FM_HW/FM_Demodulation/dmd_data_filter[4] [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[13] [9],\FM_HW/FM_Demodulation/dmd_data_filter[3] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b13|FM_HW/FM_Demodulation/reg5_b14 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [3],\FM_HW/FM_Demodulation/dmd_data_filter[2] [4]}),
.f({\FM_HW/_al_u2860_o ,\FM_HW/_al_u2204_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [3],\FM_HW/FM_Demodulation/dmd_data_filter[1] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b140|FM_HW/FM_Demodulation/reg5_b25 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [0],\FM_HW/FM_Demodulation/dmd_data_filter[3] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [0],\FM_HW/FM_Demodulation/dmd_data_filter[2] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b141|FM_HW/FM_Demodulation/reg5_b24 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [1],\FM_HW/FM_Demodulation/dmd_data_filter[3] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [1],\FM_HW/FM_Demodulation/dmd_data_filter[2] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b142|FM_HW/FM_Demodulation/reg5_b23 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [2],\FM_HW/FM_Demodulation/dmd_data_filter[3] [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [2],\FM_HW/FM_Demodulation/dmd_data_filter[2] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b143|FM_HW/FM_Demodulation/reg5_b22 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [3],\FM_HW/FM_Demodulation/dmd_data_filter[3] [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [3],\FM_HW/FM_Demodulation/dmd_data_filter[2] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b144|FM_HW/FM_Demodulation/reg5_b21 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [4],\FM_HW/FM_Demodulation/dmd_data_filter[3] [1]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [4],\FM_HW/FM_Demodulation/dmd_data_filter[2] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b145|FM_HW/FM_Demodulation/reg5_b20 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [5],\FM_HW/FM_Demodulation/dmd_data_filter[3] [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [5],\FM_HW/FM_Demodulation/dmd_data_filter[2] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b146|FM_HW/FM_Demodulation/reg5_b149 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [6],\FM_HW/FM_Demodulation/dmd_data_filter[15] [9]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [6],\FM_HW/FM_Demodulation/dmd_data_filter[14] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b147|FM_HW/FM_Demodulation/reg5_b148 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[15] [7],\FM_HW/FM_Demodulation/dmd_data_filter[15] [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[14] [7],\FM_HW/FM_Demodulation/dmd_data_filter[14] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*~(D*C)))"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101000100010001),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b152|FM_HW/FM_Demodulation/reg5_b151 (
.a({open_n7439,\FM_Display/n29 }),
.b({open_n7440,\FM_Display/n28_lutinv }),
.c(\FM_Display/ctrl_freq ),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_Display/ctrl_freq [0],\FM_Display/ctrl_freq [1]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[16] [2:1]),
.f({\FM_Display/_al_u105_o ,\FM_Display/_al_u80_o }),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[15] [2:1])); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(~0*~(~D*~C))*~(B*~A))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(~(~1*~(~D*~C))*~(B*~A))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001011),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b1011101110111011),
.INIT_LUTG1(16'b0010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b155|FM_HW/FM_Demodulation/reg5_b156 (
.a({_al_u228_o,\FM_HW/_al_u2193_o }),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\FM_HW/_al_u2198_o }),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\FM_HW/_al_u2203_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({RAMCODE_RDATA[6],\FM_HW/_al_u2208_o }),
.e({RAMDATA_RDATA[6],HADDR[11]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [5],\FM_HW/FM_Demodulation/dmd_data_filter[16] [6]}),
.f({_al_u415_o,\FM_HW/_al_u2209_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [5],\FM_HW/FM_Demodulation/dmd_data_filter[15] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(~D*~(C*B)))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101010000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b157|FM_HW/FM_Demodulation/reg5_b159 (
.a({open_n7471,\FM_HW/_al_u1204_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u1209_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u1214_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[10]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[16] [7],\FM_HW/FM_Demodulation/dmd_data_filter[16] [9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 ,\FM_HW/_al_u1215_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[15] [7],\FM_HW/FM_Demodulation/dmd_data_filter[15] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b15|FM_HW/FM_Demodulation/reg5_b154 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 }),
.c({\FM_HW/_al_u2204_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [5],\FM_HW/FM_Demodulation/dmd_data_filter[16] [4]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ,\FM_HW/_al_u3278_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [5],\FM_HW/FM_Demodulation/dmd_data_filter[15] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b0010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b161|FM_HW/FM_Demodulation/reg5_b162 (
.a({_al_u228_o,_al_u228_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({RAMCODE_RDATA[2],RAMCODE_RDATA[3]}),
.e({RAMDATA_RDATA[2],RAMDATA_RDATA[3]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [1],\FM_HW/FM_Demodulation/dmd_data_filter[17] [2]}),
.f({_al_u427_o,_al_u424_o}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [1],\FM_HW/FM_Demodulation/dmd_data_filter[16] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(A)*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(1*(~(A)*(~C*~B)*~(D)+~(A)*~((~C*~B))*D+A*~((~C*~B))*D))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111110000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b167|FM_HW/FM_Demodulation/reg5_b163 (
.a({\FM_Display/thousand_digit [0],\FM_Display/thousand_digit [0]}),
.b({\FM_Display/thousand_digit [1],\FM_Display/thousand_digit [1]}),
.c({\FM_Display/thousand_digit [2],\FM_Display/thousand_digit [2]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_Display/thousand_digit [3],\FM_Display/thousand_digit [3]}),
.e({open_n7524,\FM_Display/ctrl_freq [0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[17] [7],\FM_HW/FM_Demodulation/dmd_data_filter[17] [3]}),
.f({\FM_Display/_al_u74_o ,\FM_Display/_al_u214_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[16] [7],\FM_HW/FM_Demodulation/dmd_data_filter[16] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b170|FM_HW/FM_Demodulation/reg5_b180 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [0],\FM_HW/FM_Demodulation/dmd_data_filter[19] [0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [0],\FM_HW/FM_Demodulation/dmd_data_filter[18] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b171|FM_HW/FM_Demodulation/reg5_b177 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [1],\FM_HW/FM_Demodulation/dmd_data_filter[18] [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [1],\FM_HW/FM_Demodulation/dmd_data_filter[17] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b172|FM_HW/FM_Demodulation/reg5_b176 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [2],\FM_HW/FM_Demodulation/dmd_data_filter[18] [6]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [2],\FM_HW/FM_Demodulation/dmd_data_filter[17] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b173|FM_HW/FM_Demodulation/reg5_b175 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[18] [3],\FM_HW/FM_Demodulation/dmd_data_filter[18] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[17] [3],\FM_HW/FM_Demodulation/dmd_data_filter[17] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b174 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n7663,\FM_HW/FM_Demodulation/dmd_data_filter[18] [4]}),
.q({open_n7670,\FM_HW/FM_Demodulation/dmd_data_filter[17] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b179|FM_HW/FM_Demodulation/reg5_b178 (
.b({\FM_HW/_al_u630_o ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.c({FMDATA_WRITE[0],FMDATA_WADDR[4]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/_al_u628_o ,\FM_HW/_al_u628_o }),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[18] [9:8]),
.f({\FM_HW/and_n4_n1_o ,\FM_HW/FM_RSSI_SCAN/n25 }),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[17] [9:8])); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b17|FM_HW/FM_Demodulation/reg5_b16 (
.a({_al_u228_o,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 }),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 }),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\FM_HW/_al_u3278_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({RAMCODE_RDATA[1],HADDR[7]}),
.e({RAMDATA_RDATA[1],open_n7691}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[2] [7:6]),
.f({_al_u430_o,\FM_HW/_al_u3279_o }),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[1] [7:6])); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b181|FM_HW/FM_Demodulation/reg5_b182 (
.b({_al_u160_o,_al_u160_o}),
.c({FMDATA_RDATA[6],FMDATA_RDATA[7]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({_al_u230_o,_al_u230_o}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [1],\FM_HW/FM_Demodulation/dmd_data_filter[19] [2]}),
.f({_al_u416_o,_al_u413_o}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [1],\FM_HW/FM_Demodulation/dmd_data_filter[18] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b183|FM_HW/FM_Demodulation/reg5_b184 (
.b({_al_u160_o,_al_u160_o}),
.c({FMDATA_RDATA[1],FMDATA_RDATA[2]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({_al_u230_o,_al_u230_o}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [3],\FM_HW/FM_Demodulation/dmd_data_filter[19] [4]}),
.f({_al_u431_o,_al_u428_o}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [3],\FM_HW/FM_Demodulation/dmd_data_filter[18] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D*A)))"),
//.LUTF1("(B*~(C*~(D*A)))"),
//.LUTG0("(B*~(C*~(D*A)))"),
//.LUTG1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110000001100),
.INIT_LUTF1(16'b1000110000001100),
.INIT_LUTG0(16'b1000110000001100),
.INIT_LUTG1(16'b1000110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b186|FM_HW/FM_Demodulation/reg5_b187 (
.a({_al_u411_o,_al_u411_o}),
.b({_al_u427_o,_al_u424_o}),
.c({_al_u428_o,_al_u425_o}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({UART_RX_data[2],UART_RX_data[3]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [6],\FM_HW/FM_Demodulation/dmd_data_filter[19] [7]}),
.f({HRDATA[2],HRDATA[3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [6],\FM_HW/FM_Demodulation/dmd_data_filter[18] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(~D*B*~(C*~A))"),
//.LUT1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010001100),
.INIT_LUT1(16'b1000110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b188|FM_HW/FM_Demodulation/reg5_b189 (
.a({_al_u411_o,_al_u448_o}),
.b({_al_u415_o,_al_u228_o}),
.c({_al_u416_o,_al_u449_o}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({UART_RX_data[6],_al_u450_o}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[19] [8],\FM_HW/FM_Demodulation/dmd_data_filter[19] [9]}),
.f({HRDATA[6],HRDATA[0]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[18] [8],\FM_HW/FM_Demodulation/dmd_data_filter[18] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(~C*~(A*~(D*B)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(~C*~(A*~(D*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b0000110100000101),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b0000110100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b18|FM_HW/FM_Demodulation/reg5_b19 (
.a({\FM_Display/n89 ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\FM_Display/_al_u74_o ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.c({\FM_Display/sel_pad [2],RAMCODE_RDATA[0]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_Display/ctrl_freq [1],RAMDATA_RDATA[0]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[2] [8],\FM_HW/FM_Demodulation/dmd_data_filter[2] [9]}),
.f({\FM_Display/_al_u104_o ,_al_u450_o}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[1] [8],\FM_HW/FM_Demodulation/dmd_data_filter[1] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b190|FM_HW/FM_Demodulation/reg5_b193 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [0],\FM_HW/FM_Demodulation/dmd_data_filter[20] [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [0],\FM_HW/FM_Demodulation/dmd_data_filter[19] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b191 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n7836,\FM_HW/FM_Demodulation/dmd_data_filter[20] [1]}),
.q({open_n7843,\FM_HW/FM_Demodulation/dmd_data_filter[19] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b192|FM_HW/FM_Demodulation/reg5_b194 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [2],\FM_HW/FM_Demodulation/dmd_data_filter[20] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [2],\FM_HW/FM_Demodulation/dmd_data_filter[19] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101111100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111011111110111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b195|u_logic/_al_u3988 (
.a({open_n7872,\u_logic/Gm9iu6_lutinv }),
.b({open_n7873,\u_logic/Zl9iu6_lutinv }),
.c({HTRANS[1],HADDR[9]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,HADDR[7]}),
.e({open_n7874,HADDR[8]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [5],open_n7876}),
.f({_al_u396_o,\u_logic/_al_u3988_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [5],open_n7892})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*(A*~(C)*~(0)+A*C*~(0)+~(A)*C*0+A*C*0))"),
//.LUTF1("(~D*~(~B*~(C*~A)))"),
//.LUTG0("(~D*B*(A*~(C)*~(1)+A*C*~(1)+~(A)*C*1+A*C*1))"),
//.LUTG1("(~D*~(~B*~(C*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010001000),
.INIT_LUTF1(16'b0000000011011100),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000011011100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b196|FM_HW/FM_Demodulation/reg5_b197 (
.a({\u_logic/_al_u3988_o ,\u_logic/_al_u3977_o }),
.b({\u_logic/_al_u3991_o ,\u_logic/_al_u3980_o }),
.c({\u_logic/_al_u3977_o ,\u_logic/_al_u3983_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[6],\u_logic/_al_u3984_o }),
.e({open_n7893,HADDR[8]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[20] [6],\FM_HW/FM_Demodulation/dmd_data_filter[20] [7]}),
.f({\u_logic/_al_u3992_o ,\u_logic/_al_u3985_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[19] [6],\FM_HW/FM_Demodulation/dmd_data_filter[19] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(D*B*A*~(0*C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*B*A*~(1*C))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100000000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b200|FM_HW/FM_Demodulation/reg5_b201 (
.a({open_n7910,\u_logic/_al_u3258_o }),
.b({\u_logic/Fgpiu6 ,\u_logic/Tmqiu6 }),
.c({\u_logic/Rkbax6 ,\u_logic/St1iu6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/Qaqiu6 ,\u_logic/_al_u3263_o }),
.e({open_n7911,HRDATA[3]}),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_temp [7],\FM_HW/FM_Demodulation/demodulated_signal_temp [8]}),
.f({\u_logic/_al_u3278_o ,\u_logic/_al_u3264_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[20] [0],\FM_HW/FM_Demodulation/dmd_data_filter[20] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b203|FM_HW/FM_Demodulation/reg5_b202 (
.c({\u_logic/Vpkpw6 ,\u_logic/Dg2qw6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/Ws4iu6_lutinv ,\u_logic/Ws4iu6_lutinv }),
.mi(\FM_HW/FM_Demodulation/demodulated_signal_temp [10:9]),
.f({\u_logic/_al_u3076_o ,\u_logic/Bs4iu6 }),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[20] [3:2])); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b204|FM_HW/FM_Demodulation/reg5_b207 (
.a({\u_logic/_al_u2800_o ,open_n7950}),
.b({\u_logic/Tu4iu6 ,open_n7951}),
.c({\u_logic/O34iu6 ,\u_logic/Dg2qw6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/I4rpw6 ,\u_logic/Fgpiu6 }),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_temp [11],\FM_HW/FM_Demodulation/demodulated_signal_temp [14]}),
.f({\u_logic/_al_u2801_o ,\u_logic/Scbiu6 }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[20] [4],\FM_HW/FM_Demodulation/dmd_data_filter[20] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(0*C)*~(D*A))"),
//.LUTF1("(~(~C*A)*~(D)*~(B)+~(~C*A)*D*~(B)+~(~(~C*A))*D*B+~(~C*A)*D*B)"),
//.LUTG0("(B*~(1*C)*~(D*A))"),
//.LUTG1("(~(~C*A)*~(D)*~(B)+~(~C*A)*D*~(B)+~(~(~C*A))*D*B+~(~C*A)*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011001100),
.INIT_LUTF1(16'b1111110100110001),
.INIT_LUTG0(16'b0000010000001100),
.INIT_LUTG1(16'b1111110100110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b208|u_logic/_al_u3514 (
.a({\u_logic/E18iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/W7cow6 ,\u_logic/_al_u3513_o }),
.c({\u_logic/_al_u2968_o ,\u_logic/K0qiu6_lutinv }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/I7cow6 ,HRDATA[1]}),
.e({open_n7970,\u_logic/Isjpw6 }),
.mi({\FM_HW/FM_Demodulation/demodulated_signal_temp [15],open_n7972}),
.f({\u_logic/_al_u2969_o ,\u_logic/_al_u3514_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[20] [8],open_n7988})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b27|FM_HW/FM_Demodulation/reg5_b26 (
.a({open_n7989,\FM_HW/_al_u651_o }),
.b({open_n7990,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.c({\FM_HW/FM_Dump_Data_IQ/n34 ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/_al_u553_o ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.e({open_n7991,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[3] [7:6]),
.f({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/_al_u652_o }),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[2] [7:6])); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b30|FM_HW/FM_Demodulation/reg5_b32 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[4] [0],\FM_HW/FM_Demodulation/dmd_data_filter[4] [2]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[3] [0],\FM_HW/FM_Demodulation/dmd_data_filter[3] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b39 (
.b({open_n8038,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({open_n8039,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n8040,\FM_HW/_al_u3716_o }),
.mi({open_n8044,\FM_HW/FM_Demodulation/dmd_data_filter[4] [9]}),
.f({open_n8057,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.q({open_n8061,\FM_HW/FM_Demodulation/dmd_data_filter[3] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b40|FM_HW/FM_Demodulation/reg5_b44 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [0],\FM_HW/FM_Demodulation/dmd_data_filter[5] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [0],\FM_HW/FM_Demodulation/dmd_data_filter[4] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b41|FM_HW/FM_Demodulation/reg5_b43 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [1],\FM_HW/FM_Demodulation/dmd_data_filter[5] [3]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [1],\FM_HW/FM_Demodulation/dmd_data_filter[4] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b42 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({open_n8125,\FM_HW/FM_Demodulation/dmd_data_filter[5] [2]}),
.q({open_n8143,\FM_HW/FM_Demodulation/dmd_data_filter[4] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b45|FM_HW/FM_Demodulation/reg5_b46 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 ,HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[5] [5],\FM_HW/FM_Demodulation/dmd_data_filter[5] [6]}),
.f({\FM_HW/_al_u1969_o ,\FM_HW/_al_u1504_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[4] [5],\FM_HW/FM_Demodulation/dmd_data_filter[4] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b49 (
.b({open_n8164,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({open_n8165,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n8166,\FM_HW/_al_u3716_o }),
.mi({open_n8177,\FM_HW/FM_Demodulation/dmd_data_filter[5] [9]}),
.f({open_n8179,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.q({open_n8183,\FM_HW/FM_Demodulation/dmd_data_filter[4] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(~(~0*~B)*C)*~(D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(~(~1*~B)*C)*~(D*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000010100001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b58|FM_HW/FM_Demodulation/reg5_b50 (
.a({open_n8184,\FM_HW/_al_u2994_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2999_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3005_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/_al_u3008_o }),
.e({open_n8185,HADDR[9]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[6] [8],\FM_HW/FM_Demodulation/dmd_data_filter[6] [0]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[5] [8],\FM_HW/FM_Demodulation/dmd_data_filter[5] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b59 (
.b({open_n8204,\FM_HW/_al_u3454_o }),
.c({open_n8205,\FM_HW/_al_u653_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n8206,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.mi({open_n8217,\FM_HW/FM_Demodulation/dmd_data_filter[6] [9]}),
.f({open_n8219,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
.q({open_n8223,\FM_HW/FM_Demodulation/dmd_data_filter[5] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b60|FM_HW/FM_Demodulation/reg5_b69 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [0],\FM_HW/FM_Demodulation/dmd_data_filter[7] [9]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [0],\FM_HW/FM_Demodulation/dmd_data_filter[6] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b61|FM_HW/FM_Demodulation/reg5_b68 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [1],\FM_HW/FM_Demodulation/dmd_data_filter[7] [8]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [1],\FM_HW/FM_Demodulation/dmd_data_filter[6] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b62|FM_HW/FM_Demodulation/reg5_b67 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [2],\FM_HW/FM_Demodulation/dmd_data_filter[7] [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [2],\FM_HW/FM_Demodulation/dmd_data_filter[6] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b63|FM_HW/FM_Demodulation/reg5_b66 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [3],\FM_HW/FM_Demodulation/dmd_data_filter[7] [6]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [3],\FM_HW/FM_Demodulation/dmd_data_filter[6] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b64|FM_HW/FM_Demodulation/reg5_b65 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[7] [4],\FM_HW/FM_Demodulation/dmd_data_filter[7] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[6] [4],\FM_HW/FM_Demodulation/dmd_data_filter[6] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~D))"),
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000011),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b76 (
.b({open_n8354,\FM_HW/_al_u668_o }),
.c({open_n8355,HADDR[10]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({open_n8356,\FM_HW/_al_u1538_o }),
.mi({open_n8367,\FM_HW/FM_Demodulation/dmd_data_filter[8] [6]}),
.f({open_n8369,\FM_HW/_al_u1539_o }),
.q({open_n8373,\FM_HW/FM_Demodulation/dmd_data_filter[7] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b77|FM_HW/FM_Demodulation/reg5_b80 (
.a({open_n8374,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1067_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [7],\FM_HW/FM_Demodulation/dmd_data_filter[9] [0]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 ,\FM_HW/_al_u1068_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [7],\FM_HW/FM_Demodulation/dmd_data_filter[8] [0]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b78|FM_HW/FM_Demodulation/reg5_b88 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u653_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [8],\FM_HW/FM_Demodulation/dmd_data_filter[9] [8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [8],\FM_HW/FM_Demodulation/dmd_data_filter[8] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b79|FM_HW/FM_Demodulation/reg5_b85 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ,\FM_HW/_al_u1947_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u822_o ,HADDR[7]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 }),
.e({open_n8409,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 }),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[8] [9],\FM_HW/FM_Demodulation/dmd_data_filter[9] [5]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 ,\FM_HW/_al_u1948_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[7] [9],\FM_HW/FM_Demodulation/dmd_data_filter[8] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b81|FM_HW/FM_Demodulation/reg5_b82 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 ,HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [1],\FM_HW/FM_Demodulation/dmd_data_filter[9] [2]}),
.f({\FM_HW/_al_u1947_o ,\FM_HW/_al_u1537_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [1],\FM_HW/FM_Demodulation/dmd_data_filter[8] [2]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b83|FM_HW/FM_Demodulation/reg5_b86 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [3],\FM_HW/FM_Demodulation/dmd_data_filter[9] [6]}),
.f({\FM_HW/_al_u822_o ,\FM_HW/_al_u1067_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [3],\FM_HW/FM_Demodulation/dmd_data_filter[8] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b84|FM_HW/FM_Demodulation/reg5_b89 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 }),
.c({\FM_HW/_al_u3102_o ,\FM_HW/_al_u1537_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [4],\FM_HW/FM_Demodulation/dmd_data_filter[9] [9]}),
.f({\FM_HW/_al_u3103_o ,\FM_HW/_al_u1538_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [4],\FM_HW/FM_Demodulation/dmd_data_filter[8] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b87|FM_HW/_al_u2996 (
.a({open_n8480,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u2995_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[9] [7],open_n8484}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 ,\FM_HW/_al_u2996_o }),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[8] [7],open_n8500})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b90|FM_HW/FM_Demodulation/reg5_b97 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [0],\FM_HW/FM_Demodulation/dmd_data_filter[10] [7]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [0],\FM_HW/FM_Demodulation/dmd_data_filter[9] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b91|FM_HW/FM_Demodulation/reg5_b96 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [1],\FM_HW/FM_Demodulation/dmd_data_filter[10] [6]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [1],\FM_HW/FM_Demodulation/dmd_data_filter[9] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b92|FM_HW/FM_Demodulation/reg5_b95 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [2],\FM_HW/FM_Demodulation/dmd_data_filter[10] [5]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [2],\FM_HW/FM_Demodulation/dmd_data_filter[9] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg5_b93|FM_HW/FM_Demodulation/reg5_b94 (
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi({\FM_HW/FM_Demodulation/dmd_data_filter[10] [3],\FM_HW/FM_Demodulation/dmd_data_filter[10] [4]}),
.q({\FM_HW/FM_Demodulation/dmd_data_filter[9] [3],\FM_HW/FM_Demodulation/dmd_data_filter[9] [4]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg6_b0|FM_HW/_al_u3196 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ,\FM_HW/_al_u3195_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2754_o ,HADDR[7]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 }),
.e({open_n8606,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 }),
.mi({\FM_HW/FM_Demodulation/dmd_data_filtered [13],open_n8608}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u2755_o ,\FM_HW/_al_u3196_o }),
.q({\FM_HW/demodulated_signal_downsample [0],open_n8623})); // ../rtl/demodulation/FM_Demodulation.v(146)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTG0("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTG0(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/reg6_b4 (
.b({open_n8626,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({open_n8627,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({open_n8629,\FM_HW/_al_u3750_o }),
.mi({open_n8633,\FM_HW/FM_Demodulation/dmd_data_filtered [17]}),
.sr(RSTn_pad),
.f({open_n8645,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.q({open_n8649,\FM_HW/demodulated_signal_downsample [4]})); // ../rtl/demodulation/FM_Demodulation.v(146)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b1|FM_HW/FM_Demodulation/reg7_b3 (
.a({\u_logic/M1xiu6 ,\u_logic/Vuciu6 }),
.b({\u_logic/Qaqiu6 ,\u_logic/Hw8ax6 }),
.c({\u_logic/_al_u3232_o ,\u_logic/Sqwpw6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/_al_u3493_o ,\u_logic/Zm8ax6 }),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [1],\FM_HW/FM_Demodulation/IdataN_1 [3]}),
.f({\u_logic/_al_u3494_o ,\u_logic/_al_u407_o }),
.q({\FM_HW/FM_Demodulation/IdataN [1],\FM_HW/FM_Demodulation/IdataN [3]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b4|FM_HW/FM_Demodulation/reg7_b0 (
.a({open_n8664,\u_logic/Ffqiu6 }),
.b({open_n8665,\u_logic/D43qw6 }),
.c({\u_logic/Nkwiu6 ,\u_logic/Hw8ax6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Vowiu6 ,\u_logic/Pe7ax6 }),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [4],\FM_HW/FM_Demodulation/IdataN_1 [0]}),
.f({\u_logic/Ws4iu6_lutinv ,\u_logic/_al_u3262_o }),
.q({\FM_HW/FM_Demodulation/IdataN [4],\FM_HW/FM_Demodulation/IdataN [0]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b5|FM_HW/FM_Demodulation/reg7_b6 (
.c({\u_logic/Cpwiu6 ,\u_logic/Cvciu6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Vowiu6 ,\u_logic/_al_u106_o }),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [5],\FM_HW/FM_Demodulation/IdataN_1 [6]}),
.f({\u_logic/Ve7iu6 ,\u_logic/Yc7iu6 }),
.q({\FM_HW/FM_Demodulation/IdataN [5],\FM_HW/FM_Demodulation/IdataN [6]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/reg7_b7|FM_HW/FM_Demodulation/reg7_b2 (
.b({open_n8708,\u_logic/St1iu6 }),
.c({\u_logic/Nkwiu6 ,HRDATA[2]}),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Vuciu6 ,\u_logic/_al_u3283_o }),
.mi({\FM_HW/FM_Demodulation/IdataN_1 [7],\FM_HW/FM_Demodulation/IdataN_1 [2]}),
.f({\u_logic/Eg7iu6 ,\u_logic/_al_u3284_o }),
.q({\FM_HW/FM_Demodulation/IdataN [7],\FM_HW/FM_Demodulation/IdataN [2]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin (
.a({\FM_HW/FM_Demodulation/n13 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n14 [0],open_n8727}),
.fco(\FM_HW/FM_Demodulation/sub0_2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/sub0_2/u2|sub0_2/u1 (
.a(\FM_HW/FM_Demodulation/n13 [2:1]),
.b(\FM_HW/FM_Demodulation/n14 [2:1]),
.fci(\FM_HW/FM_Demodulation/sub0_2/c1 ),
.fco(\FM_HW/FM_Demodulation/sub0_2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/sub0_2/u4|sub0_2/u3 (
.a(\FM_HW/FM_Demodulation/n13 [4:3]),
.b(\FM_HW/FM_Demodulation/n14 [4:3]),
.fci(\FM_HW/FM_Demodulation/sub0_2/c3 ),
.fco(\FM_HW/FM_Demodulation/sub0_2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/sub0_2/u6|sub0_2/u5 (
.a(\FM_HW/FM_Demodulation/n13 [6:5]),
.b(\FM_HW/FM_Demodulation/n14 [6:5]),
.fci(\FM_HW/FM_Demodulation/sub0_2/c5 ),
.fco(\FM_HW/FM_Demodulation/sub0_2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/sub0_2/u0|sub0_2/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/sub0_2/ucout|reg3_b15 (
.a({open_n8825,\FM_HW/FM_Demodulation/n13 [15]}),
.b({open_n8826,\FM_HW/FM_Demodulation/n14 [15]}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.fci(\FM_HW/FM_Demodulation/sub0_2/c15 ),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/sub0_2_co ,open_n8843}),
.q({open_n8847,\FM_HW/FM_Demodulation/demodulated_signal_temp [15]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u1/u0|u1/ucin (
.a({\FM_HW/FM_Demodulation/n17 [3],1'b0}),
.b({\FM_HW/FM_Demodulation/n64 [3],open_n8848}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[17] [9:8]),
.f({\FM_HW/FM_Demodulation/n2 [0],open_n8864}),
.fco(\FM_HW/FM_Demodulation/u1/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[16] [9:8]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/u10|u1/u9 (
.a(\FM_HW/FM_Demodulation/n17 [13:12]),
.b(\FM_HW/FM_Demodulation/n64 [13:12]),
.fci(\FM_HW/FM_Demodulation/u1/c9 ),
.f(\FM_HW/FM_Demodulation/n2 [10:9]),
.fco(\FM_HW/FM_Demodulation/u1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/u2|u1/u1 (
.a(\FM_HW/FM_Demodulation/n17 [5:4]),
.b(\FM_HW/FM_Demodulation/n64 [5:4]),
.fci(\FM_HW/FM_Demodulation/u1/c1 ),
.f(\FM_HW/FM_Demodulation/n2 [2:1]),
.fco(\FM_HW/FM_Demodulation/u1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/u4|u1/u3 (
.a(\FM_HW/FM_Demodulation/n17 [7:6]),
.b(\FM_HW/FM_Demodulation/n64 [7:6]),
.fci(\FM_HW/FM_Demodulation/u1/c3 ),
.f(\FM_HW/FM_Demodulation/n2 [4:3]),
.fco(\FM_HW/FM_Demodulation/u1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/u6|u1/u5 (
.a(\FM_HW/FM_Demodulation/n17 [9:8]),
.b(\FM_HW/FM_Demodulation/n64 [9:8]),
.fci(\FM_HW/FM_Demodulation/u1/c5 ),
.f(\FM_HW/FM_Demodulation/n2 [6:5]),
.fco(\FM_HW/FM_Demodulation/u1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/u8|u1/u7 (
.a(\FM_HW/FM_Demodulation/n17 [11:10]),
.b(\FM_HW/FM_Demodulation/n64 [11:10]),
.fci(\FM_HW/FM_Demodulation/u1/c7 ),
.f(\FM_HW/FM_Demodulation/n2 [8:7]),
.fco(\FM_HW/FM_Demodulation/u1/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u1/u0|u1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u1/ucout|u1/u11 (
.a({open_n8977,\FM_HW/FM_Demodulation/n17 [14]}),
.b({open_n8978,\FM_HW/FM_Demodulation/n64 [14]}),
.fci(\FM_HW/FM_Demodulation/u1/c11 ),
.f(\FM_HW/FM_Demodulation/n2 [12:11]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u10/ucin_al_u54"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u10/u11_al_u57 (
.a({\FM_HW/FM_Demodulation/n50 [13],\FM_HW/FM_Demodulation/n50 [11]}),
.b({\FM_HW/FM_Demodulation/n50 [14],\FM_HW/FM_Demodulation/n50 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n48 [13],\FM_HW/FM_Demodulation/n48 [11]}),
.e({\FM_HW/FM_Demodulation/n48 [14],\FM_HW/FM_Demodulation/n48 [12]}),
.fci(\FM_HW/FM_Demodulation/u10/c11 ),
.f({\FM_HW/FM_Demodulation/n12 [13],\FM_HW/FM_Demodulation/n12 [11]}),
.fco(\FM_HW/FM_Demodulation/u10/c15 ),
.fx({\FM_HW/FM_Demodulation/n12 [14],\FM_HW/FM_Demodulation/n12 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u10/ucin_al_u54"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u10/u15_al_u58 (
.a({\FM_HW/FM_Demodulation/n50 [17],\FM_HW/FM_Demodulation/n50 [15]}),
.b({open_n9020,\FM_HW/FM_Demodulation/n50 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n48 [17],\FM_HW/FM_Demodulation/n48 [15]}),
.e({open_n9023,\FM_HW/FM_Demodulation/n48 [16]}),
.fci(\FM_HW/FM_Demodulation/u10/c15 ),
.f({\FM_HW/FM_Demodulation/n12 [17],\FM_HW/FM_Demodulation/n12 [15]}),
.fx({\FM_HW/FM_Demodulation/n12 [18],\FM_HW/FM_Demodulation/n12 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u10/ucin_al_u54"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u10/u3_al_u55 (
.a({\FM_HW/FM_Demodulation/n50 [5],\FM_HW/FM_Demodulation/n50 [3]}),
.b({\FM_HW/FM_Demodulation/n50 [6],\FM_HW/FM_Demodulation/n50 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n48 [5],\FM_HW/FM_Demodulation/n48 [3]}),
.e({\FM_HW/FM_Demodulation/n48 [6],\FM_HW/FM_Demodulation/n48 [4]}),
.fci(\FM_HW/FM_Demodulation/u10/c3 ),
.f({\FM_HW/FM_Demodulation/n12 [5],\FM_HW/FM_Demodulation/n12 [3]}),
.fco(\FM_HW/FM_Demodulation/u10/c7 ),
.fx({\FM_HW/FM_Demodulation/n12 [6],\FM_HW/FM_Demodulation/n12 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u10/ucin_al_u54"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u10/u7_al_u56 (
.a({\FM_HW/FM_Demodulation/n50 [9],\FM_HW/FM_Demodulation/n50 [7]}),
.b({\FM_HW/FM_Demodulation/n50 [10],\FM_HW/FM_Demodulation/n50 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n48 [9],\FM_HW/FM_Demodulation/n48 [7]}),
.e({\FM_HW/FM_Demodulation/n48 [10],\FM_HW/FM_Demodulation/n48 [8]}),
.fci(\FM_HW/FM_Demodulation/u10/c7 ),
.f({\FM_HW/FM_Demodulation/n12 [9],\FM_HW/FM_Demodulation/n12 [7]}),
.fco(\FM_HW/FM_Demodulation/u10/c11 ),
.fx({\FM_HW/FM_Demodulation/n12 [10],\FM_HW/FM_Demodulation/n12 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u10/ucin_al_u54"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u10/ucin_al_u54 (
.a({\FM_HW/FM_Demodulation/n42 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n42 [2],\FM_HW/FM_Demodulation/n17 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n39 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n39 [2],\FM_HW/FM_Demodulation/n64 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[6] [7:6]),
.f({\FM_HW/FM_Demodulation/n12 [1],open_n9090}),
.fco(\FM_HW/FM_Demodulation/u10/c3 ),
.fx({\FM_HW/FM_Demodulation/n12 [2],\FM_HW/FM_Demodulation/n12 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[5] [7:6]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u11/ucin_al_u59"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u11/u11_al_u62 (
.a({\FM_HW/FM_Demodulation/n52 [13],\FM_HW/FM_Demodulation/n52 [11]}),
.b({\FM_HW/FM_Demodulation/n52 [14],\FM_HW/FM_Demodulation/n52 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n54 [13],\FM_HW/FM_Demodulation/n54 [11]}),
.e({\FM_HW/FM_Demodulation/n54 [14],\FM_HW/FM_Demodulation/n54 [12]}),
.fci(\FM_HW/FM_Demodulation/u11/c11 ),
.f({\FM_HW/FM_Demodulation/n16 [13],\FM_HW/FM_Demodulation/n16 [11]}),
.fco(\FM_HW/FM_Demodulation/u11/c15 ),
.fx({\FM_HW/FM_Demodulation/n16 [14],\FM_HW/FM_Demodulation/n16 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u11/ucin_al_u59"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u11/u15_al_u63 (
.a({\FM_HW/FM_Demodulation/n52 [17],\FM_HW/FM_Demodulation/n52 [15]}),
.b({open_n9109,\FM_HW/FM_Demodulation/n52 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n54 [17],\FM_HW/FM_Demodulation/n54 [15]}),
.e({open_n9112,\FM_HW/FM_Demodulation/n54 [16]}),
.fci(\FM_HW/FM_Demodulation/u11/c15 ),
.f({\FM_HW/FM_Demodulation/n16 [17],\FM_HW/FM_Demodulation/n16 [15]}),
.fx({\FM_HW/FM_Demodulation/n16 [18],\FM_HW/FM_Demodulation/n16 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u11/ucin_al_u59"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u11/u3_al_u60 (
.a({\FM_HW/FM_Demodulation/n52 [5],\FM_HW/FM_Demodulation/n52 [3]}),
.b({\FM_HW/FM_Demodulation/n52 [6],\FM_HW/FM_Demodulation/n52 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n54 [5],\FM_HW/FM_Demodulation/n54 [3]}),
.e({\FM_HW/FM_Demodulation/n54 [6],\FM_HW/FM_Demodulation/n54 [4]}),
.fci(\FM_HW/FM_Demodulation/u11/c3 ),
.f({\FM_HW/FM_Demodulation/n16 [5],\FM_HW/FM_Demodulation/n16 [3]}),
.fco(\FM_HW/FM_Demodulation/u11/c7 ),
.fx({\FM_HW/FM_Demodulation/n16 [6],\FM_HW/FM_Demodulation/n16 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u11/ucin_al_u59"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u11/u7_al_u61 (
.a({\FM_HW/FM_Demodulation/n52 [9],\FM_HW/FM_Demodulation/n52 [7]}),
.b({\FM_HW/FM_Demodulation/n52 [10],\FM_HW/FM_Demodulation/n52 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n54 [9],\FM_HW/FM_Demodulation/n54 [7]}),
.e({\FM_HW/FM_Demodulation/n54 [10],\FM_HW/FM_Demodulation/n54 [8]}),
.fci(\FM_HW/FM_Demodulation/u11/c7 ),
.f({\FM_HW/FM_Demodulation/n16 [9],\FM_HW/FM_Demodulation/n16 [7]}),
.fco(\FM_HW/FM_Demodulation/u11/c11 ),
.fx({\FM_HW/FM_Demodulation/n16 [10],\FM_HW/FM_Demodulation/n16 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u11/ucin_al_u59"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u11/ucin_al_u59 (
.a({\FM_HW/FM_Demodulation/n46 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n46 [2],\FM_HW/FM_Demodulation/n21 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n48 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n48 [2],\FM_HW/FM_Demodulation/n60 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[10] [9:8]),
.f({\FM_HW/FM_Demodulation/n16 [1],open_n9179}),
.fco(\FM_HW/FM_Demodulation/u11/c3 ),
.fx({\FM_HW/FM_Demodulation/n16 [2],\FM_HW/FM_Demodulation/n16 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[9] [9:8]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u12/ucin_al_u64"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u12/u11_al_u67 (
.a({\FM_HW/FM_Demodulation/n44 [13],\FM_HW/FM_Demodulation/n44 [11]}),
.b({\FM_HW/FM_Demodulation/n44 [14],\FM_HW/FM_Demodulation/n44 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n10 [12],\FM_HW/FM_Demodulation/n10 [10]}),
.e({\FM_HW/FM_Demodulation/n10 [13],\FM_HW/FM_Demodulation/n10 [11]}),
.fci(\FM_HW/FM_Demodulation/u12/c11 ),
.f({\FM_HW/FM_Demodulation/n19 [13],\FM_HW/FM_Demodulation/n19 [11]}),
.fco(\FM_HW/FM_Demodulation/u12/c15 ),
.fx({\FM_HW/FM_Demodulation/n19 [14],\FM_HW/FM_Demodulation/n19 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u12/ucin_al_u64"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u12/u15_al_u68 (
.a({\FM_HW/FM_Demodulation/n44 [17],\FM_HW/FM_Demodulation/n44 [15]}),
.b({open_n9198,\FM_HW/FM_Demodulation/n44 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n30 [17],\FM_HW/FM_Demodulation/n10 [14]}),
.e({open_n9201,\FM_HW/FM_Demodulation/n24 [16]}),
.fci(\FM_HW/FM_Demodulation/u12/c15 ),
.f({\FM_HW/FM_Demodulation/n19 [17],\FM_HW/FM_Demodulation/n19 [15]}),
.fx({\FM_HW/FM_Demodulation/n19 [18],\FM_HW/FM_Demodulation/n19 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u12/ucin_al_u64"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u12/u3_al_u65 (
.a({\FM_HW/FM_Demodulation/n44 [5],\FM_HW/FM_Demodulation/n44 [3]}),
.b({\FM_HW/FM_Demodulation/n44 [6],\FM_HW/FM_Demodulation/n44 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n10 [4],\FM_HW/FM_Demodulation/n10 [2]}),
.e({\FM_HW/FM_Demodulation/n10 [5],\FM_HW/FM_Demodulation/n10 [3]}),
.fci(\FM_HW/FM_Demodulation/u12/c3 ),
.f({\FM_HW/FM_Demodulation/n19 [5],\FM_HW/FM_Demodulation/n19 [3]}),
.fco(\FM_HW/FM_Demodulation/u12/c7 ),
.fx({\FM_HW/FM_Demodulation/n19 [6],\FM_HW/FM_Demodulation/n19 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u12/ucin_al_u64"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u12/u7_al_u66 (
.a({\FM_HW/FM_Demodulation/n44 [9],\FM_HW/FM_Demodulation/n44 [7]}),
.b({\FM_HW/FM_Demodulation/n44 [10],\FM_HW/FM_Demodulation/n44 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n10 [8],\FM_HW/FM_Demodulation/n10 [6]}),
.e({\FM_HW/FM_Demodulation/n10 [9],\FM_HW/FM_Demodulation/n10 [7]}),
.fci(\FM_HW/FM_Demodulation/u12/c7 ),
.f({\FM_HW/FM_Demodulation/n19 [9],\FM_HW/FM_Demodulation/n19 [7]}),
.fco(\FM_HW/FM_Demodulation/u12/c11 ),
.fx({\FM_HW/FM_Demodulation/n19 [10],\FM_HW/FM_Demodulation/n19 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u12/ucin_al_u64"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u12/ucin_al_u64 (
.a({\FM_HW/FM_Demodulation/n50 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n50 [2],\FM_HW/FM_Demodulation/n24 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n52 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n52 [2],\FM_HW/FM_Demodulation/n58 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[6] [5:4]),
.f({\FM_HW/FM_Demodulation/n19 [1],open_n9268}),
.fco(\FM_HW/FM_Demodulation/u12/c3 ),
.fx({\FM_HW/FM_Demodulation/n19 [2],\FM_HW/FM_Demodulation/n19 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[5] [5:4]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u13/u0|u13/ucin (
.a({\FM_HW/FM_Demodulation/n33 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n36 [0],open_n9269}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[6] [3:2]),
.f({\FM_HW/FM_Demodulation/n20 [0],open_n9285}),
.fco(\FM_HW/FM_Demodulation/u13/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[5] [3:2]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u10|u13/u9 (
.a(\FM_HW/FM_Demodulation/n12 [10:9]),
.b(\FM_HW/FM_Demodulation/n16 [10:9]),
.fci(\FM_HW/FM_Demodulation/u13/c9 ),
.f(\FM_HW/FM_Demodulation/n20 [10:9]),
.fco(\FM_HW/FM_Demodulation/u13/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u12|u13/u11 (
.a(\FM_HW/FM_Demodulation/n12 [12:11]),
.b(\FM_HW/FM_Demodulation/n16 [12:11]),
.fci(\FM_HW/FM_Demodulation/u13/c11 ),
.f(\FM_HW/FM_Demodulation/n20 [12:11]),
.fco(\FM_HW/FM_Demodulation/u13/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u14|u13/u13 (
.a(\FM_HW/FM_Demodulation/n12 [14:13]),
.b(\FM_HW/FM_Demodulation/n16 [14:13]),
.fci(\FM_HW/FM_Demodulation/u13/c13 ),
.f(\FM_HW/FM_Demodulation/n20 [14:13]),
.fco(\FM_HW/FM_Demodulation/u13/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u16|u13/u15 (
.a(\FM_HW/FM_Demodulation/n12 [16:15]),
.b({\FM_HW/FM_Demodulation/n10 [15],\FM_HW/FM_Demodulation/n16 [15]}),
.fci(\FM_HW/FM_Demodulation/u13/c15 ),
.f(\FM_HW/FM_Demodulation/n20 [16:15]),
.fco(\FM_HW/FM_Demodulation/u13/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u2|u13/u1 (
.a(\FM_HW/FM_Demodulation/n44 [2:1]),
.b(\FM_HW/FM_Demodulation/n10 [1:0]),
.fci(\FM_HW/FM_Demodulation/u13/c1 ),
.f(\FM_HW/FM_Demodulation/n20 [2:1]),
.fco(\FM_HW/FM_Demodulation/u13/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u4|u13/u3 (
.a(\FM_HW/FM_Demodulation/n12 [4:3]),
.b(\FM_HW/FM_Demodulation/n16 [4:3]),
.fci(\FM_HW/FM_Demodulation/u13/c3 ),
.f(\FM_HW/FM_Demodulation/n20 [4:3]),
.fco(\FM_HW/FM_Demodulation/u13/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u6|u13/u5 (
.a(\FM_HW/FM_Demodulation/n12 [6:5]),
.b(\FM_HW/FM_Demodulation/n16 [6:5]),
.fci(\FM_HW/FM_Demodulation/u13/c5 ),
.f(\FM_HW/FM_Demodulation/n20 [6:5]),
.fco(\FM_HW/FM_Demodulation/u13/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/u8|u13/u7 (
.a(\FM_HW/FM_Demodulation/n12 [8:7]),
.b(\FM_HW/FM_Demodulation/n16 [8:7]),
.fci(\FM_HW/FM_Demodulation/u13/c7 ),
.f(\FM_HW/FM_Demodulation/n20 [8:7]),
.fco(\FM_HW/FM_Demodulation/u13/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u13/u0|u13/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u13/ucout|u13/u17 (
.a({open_n9464,\FM_HW/FM_Demodulation/n33 [17]}),
.b({open_n9465,\FM_HW/FM_Demodulation/n36 [17]}),
.fci(\FM_HW/FM_Demodulation/u13/c17 ),
.f(\FM_HW/FM_Demodulation/n20 [18:17]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/u14/u0|u14/ucin (
.a({\FM_HW/FM_Demodulation/n39 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n48 [0],open_n9489}),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filtered [19:18]),
.sr(RSTn_pad),
.f({\FM_HW/FM_Demodulation/n22 [0],open_n9505}),
.fco(\FM_HW/FM_Demodulation/u14/c1 ),
.q(\FM_HW/demodulated_signal_downsample [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u10|u14/u9 (
.a(\FM_HW/FM_Demodulation/n2 [7:6]),
.b(\FM_HW/FM_Demodulation/n3 [7:6]),
.fci(\FM_HW/FM_Demodulation/u14/c9 ),
.f(\FM_HW/FM_Demodulation/n22 [10:9]),
.fco(\FM_HW/FM_Demodulation/u14/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u12|u14/u11 (
.a(\FM_HW/FM_Demodulation/n2 [9:8]),
.b(\FM_HW/FM_Demodulation/n3 [9:8]),
.fci(\FM_HW/FM_Demodulation/u14/c11 ),
.f(\FM_HW/FM_Demodulation/n22 [12:11]),
.fco(\FM_HW/FM_Demodulation/u14/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u14|u14/u13 (
.a(\FM_HW/FM_Demodulation/n2 [11:10]),
.b(\FM_HW/FM_Demodulation/n3 [11:10]),
.fci(\FM_HW/FM_Demodulation/u14/c13 ),
.f(\FM_HW/FM_Demodulation/n22 [14:13]),
.fco(\FM_HW/FM_Demodulation/u14/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u16|u14/u15 (
.a({\FM_HW/FM_Demodulation/n16 [16],\FM_HW/FM_Demodulation/n2 [12]}),
.b(\FM_HW/FM_Demodulation/n3 [13:12]),
.fci(\FM_HW/FM_Demodulation/u14/c15 ),
.f(\FM_HW/FM_Demodulation/n22 [16:15]),
.fco(\FM_HW/FM_Demodulation/u14/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u2|u14/u1 (
.a(\FM_HW/FM_Demodulation/n12 [2:1]),
.b(\FM_HW/FM_Demodulation/n16 [2:1]),
.fci(\FM_HW/FM_Demodulation/u14/c1 ),
.f(\FM_HW/FM_Demodulation/n22 [2:1]),
.fco(\FM_HW/FM_Demodulation/u14/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u4|u14/u3 (
.a(\FM_HW/FM_Demodulation/n2 [1:0]),
.b(\FM_HW/FM_Demodulation/n3 [1:0]),
.fci(\FM_HW/FM_Demodulation/u14/c3 ),
.f(\FM_HW/FM_Demodulation/n22 [4:3]),
.fco(\FM_HW/FM_Demodulation/u14/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u6|u14/u5 (
.a(\FM_HW/FM_Demodulation/n2 [3:2]),
.b(\FM_HW/FM_Demodulation/n3 [3:2]),
.fci(\FM_HW/FM_Demodulation/u14/c5 ),
.f(\FM_HW/FM_Demodulation/n22 [6:5]),
.fco(\FM_HW/FM_Demodulation/u14/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/u8|u14/u7 (
.a(\FM_HW/FM_Demodulation/n2 [5:4]),
.b(\FM_HW/FM_Demodulation/n3 [5:4]),
.fci(\FM_HW/FM_Demodulation/u14/c7 ),
.f(\FM_HW/FM_Demodulation/n22 [8:7]),
.fco(\FM_HW/FM_Demodulation/u14/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u14/u0|u14/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u14/ucout|u14/u17 (
.a({open_n9684,\FM_HW/FM_Demodulation/n10 [16]}),
.b({open_n9685,\FM_HW/FM_Demodulation/n12 [17]}),
.fci(\FM_HW/FM_Demodulation/u14/c17 ),
.f(\FM_HW/FM_Demodulation/n22 [18:17]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/u11_al_u33 (
.a({\FM_HW/FM_Demodulation/n6 [12],\FM_HW/FM_Demodulation/n6 [10]}),
.b({\FM_HW/FM_Demodulation/n6 [13],\FM_HW/FM_Demodulation/n6 [11]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [12],\FM_HW/FM_Demodulation/n5 [10]}),
.e({\FM_HW/FM_Demodulation/n5 [13],\FM_HW/FM_Demodulation/n5 [11]}),
.fci(\FM_HW/FM_Demodulation/u15/c11 ),
.f({\FM_HW/FM_Demodulation/n23 [13],\FM_HW/FM_Demodulation/n23 [11]}),
.fco(\FM_HW/FM_Demodulation/u15/c15 ),
.fx({\FM_HW/FM_Demodulation/n23 [14],\FM_HW/FM_Demodulation/n23 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/u15_al_u34 (
.a({\FM_HW/FM_Demodulation/n6 [16],\FM_HW/FM_Demodulation/n6 [14]}),
.b({\FM_HW/FM_Demodulation/n44 [18],\FM_HW/FM_Demodulation/n6 [15]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n16 [17],\FM_HW/FM_Demodulation/n5 [14]}),
.e({\FM_HW/FM_Demodulation/n10 [17],\FM_HW/FM_Demodulation/n5 [15]}),
.fci(\FM_HW/FM_Demodulation/u15/c15 ),
.f({\FM_HW/FM_Demodulation/n23 [17],\FM_HW/FM_Demodulation/n23 [15]}),
.fco(\FM_HW/FM_Demodulation/u15/c19 ),
.fx({\FM_HW/FM_Demodulation/n23 [18],\FM_HW/FM_Demodulation/n23 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/u3_al_u31 (
.a({\FM_HW/FM_Demodulation/n6 [4],\FM_HW/FM_Demodulation/n6 [2]}),
.b({\FM_HW/FM_Demodulation/n6 [5],\FM_HW/FM_Demodulation/n6 [3]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [4],\FM_HW/FM_Demodulation/n5 [2]}),
.e({\FM_HW/FM_Demodulation/n5 [5],\FM_HW/FM_Demodulation/n5 [3]}),
.fci(\FM_HW/FM_Demodulation/u15/c3 ),
.f({\FM_HW/FM_Demodulation/n23 [5],\FM_HW/FM_Demodulation/n23 [3]}),
.fco(\FM_HW/FM_Demodulation/u15/c7 ),
.fx({\FM_HW/FM_Demodulation/n23 [6],\FM_HW/FM_Demodulation/n23 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/u7_al_u32 (
.a({\FM_HW/FM_Demodulation/n6 [8],\FM_HW/FM_Demodulation/n6 [6]}),
.b({\FM_HW/FM_Demodulation/n6 [9],\FM_HW/FM_Demodulation/n6 [7]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [8],\FM_HW/FM_Demodulation/n5 [6]}),
.e({\FM_HW/FM_Demodulation/n5 [9],\FM_HW/FM_Demodulation/n5 [7]}),
.fci(\FM_HW/FM_Demodulation/u15/c7 ),
.f({\FM_HW/FM_Demodulation/n23 [9],\FM_HW/FM_Demodulation/n23 [7]}),
.fco(\FM_HW/FM_Demodulation/u15/c11 ),
.fx({\FM_HW/FM_Demodulation/n23 [10],\FM_HW/FM_Demodulation/n23 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/ucin_al_u30 (
.a({\FM_HW/FM_Demodulation/n19 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n19 [2],\FM_HW/FM_Demodulation/n50 [0]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n5 [0],1'b1}),
.e({\FM_HW/FM_Demodulation/n5 [1],\FM_HW/FM_Demodulation/n52 [0]}),
.f({\FM_HW/FM_Demodulation/n23 [1],open_n9798}),
.fco(\FM_HW/FM_Demodulation/u15/c3 ),
.fx({\FM_HW/FM_Demodulation/n23 [2],\FM_HW/FM_Demodulation/n23 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u15/ucin_al_u30"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u15/ucout_al_u35 (
.c(2'b00),
.fci(\FM_HW/FM_Demodulation/u15/c19 ),
.f({open_n9825,\FM_HW/FM_Demodulation/n23 [19]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u16/u11_al_u39 (
.a({\FM_HW/FM_Demodulation/n9 [12],\FM_HW/FM_Demodulation/n9 [10]}),
.b({\FM_HW/FM_Demodulation/n9 [13],\FM_HW/FM_Demodulation/n9 [11]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [12],\FM_HW/FM_Demodulation/n8 [10]}),
.e({\FM_HW/FM_Demodulation/n8 [13],\FM_HW/FM_Demodulation/n8 [11]}),
.fci(\FM_HW/FM_Demodulation/u16/c11 ),
.f({\FM_HW/FM_Demodulation/n25 [13],\FM_HW/FM_Demodulation/n25 [11]}),
.fco(\FM_HW/FM_Demodulation/u16/c15 ),
.fx({\FM_HW/FM_Demodulation/n25 [14],\FM_HW/FM_Demodulation/n25 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u16/u15_al_u40 (
.a({\FM_HW/FM_Demodulation/n9 [16],\FM_HW/FM_Demodulation/n9 [14]}),
.b({\FM_HW/FM_Demodulation/n9 [17],\FM_HW/FM_Demodulation/n9 [15]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [16],\FM_HW/FM_Demodulation/n8 [14]}),
.e({\FM_HW/FM_Demodulation/n16 [18],\FM_HW/FM_Demodulation/n8 [15]}),
.fci(\FM_HW/FM_Demodulation/u16/c15 ),
.f({\FM_HW/FM_Demodulation/n25 [17],\FM_HW/FM_Demodulation/n25 [15]}),
.fco(\FM_HW/FM_Demodulation/u16/c19 ),
.fx({\FM_HW/FM_Demodulation/n25 [18],\FM_HW/FM_Demodulation/n25 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u16/u3_al_u37 (
.a({\FM_HW/FM_Demodulation/n9 [4],\FM_HW/FM_Demodulation/n9 [2]}),
.b({\FM_HW/FM_Demodulation/n9 [5],\FM_HW/FM_Demodulation/n9 [3]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [4],\FM_HW/FM_Demodulation/n8 [2]}),
.e({\FM_HW/FM_Demodulation/n8 [5],\FM_HW/FM_Demodulation/n8 [3]}),
.fci(\FM_HW/FM_Demodulation/u16/c3 ),
.f({\FM_HW/FM_Demodulation/n25 [5],\FM_HW/FM_Demodulation/n25 [3]}),
.fco(\FM_HW/FM_Demodulation/u16/c7 ),
.fx({\FM_HW/FM_Demodulation/n25 [6],\FM_HW/FM_Demodulation/n25 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u16/u7_al_u38 (
.a({\FM_HW/FM_Demodulation/n9 [8],\FM_HW/FM_Demodulation/n9 [6]}),
.b({\FM_HW/FM_Demodulation/n9 [9],\FM_HW/FM_Demodulation/n9 [7]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n8 [8],\FM_HW/FM_Demodulation/n8 [6]}),
.e({\FM_HW/FM_Demodulation/n8 [9],\FM_HW/FM_Demodulation/n8 [7]}),
.fci(\FM_HW/FM_Demodulation/u16/c7 ),
.f({\FM_HW/FM_Demodulation/n25 [9],\FM_HW/FM_Demodulation/n25 [7]}),
.fco(\FM_HW/FM_Demodulation/u16/c11 ),
.fx({\FM_HW/FM_Demodulation/n25 [10],\FM_HW/FM_Demodulation/n25 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u16/ucin_al_u36 (
.a({\FM_HW/FM_Demodulation/n7 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n7 [1],\FM_HW/FM_Demodulation/n12 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n8 [0],1'b1}),
.e({\FM_HW/FM_Demodulation/n8 [1],\FM_HW/FM_Demodulation/n16 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[4] [8:7]),
.f({\FM_HW/FM_Demodulation/n25 [1],open_n9916}),
.fco(\FM_HW/FM_Demodulation/u16/c3 ),
.fx({\FM_HW/FM_Demodulation/n25 [2],\FM_HW/FM_Demodulation/n25 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[3] [8:7]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u16/ucin_al_u36"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u16/ucout_al_u41 (
.c(2'b00),
.fci(\FM_HW/FM_Demodulation/u16/c19 ),
.f({open_n9941,\FM_HW/FM_Demodulation/n25 [19]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/u11_al_u45 (
.a({\FM_HW/FM_Demodulation/n7 [12],\FM_HW/FM_Demodulation/n7 [10]}),
.b({\FM_HW/FM_Demodulation/n7 [13],\FM_HW/FM_Demodulation/n7 [11]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n19 [13],\FM_HW/FM_Demodulation/n19 [11]}),
.e({\FM_HW/FM_Demodulation/n19 [14],\FM_HW/FM_Demodulation/n19 [12]}),
.fci(\FM_HW/FM_Demodulation/u17/c11 ),
.f({\FM_HW/FM_Demodulation/n26 [13],\FM_HW/FM_Demodulation/n26 [11]}),
.fco(\FM_HW/FM_Demodulation/u17/c15 ),
.fx({\FM_HW/FM_Demodulation/n26 [14],\FM_HW/FM_Demodulation/n26 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/u15_al_u46 (
.a({\FM_HW/FM_Demodulation/n7 [16],\FM_HW/FM_Demodulation/n7 [14]}),
.b({\FM_HW/FM_Demodulation/n12 [18],\FM_HW/FM_Demodulation/n7 [15]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n19 [17],\FM_HW/FM_Demodulation/n19 [15]}),
.e({\FM_HW/FM_Demodulation/n19 [18],\FM_HW/FM_Demodulation/n19 [16]}),
.fci(\FM_HW/FM_Demodulation/u17/c15 ),
.f({\FM_HW/FM_Demodulation/n26 [17],\FM_HW/FM_Demodulation/n26 [15]}),
.fco(\FM_HW/FM_Demodulation/u17/c19 ),
.fx({\FM_HW/FM_Demodulation/n26 [18],\FM_HW/FM_Demodulation/n26 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/u3_al_u43 (
.a({\FM_HW/FM_Demodulation/n7 [4],\FM_HW/FM_Demodulation/n7 [2]}),
.b({\FM_HW/FM_Demodulation/n7 [5],\FM_HW/FM_Demodulation/n7 [3]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n19 [5],\FM_HW/FM_Demodulation/n19 [3]}),
.e({\FM_HW/FM_Demodulation/n19 [6],\FM_HW/FM_Demodulation/n19 [4]}),
.fci(\FM_HW/FM_Demodulation/u17/c3 ),
.f({\FM_HW/FM_Demodulation/n26 [5],\FM_HW/FM_Demodulation/n26 [3]}),
.fco(\FM_HW/FM_Demodulation/u17/c7 ),
.fx({\FM_HW/FM_Demodulation/n26 [6],\FM_HW/FM_Demodulation/n26 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/u7_al_u44 (
.a({\FM_HW/FM_Demodulation/n7 [8],\FM_HW/FM_Demodulation/n7 [6]}),
.b({\FM_HW/FM_Demodulation/n7 [9],\FM_HW/FM_Demodulation/n7 [7]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n19 [9],\FM_HW/FM_Demodulation/n19 [7]}),
.e({\FM_HW/FM_Demodulation/n19 [10],\FM_HW/FM_Demodulation/n19 [8]}),
.fci(\FM_HW/FM_Demodulation/u17/c7 ),
.f({\FM_HW/FM_Demodulation/n26 [9],\FM_HW/FM_Demodulation/n26 [7]}),
.fco(\FM_HW/FM_Demodulation/u17/c11 ),
.fx({\FM_HW/FM_Demodulation/n26 [10],\FM_HW/FM_Demodulation/n26 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/ucin_al_u42 (
.a({\FM_HW/FM_Demodulation/n6 [0],1'b0}),
.b({\FM_HW/FM_Demodulation/n6 [1],\FM_HW/FM_Demodulation/n44 [0]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n9 [0],1'b1}),
.e({\FM_HW/FM_Demodulation/n9 [1],\FM_HW/FM_Demodulation/n19 [0]}),
.f({\FM_HW/FM_Demodulation/n26 [1],open_n10036}),
.fco(\FM_HW/FM_Demodulation/u17/c3 ),
.fx({\FM_HW/FM_Demodulation/n26 [2],\FM_HW/FM_Demodulation/n26 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u17/ucin_al_u42"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u17/ucout_al_u47 (
.c(2'b00),
.fci(\FM_HW/FM_Demodulation/u17/c19 ),
.f({open_n10063,\FM_HW/FM_Demodulation/n26 [19]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u18/u11_al_u51 (
.a({\FM_HW/FM_Demodulation/n20 [13],\FM_HW/FM_Demodulation/n20 [11]}),
.b({\FM_HW/FM_Demodulation/n20 [14],\FM_HW/FM_Demodulation/n20 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n22 [13],\FM_HW/FM_Demodulation/n22 [11]}),
.e({\FM_HW/FM_Demodulation/n22 [14],\FM_HW/FM_Demodulation/n22 [12]}),
.fci(\FM_HW/FM_Demodulation/u18/c11 ),
.f({\FM_HW/FM_Demodulation/n28 [13],\FM_HW/FM_Demodulation/n28 [11]}),
.fco(\FM_HW/FM_Demodulation/u18/c15 ),
.fx({\FM_HW/FM_Demodulation/n28 [14],\FM_HW/FM_Demodulation/n28 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u18/u15_al_u52 (
.a({\FM_HW/FM_Demodulation/n20 [17],\FM_HW/FM_Demodulation/n20 [15]}),
.b({\FM_HW/FM_Demodulation/n20 [18],\FM_HW/FM_Demodulation/n20 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n22 [17],\FM_HW/FM_Demodulation/n22 [15]}),
.e({\FM_HW/FM_Demodulation/n22 [18],\FM_HW/FM_Demodulation/n22 [16]}),
.fci(\FM_HW/FM_Demodulation/u18/c15 ),
.f({\FM_HW/FM_Demodulation/n28 [17],\FM_HW/FM_Demodulation/n28 [15]}),
.fco(\FM_HW/FM_Demodulation/u18/c19 ),
.fx({\FM_HW/FM_Demodulation/n28 [18],\FM_HW/FM_Demodulation/n28 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u18/u3_al_u49 (
.a({\FM_HW/FM_Demodulation/n20 [5],\FM_HW/FM_Demodulation/n20 [3]}),
.b({\FM_HW/FM_Demodulation/n20 [6],\FM_HW/FM_Demodulation/n20 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n22 [5],\FM_HW/FM_Demodulation/n22 [3]}),
.e({\FM_HW/FM_Demodulation/n22 [6],\FM_HW/FM_Demodulation/n22 [4]}),
.fci(\FM_HW/FM_Demodulation/u18/c3 ),
.f({\FM_HW/FM_Demodulation/n28 [5],\FM_HW/FM_Demodulation/n28 [3]}),
.fco(\FM_HW/FM_Demodulation/u18/c7 ),
.fx({\FM_HW/FM_Demodulation/n28 [6],\FM_HW/FM_Demodulation/n28 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u18/u7_al_u50 (
.a({\FM_HW/FM_Demodulation/n20 [9],\FM_HW/FM_Demodulation/n20 [7]}),
.b({\FM_HW/FM_Demodulation/n20 [10],\FM_HW/FM_Demodulation/n20 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n22 [9],\FM_HW/FM_Demodulation/n22 [7]}),
.e({\FM_HW/FM_Demodulation/n22 [10],\FM_HW/FM_Demodulation/n22 [8]}),
.fci(\FM_HW/FM_Demodulation/u18/c7 ),
.f({\FM_HW/FM_Demodulation/n28 [9],\FM_HW/FM_Demodulation/n28 [7]}),
.fco(\FM_HW/FM_Demodulation/u18/c11 ),
.fx({\FM_HW/FM_Demodulation/n28 [10],\FM_HW/FM_Demodulation/n28 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u18/ucin_al_u48 (
.a({\FM_HW/FM_Demodulation/n20 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n20 [2],\FM_HW/FM_Demodulation/n20 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n22 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n22 [2],\FM_HW/FM_Demodulation/n22 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[5] [8:7]),
.f({\FM_HW/FM_Demodulation/n28 [1],open_n10154}),
.fco(\FM_HW/FM_Demodulation/u18/c3 ),
.fx({\FM_HW/FM_Demodulation/n28 [2],\FM_HW/FM_Demodulation/n28 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[4] [8:7]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u18/ucin_al_u48"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u18/ucout_al_u53 (
.c(2'b00),
.fci(\FM_HW/FM_Demodulation/u18/c19 ),
.f({open_n10179,\FM_HW/FM_Demodulation/n28 [19]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u19/u11_al_u21 (
.a({\FM_HW/FM_Demodulation/n23 [13],\FM_HW/FM_Demodulation/n23 [11]}),
.b({\FM_HW/FM_Demodulation/n23 [14],\FM_HW/FM_Demodulation/n23 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n25 [13],\FM_HW/FM_Demodulation/n25 [11]}),
.e({\FM_HW/FM_Demodulation/n25 [14],\FM_HW/FM_Demodulation/n25 [12]}),
.fci(\FM_HW/FM_Demodulation/u19/c11 ),
.f({\FM_HW/FM_Demodulation/n29 [13],\FM_HW/FM_Demodulation/n29 [11]}),
.fco(\FM_HW/FM_Demodulation/u19/c15 ),
.fx({\FM_HW/FM_Demodulation/n29 [14],\FM_HW/FM_Demodulation/n29 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u19/u15_al_u22 (
.a({\FM_HW/FM_Demodulation/n23 [17],\FM_HW/FM_Demodulation/n23 [15]}),
.b({\FM_HW/FM_Demodulation/n23 [18],\FM_HW/FM_Demodulation/n23 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n25 [17],\FM_HW/FM_Demodulation/n25 [15]}),
.e({\FM_HW/FM_Demodulation/n25 [18],\FM_HW/FM_Demodulation/n25 [16]}),
.fci(\FM_HW/FM_Demodulation/u19/c15 ),
.f({\FM_HW/FM_Demodulation/n29 [17],\FM_HW/FM_Demodulation/n29 [15]}),
.fco(\FM_HW/FM_Demodulation/u19/c19 ),
.fx({\FM_HW/FM_Demodulation/n29 [18],\FM_HW/FM_Demodulation/n29 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u19/u19_al_u23 (
.a({open_n10221,\FM_HW/FM_Demodulation/n23 [19]}),
.c(2'b00),
.d({open_n10226,\FM_HW/FM_Demodulation/n25 [19]}),
.fci(\FM_HW/FM_Demodulation/u19/c19 ),
.f({open_n10243,\FM_HW/FM_Demodulation/n29 [19]}),
.fx({open_n10245,\FM_HW/FM_Demodulation/n29 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u19/u3_al_u19 (
.a({\FM_HW/FM_Demodulation/n23 [5],\FM_HW/FM_Demodulation/n23 [3]}),
.b({\FM_HW/FM_Demodulation/n23 [6],\FM_HW/FM_Demodulation/n23 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n25 [5],\FM_HW/FM_Demodulation/n25 [3]}),
.e({\FM_HW/FM_Demodulation/n25 [6],\FM_HW/FM_Demodulation/n25 [4]}),
.fci(\FM_HW/FM_Demodulation/u19/c3 ),
.f({\FM_HW/FM_Demodulation/n29 [5],\FM_HW/FM_Demodulation/n29 [3]}),
.fco(\FM_HW/FM_Demodulation/u19/c7 ),
.fx({\FM_HW/FM_Demodulation/n29 [6],\FM_HW/FM_Demodulation/n29 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u19/u7_al_u20 (
.a({\FM_HW/FM_Demodulation/n23 [9],\FM_HW/FM_Demodulation/n23 [7]}),
.b({\FM_HW/FM_Demodulation/n23 [10],\FM_HW/FM_Demodulation/n23 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n25 [9],\FM_HW/FM_Demodulation/n25 [7]}),
.e({\FM_HW/FM_Demodulation/n25 [10],\FM_HW/FM_Demodulation/n25 [8]}),
.fci(\FM_HW/FM_Demodulation/u19/c7 ),
.f({\FM_HW/FM_Demodulation/n29 [9],\FM_HW/FM_Demodulation/n29 [7]}),
.fco(\FM_HW/FM_Demodulation/u19/c11 ),
.fx({\FM_HW/FM_Demodulation/n29 [10],\FM_HW/FM_Demodulation/n29 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u19/ucin_al_u18"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u19/ucin_al_u18 (
.a({\FM_HW/FM_Demodulation/n23 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n23 [2],\FM_HW/FM_Demodulation/n23 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n25 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n25 [2],\FM_HW/FM_Demodulation/n25 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[4] [6:5]),
.f({\FM_HW/FM_Demodulation/n29 [1],open_n10297}),
.fco(\FM_HW/FM_Demodulation/u19/c3 ),
.fx({\FM_HW/FM_Demodulation/n29 [2],\FM_HW/FM_Demodulation/n29 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[3] [6:5]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/u11_al_u27 (
.a({\FM_HW/FM_Demodulation/n26 [13],\FM_HW/FM_Demodulation/n26 [11]}),
.b({\FM_HW/FM_Demodulation/n26 [14],\FM_HW/FM_Demodulation/n26 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n28 [13],\FM_HW/FM_Demodulation/n28 [11]}),
.e({\FM_HW/FM_Demodulation/n28 [14],\FM_HW/FM_Demodulation/n28 [12]}),
.fci(\FM_HW/FM_Demodulation/u20/c11 ),
.f({\FM_HW/FM_Demodulation/n31 [13],\FM_HW/FM_Demodulation/n31 [11]}),
.fco(\FM_HW/FM_Demodulation/u20/c15 ),
.fx({\FM_HW/FM_Demodulation/n31 [14],\FM_HW/FM_Demodulation/n31 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/u15_al_u28 (
.a({\FM_HW/FM_Demodulation/n26 [17],\FM_HW/FM_Demodulation/n26 [15]}),
.b({\FM_HW/FM_Demodulation/n26 [18],\FM_HW/FM_Demodulation/n26 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n28 [17],\FM_HW/FM_Demodulation/n28 [15]}),
.e({\FM_HW/FM_Demodulation/n28 [18],\FM_HW/FM_Demodulation/n28 [16]}),
.fci(\FM_HW/FM_Demodulation/u20/c15 ),
.f({\FM_HW/FM_Demodulation/n31 [17],\FM_HW/FM_Demodulation/n31 [15]}),
.fco(\FM_HW/FM_Demodulation/u20/c19 ),
.fx({\FM_HW/FM_Demodulation/n31 [18],\FM_HW/FM_Demodulation/n31 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/u19_al_u29 (
.a({open_n10334,\FM_HW/FM_Demodulation/n26 [19]}),
.c(2'b00),
.d({open_n10339,\FM_HW/FM_Demodulation/n28 [19]}),
.fci(\FM_HW/FM_Demodulation/u20/c19 ),
.f({open_n10356,\FM_HW/FM_Demodulation/n31 [19]}),
.fx({open_n10358,\FM_HW/FM_Demodulation/n31 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/u3_al_u25 (
.a({\FM_HW/FM_Demodulation/n26 [5],\FM_HW/FM_Demodulation/n26 [3]}),
.b({\FM_HW/FM_Demodulation/n26 [6],\FM_HW/FM_Demodulation/n26 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n28 [5],\FM_HW/FM_Demodulation/n28 [3]}),
.e({\FM_HW/FM_Demodulation/n28 [6],\FM_HW/FM_Demodulation/n28 [4]}),
.fci(\FM_HW/FM_Demodulation/u20/c3 ),
.f({\FM_HW/FM_Demodulation/n31 [5],\FM_HW/FM_Demodulation/n31 [3]}),
.fco(\FM_HW/FM_Demodulation/u20/c7 ),
.fx({\FM_HW/FM_Demodulation/n31 [6],\FM_HW/FM_Demodulation/n31 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/u7_al_u26 (
.a({\FM_HW/FM_Demodulation/n26 [9],\FM_HW/FM_Demodulation/n26 [7]}),
.b({\FM_HW/FM_Demodulation/n26 [10],\FM_HW/FM_Demodulation/n26 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n28 [9],\FM_HW/FM_Demodulation/n28 [7]}),
.e({\FM_HW/FM_Demodulation/n28 [10],\FM_HW/FM_Demodulation/n28 [8]}),
.fci(\FM_HW/FM_Demodulation/u20/c7 ),
.f({\FM_HW/FM_Demodulation/n31 [9],\FM_HW/FM_Demodulation/n31 [7]}),
.fco(\FM_HW/FM_Demodulation/u20/c11 ),
.fx({\FM_HW/FM_Demodulation/n31 [10],\FM_HW/FM_Demodulation/n31 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u20/ucin_al_u24"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u20/ucin_al_u24 (
.a({\FM_HW/FM_Demodulation/n26 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n26 [2],\FM_HW/FM_Demodulation/n26 [0]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n28 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n28 [2],\FM_HW/FM_Demodulation/n28 [0]}),
.f({\FM_HW/FM_Demodulation/n31 [1],open_n10414}),
.fco(\FM_HW/FM_Demodulation/u20/c3 ),
.fx({\FM_HW/FM_Demodulation/n31 [2],\FM_HW/FM_Demodulation/n31 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u21/u11_al_u15 (
.a({\FM_HW/FM_Demodulation/n29 [13],\FM_HW/FM_Demodulation/n29 [11]}),
.b({\FM_HW/FM_Demodulation/n29 [14],\FM_HW/FM_Demodulation/n29 [12]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n31 [13],\FM_HW/FM_Demodulation/n31 [11]}),
.e({\FM_HW/FM_Demodulation/n31 [14],\FM_HW/FM_Demodulation/n31 [12]}),
.fci(\FM_HW/FM_Demodulation/u21/c11 ),
.f({\FM_HW/FM_Demodulation/n65 [13],open_n10433}),
.fco(\FM_HW/FM_Demodulation/u21/c15 ),
.fx({\FM_HW/FM_Demodulation/n65 [14],open_n10434}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u21/u15_al_u16 (
.a({\FM_HW/FM_Demodulation/n29 [17],\FM_HW/FM_Demodulation/n29 [15]}),
.b({\FM_HW/FM_Demodulation/n29 [18],\FM_HW/FM_Demodulation/n29 [16]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n31 [17],\FM_HW/FM_Demodulation/n31 [15]}),
.e({\FM_HW/FM_Demodulation/n31 [18],\FM_HW/FM_Demodulation/n31 [16]}),
.fci(\FM_HW/FM_Demodulation/u21/c15 ),
.f({\FM_HW/FM_Demodulation/n65 [17],\FM_HW/FM_Demodulation/n65 [15]}),
.fco(\FM_HW/FM_Demodulation/u21/c19 ),
.fx({\FM_HW/FM_Demodulation/n65 [18],\FM_HW/FM_Demodulation/n65 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u21/u19_al_u17 (
.a({open_n10455,\FM_HW/FM_Demodulation/n29 [19]}),
.b({open_n10456,\FM_HW/FM_Demodulation/n29 [20]}),
.c(2'b00),
.d({open_n10459,\FM_HW/FM_Demodulation/n31 [19]}),
.e({open_n10460,\FM_HW/FM_Demodulation/n31 [20]}),
.fci(\FM_HW/FM_Demodulation/u21/c19 ),
.f({\FM_HW/FM_Demodulation/n65 [21],\FM_HW/FM_Demodulation/n65 [19]}),
.fx({open_n10476,\FM_HW/FM_Demodulation/n65 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u21/u3_al_u13 (
.a({\FM_HW/FM_Demodulation/n29 [5],\FM_HW/FM_Demodulation/n29 [3]}),
.b({\FM_HW/FM_Demodulation/n29 [6],\FM_HW/FM_Demodulation/n29 [4]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n31 [5],\FM_HW/FM_Demodulation/n31 [3]}),
.e({\FM_HW/FM_Demodulation/n31 [6],\FM_HW/FM_Demodulation/n31 [4]}),
.fci(\FM_HW/FM_Demodulation/u21/c3 ),
.fco(\FM_HW/FM_Demodulation/u21/c7 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u21/u7_al_u14 (
.a({\FM_HW/FM_Demodulation/n29 [9],\FM_HW/FM_Demodulation/n29 [7]}),
.b({\FM_HW/FM_Demodulation/n29 [10],\FM_HW/FM_Demodulation/n29 [8]}),
.c(2'b00),
.d({\FM_HW/FM_Demodulation/n31 [9],\FM_HW/FM_Demodulation/n31 [7]}),
.e({\FM_HW/FM_Demodulation/n31 [10],\FM_HW/FM_Demodulation/n31 [8]}),
.fci(\FM_HW/FM_Demodulation/u21/c7 ),
.fco(\FM_HW/FM_Demodulation/u21/c11 ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u21/ucin_al_u12"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Demodulation/u21/ucin_al_u12 (
.a({\FM_HW/FM_Demodulation/n29 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n29 [2],\FM_HW/FM_Demodulation/n29 [0]}),
.c(2'b00),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Demodulation/n31 [1],1'b1}),
.e({\FM_HW/FM_Demodulation/n31 [2],\FM_HW/FM_Demodulation/n31 [0]}),
.mi(\FM_HW/FM_Demodulation/n65 [14:13]),
.sr(RSTn_pad),
.fco(\FM_HW/FM_Demodulation/u21/c3 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filtered [14:13]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u3/u0|u3/ucin (
.a({\FM_HW/FM_Demodulation/n18 [3],1'b0}),
.b({\FM_HW/FM_Demodulation/n21 [3],open_n10540}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[3] [9:8]),
.f({\FM_HW/FM_Demodulation/n3 [0],open_n10556}),
.fco(\FM_HW/FM_Demodulation/u3/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[2] [9:8]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u10|u3/u9 (
.a(\FM_HW/FM_Demodulation/n18 [13:12]),
.b(\FM_HW/FM_Demodulation/n21 [13:12]),
.fci(\FM_HW/FM_Demodulation/u3/c9 ),
.f(\FM_HW/FM_Demodulation/n3 [10:9]),
.fco(\FM_HW/FM_Demodulation/u3/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u12|u3/u11 (
.a(\FM_HW/FM_Demodulation/n18 [15:14]),
.b(\FM_HW/FM_Demodulation/n21 [15:14]),
.fci(\FM_HW/FM_Demodulation/u3/c11 ),
.f(\FM_HW/FM_Demodulation/n3 [12:11]),
.fco(\FM_HW/FM_Demodulation/u3/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u2|u3/u1 (
.a(\FM_HW/FM_Demodulation/n18 [5:4]),
.b(\FM_HW/FM_Demodulation/n21 [5:4]),
.fci(\FM_HW/FM_Demodulation/u3/c1 ),
.f(\FM_HW/FM_Demodulation/n3 [2:1]),
.fco(\FM_HW/FM_Demodulation/u3/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u4|u3/u3 (
.a(\FM_HW/FM_Demodulation/n18 [7:6]),
.b(\FM_HW/FM_Demodulation/n21 [7:6]),
.fci(\FM_HW/FM_Demodulation/u3/c3 ),
.f(\FM_HW/FM_Demodulation/n3 [4:3]),
.fco(\FM_HW/FM_Demodulation/u3/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u6|u3/u5 (
.a(\FM_HW/FM_Demodulation/n18 [9:8]),
.b(\FM_HW/FM_Demodulation/n21 [9:8]),
.fci(\FM_HW/FM_Demodulation/u3/c5 ),
.f(\FM_HW/FM_Demodulation/n3 [6:5]),
.fco(\FM_HW/FM_Demodulation/u3/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/u8|u3/u7 (
.a(\FM_HW/FM_Demodulation/n18 [11:10]),
.b(\FM_HW/FM_Demodulation/n21 [11:10]),
.fci(\FM_HW/FM_Demodulation/u3/c7 ),
.f(\FM_HW/FM_Demodulation/n3 [8:7]),
.fco(\FM_HW/FM_Demodulation/u3/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u3/u0|u3/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u3/ucout_al_u70 (
.fci(\FM_HW/FM_Demodulation/u3/c13 ),
.f({open_n10713,\FM_HW/FM_Demodulation/n3 [13]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u0|u4/ucin (
.a({\FM_HW/FM_Demodulation/n17 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n64 [1],open_n10719}),
.f({\FM_HW/FM_Demodulation/n5 [0],open_n10739}),
.fco(\FM_HW/FM_Demodulation/u4/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u10|u4/u9 (
.a(\FM_HW/FM_Demodulation/n60 [11:10]),
.b(\FM_HW/FM_Demodulation/n62 [11:10]),
.fci(\FM_HW/FM_Demodulation/u4/c9 ),
.f(\FM_HW/FM_Demodulation/n5 [10:9]),
.fco(\FM_HW/FM_Demodulation/u4/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u12|u4/u11 (
.a(\FM_HW/FM_Demodulation/n60 [13:12]),
.b(\FM_HW/FM_Demodulation/n62 [13:12]),
.fci(\FM_HW/FM_Demodulation/u4/c11 ),
.f(\FM_HW/FM_Demodulation/n5 [12:11]),
.fco(\FM_HW/FM_Demodulation/u4/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u14|u4/u13 (
.a(\FM_HW/FM_Demodulation/n60 [15:14]),
.b(\FM_HW/FM_Demodulation/n62 [15:14]),
.fci(\FM_HW/FM_Demodulation/u4/c13 ),
.f(\FM_HW/FM_Demodulation/n5 [14:13]),
.fco(\FM_HW/FM_Demodulation/u4/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u2|u4/u1 (
.a({\FM_HW/FM_Demodulation/n60 [3],\FM_HW/FM_Demodulation/n17 [2]}),
.b({\FM_HW/FM_Demodulation/n62 [3],\FM_HW/FM_Demodulation/n64 [2]}),
.fci(\FM_HW/FM_Demodulation/u4/c1 ),
.f(\FM_HW/FM_Demodulation/n5 [2:1]),
.fco(\FM_HW/FM_Demodulation/u4/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u4|u4/u3 (
.a(\FM_HW/FM_Demodulation/n60 [5:4]),
.b(\FM_HW/FM_Demodulation/n62 [5:4]),
.fci(\FM_HW/FM_Demodulation/u4/c3 ),
.f(\FM_HW/FM_Demodulation/n5 [4:3]),
.fco(\FM_HW/FM_Demodulation/u4/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u6|u4/u5 (
.a(\FM_HW/FM_Demodulation/n60 [7:6]),
.b(\FM_HW/FM_Demodulation/n62 [7:6]),
.fci(\FM_HW/FM_Demodulation/u4/c5 ),
.f(\FM_HW/FM_Demodulation/n5 [6:5]),
.fco(\FM_HW/FM_Demodulation/u4/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/u8|u4/u7 (
.a(\FM_HW/FM_Demodulation/n60 [9:8]),
.b(\FM_HW/FM_Demodulation/n62 [9:8]),
.fci(\FM_HW/FM_Demodulation/u4/c7 ),
.f(\FM_HW/FM_Demodulation/n5 [8:7]),
.fco(\FM_HW/FM_Demodulation/u4/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u4/u0|u4/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u4/ucout_al_u71 (
.fci(\FM_HW/FM_Demodulation/u4/c15 ),
.f({open_n10920,\FM_HW/FM_Demodulation/n5 [15]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Demodulation/u5/u0|u5/ucin (
.a({\FM_HW/FM_Demodulation/n18 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n21 [1],open_n10926}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[17] [6:5]),
.f({\FM_HW/FM_Demodulation/n6 [0],open_n10942}),
.fco(\FM_HW/FM_Demodulation/u5/c1 ),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[16] [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u10|u5/u9 (
.a(\FM_HW/FM_Demodulation/n24 [11:10]),
.b(\FM_HW/FM_Demodulation/n27 [11:10]),
.fci(\FM_HW/FM_Demodulation/u5/c9 ),
.f(\FM_HW/FM_Demodulation/n6 [10:9]),
.fco(\FM_HW/FM_Demodulation/u5/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u12|u5/u11 (
.a(\FM_HW/FM_Demodulation/n24 [13:12]),
.b(\FM_HW/FM_Demodulation/n27 [13:12]),
.fci(\FM_HW/FM_Demodulation/u5/c11 ),
.f(\FM_HW/FM_Demodulation/n6 [12:11]),
.fco(\FM_HW/FM_Demodulation/u5/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u14|u5/u13 (
.a(\FM_HW/FM_Demodulation/n24 [15:14]),
.b(\FM_HW/FM_Demodulation/n27 [15:14]),
.fci(\FM_HW/FM_Demodulation/u5/c13 ),
.f(\FM_HW/FM_Demodulation/n6 [14:13]),
.fco(\FM_HW/FM_Demodulation/u5/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u2|u5/u1 (
.a({\FM_HW/FM_Demodulation/n24 [3],\FM_HW/FM_Demodulation/n18 [2]}),
.b({\FM_HW/FM_Demodulation/n27 [3],\FM_HW/FM_Demodulation/n21 [2]}),
.fci(\FM_HW/FM_Demodulation/u5/c1 ),
.f(\FM_HW/FM_Demodulation/n6 [2:1]),
.fco(\FM_HW/FM_Demodulation/u5/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u4|u5/u3 (
.a(\FM_HW/FM_Demodulation/n24 [5:4]),
.b(\FM_HW/FM_Demodulation/n27 [5:4]),
.fci(\FM_HW/FM_Demodulation/u5/c3 ),
.f(\FM_HW/FM_Demodulation/n6 [4:3]),
.fco(\FM_HW/FM_Demodulation/u5/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u6|u5/u5 (
.a(\FM_HW/FM_Demodulation/n24 [7:6]),
.b(\FM_HW/FM_Demodulation/n27 [7:6]),
.fci(\FM_HW/FM_Demodulation/u5/c5 ),
.f(\FM_HW/FM_Demodulation/n6 [6:5]),
.fco(\FM_HW/FM_Demodulation/u5/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/u8|u5/u7 (
.a(\FM_HW/FM_Demodulation/n24 [9:8]),
.b(\FM_HW/FM_Demodulation/n27 [9:8]),
.fci(\FM_HW/FM_Demodulation/u5/c7 ),
.f(\FM_HW/FM_Demodulation/n6 [8:7]),
.fco(\FM_HW/FM_Demodulation/u5/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u5/u0|u5/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u5/ucout|u5/u15 (
.a({open_n11099,1'b0}),
.b({open_n11100,\FM_HW/FM_Demodulation/n27 [16]}),
.fci(\FM_HW/FM_Demodulation/u5/c15 ),
.f(\FM_HW/FM_Demodulation/n6 [16:15]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u0|u6/ucin (
.a({\FM_HW/FM_Demodulation/n60 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n62 [1],open_n11124}),
.f({\FM_HW/FM_Demodulation/n7 [0],open_n11144}),
.fco(\FM_HW/FM_Demodulation/u6/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u10|u6/u9 (
.a(\FM_HW/FM_Demodulation/n56 [11:10]),
.b(\FM_HW/FM_Demodulation/n58 [11:10]),
.fci(\FM_HW/FM_Demodulation/u6/c9 ),
.f(\FM_HW/FM_Demodulation/n7 [10:9]),
.fco(\FM_HW/FM_Demodulation/u6/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u12|u6/u11 (
.a(\FM_HW/FM_Demodulation/n56 [13:12]),
.b(\FM_HW/FM_Demodulation/n58 [13:12]),
.fci(\FM_HW/FM_Demodulation/u6/c11 ),
.f(\FM_HW/FM_Demodulation/n7 [12:11]),
.fco(\FM_HW/FM_Demodulation/u6/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u14|u6/u13 (
.a(\FM_HW/FM_Demodulation/n56 [15:14]),
.b(\FM_HW/FM_Demodulation/n58 [15:14]),
.fci(\FM_HW/FM_Demodulation/u6/c13 ),
.f(\FM_HW/FM_Demodulation/n7 [14:13]),
.fco(\FM_HW/FM_Demodulation/u6/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u2|u6/u1 (
.a({\FM_HW/FM_Demodulation/n56 [3],\FM_HW/FM_Demodulation/n60 [2]}),
.b({\FM_HW/FM_Demodulation/n58 [3],\FM_HW/FM_Demodulation/n62 [2]}),
.fci(\FM_HW/FM_Demodulation/u6/c1 ),
.f(\FM_HW/FM_Demodulation/n7 [2:1]),
.fco(\FM_HW/FM_Demodulation/u6/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u4|u6/u3 (
.a(\FM_HW/FM_Demodulation/n56 [5:4]),
.b(\FM_HW/FM_Demodulation/n58 [5:4]),
.fci(\FM_HW/FM_Demodulation/u6/c3 ),
.f(\FM_HW/FM_Demodulation/n7 [4:3]),
.fco(\FM_HW/FM_Demodulation/u6/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u6|u6/u5 (
.a(\FM_HW/FM_Demodulation/n56 [7:6]),
.b(\FM_HW/FM_Demodulation/n58 [7:6]),
.fci(\FM_HW/FM_Demodulation/u6/c5 ),
.f(\FM_HW/FM_Demodulation/n7 [6:5]),
.fco(\FM_HW/FM_Demodulation/u6/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/u8|u6/u7 (
.a(\FM_HW/FM_Demodulation/n56 [9:8]),
.b(\FM_HW/FM_Demodulation/n58 [9:8]),
.fci(\FM_HW/FM_Demodulation/u6/c7 ),
.f(\FM_HW/FM_Demodulation/n7 [8:7]),
.fco(\FM_HW/FM_Demodulation/u6/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u6/u0|u6/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u6/ucout|u6/u15 (
.a({open_n11303,\FM_HW/FM_Demodulation/n56 [16]}),
.b({open_n11304,\FM_HW/FM_Demodulation/n58 [16]}),
.fci(\FM_HW/FM_Demodulation/u6/c15 ),
.f(\FM_HW/FM_Demodulation/n7 [16:15]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u0|u7/ucin (
.a({\FM_HW/FM_Demodulation/n24 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n27 [1],open_n11328}),
.f({\FM_HW/FM_Demodulation/n8 [0],open_n11348}),
.fco(\FM_HW/FM_Demodulation/u7/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u10|u7/u9 (
.a(\FM_HW/FM_Demodulation/n30 [11:10]),
.b(\FM_HW/FM_Demodulation/n33 [11:10]),
.fci(\FM_HW/FM_Demodulation/u7/c9 ),
.f(\FM_HW/FM_Demodulation/n8 [10:9]),
.fco(\FM_HW/FM_Demodulation/u7/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u12|u7/u11 (
.a(\FM_HW/FM_Demodulation/n30 [13:12]),
.b(\FM_HW/FM_Demodulation/n33 [13:12]),
.fci(\FM_HW/FM_Demodulation/u7/c11 ),
.f(\FM_HW/FM_Demodulation/n8 [12:11]),
.fco(\FM_HW/FM_Demodulation/u7/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u14|u7/u13 (
.a(\FM_HW/FM_Demodulation/n30 [15:14]),
.b(\FM_HW/FM_Demodulation/n33 [15:14]),
.fci(\FM_HW/FM_Demodulation/u7/c13 ),
.f(\FM_HW/FM_Demodulation/n8 [14:13]),
.fco(\FM_HW/FM_Demodulation/u7/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u2|u7/u1 (
.a({\FM_HW/FM_Demodulation/n30 [3],\FM_HW/FM_Demodulation/n24 [2]}),
.b({\FM_HW/FM_Demodulation/n33 [3],\FM_HW/FM_Demodulation/n27 [2]}),
.fci(\FM_HW/FM_Demodulation/u7/c1 ),
.f(\FM_HW/FM_Demodulation/n8 [2:1]),
.fco(\FM_HW/FM_Demodulation/u7/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u4|u7/u3 (
.a(\FM_HW/FM_Demodulation/n30 [5:4]),
.b(\FM_HW/FM_Demodulation/n33 [5:4]),
.fci(\FM_HW/FM_Demodulation/u7/c3 ),
.f(\FM_HW/FM_Demodulation/n8 [4:3]),
.fco(\FM_HW/FM_Demodulation/u7/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u6|u7/u5 (
.a(\FM_HW/FM_Demodulation/n30 [7:6]),
.b(\FM_HW/FM_Demodulation/n33 [7:6]),
.fci(\FM_HW/FM_Demodulation/u7/c5 ),
.f(\FM_HW/FM_Demodulation/n8 [6:5]),
.fco(\FM_HW/FM_Demodulation/u7/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/u8|u7/u7 (
.a(\FM_HW/FM_Demodulation/n30 [9:8]),
.b(\FM_HW/FM_Demodulation/n33 [9:8]),
.fci(\FM_HW/FM_Demodulation/u7/c7 ),
.f(\FM_HW/FM_Demodulation/n8 [8:7]),
.fco(\FM_HW/FM_Demodulation/u7/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u7/u0|u7/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u7/ucout|u7/u15 (
.a({open_n11507,\FM_HW/FM_Demodulation/n30 [16]}),
.b({open_n11508,\FM_HW/FM_Demodulation/n33 [16]}),
.fci(\FM_HW/FM_Demodulation/u7/c15 ),
.f(\FM_HW/FM_Demodulation/n8 [16:15]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u0|u8/ucin (
.a({\FM_HW/FM_Demodulation/n56 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n58 [1],open_n11532}),
.f({\FM_HW/FM_Demodulation/n9 [0],open_n11552}),
.fco(\FM_HW/FM_Demodulation/u8/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u10|u8/u9 (
.a(\FM_HW/FM_Demodulation/n36 [11:10]),
.b(\FM_HW/FM_Demodulation/n39 [11:10]),
.fci(\FM_HW/FM_Demodulation/u8/c9 ),
.f(\FM_HW/FM_Demodulation/n9 [10:9]),
.fco(\FM_HW/FM_Demodulation/u8/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u12|u8/u11 (
.a(\FM_HW/FM_Demodulation/n36 [13:12]),
.b(\FM_HW/FM_Demodulation/n39 [13:12]),
.fci(\FM_HW/FM_Demodulation/u8/c11 ),
.f(\FM_HW/FM_Demodulation/n9 [12:11]),
.fco(\FM_HW/FM_Demodulation/u8/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u14|u8/u13 (
.a(\FM_HW/FM_Demodulation/n36 [15:14]),
.b(\FM_HW/FM_Demodulation/n39 [15:14]),
.fci(\FM_HW/FM_Demodulation/u8/c13 ),
.f(\FM_HW/FM_Demodulation/n9 [14:13]),
.fco(\FM_HW/FM_Demodulation/u8/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u16|u8/u15 (
.a({1'b0,\FM_HW/FM_Demodulation/n36 [16]}),
.b(\FM_HW/FM_Demodulation/n39 [17:16]),
.fci(\FM_HW/FM_Demodulation/u8/c15 ),
.f(\FM_HW/FM_Demodulation/n9 [16:15]),
.fco(\FM_HW/FM_Demodulation/u8/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u2|u8/u1 (
.a({\FM_HW/FM_Demodulation/n36 [3],\FM_HW/FM_Demodulation/n56 [2]}),
.b({\FM_HW/FM_Demodulation/n39 [3],\FM_HW/FM_Demodulation/n58 [2]}),
.fci(\FM_HW/FM_Demodulation/u8/c1 ),
.f(\FM_HW/FM_Demodulation/n9 [2:1]),
.fco(\FM_HW/FM_Demodulation/u8/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u4|u8/u3 (
.a(\FM_HW/FM_Demodulation/n36 [5:4]),
.b(\FM_HW/FM_Demodulation/n39 [5:4]),
.fci(\FM_HW/FM_Demodulation/u8/c3 ),
.f(\FM_HW/FM_Demodulation/n9 [4:3]),
.fco(\FM_HW/FM_Demodulation/u8/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u6|u8/u5 (
.a(\FM_HW/FM_Demodulation/n36 [7:6]),
.b(\FM_HW/FM_Demodulation/n39 [7:6]),
.fci(\FM_HW/FM_Demodulation/u8/c5 ),
.f(\FM_HW/FM_Demodulation/n9 [6:5]),
.fco(\FM_HW/FM_Demodulation/u8/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/u8|u8/u7 (
.a(\FM_HW/FM_Demodulation/n36 [9:8]),
.b(\FM_HW/FM_Demodulation/n39 [9:8]),
.fci(\FM_HW/FM_Demodulation/u8/c7 ),
.f(\FM_HW/FM_Demodulation/n9 [8:7]),
.fco(\FM_HW/FM_Demodulation/u8/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u8/u0|u8/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u8/ucout_al_u72 (
.fci(\FM_HW/FM_Demodulation/u8/c17 ),
.f({open_n11755,\FM_HW/FM_Demodulation/n9 [17]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u0|u9/ucin (
.a({\FM_HW/FM_Demodulation/n33 [1],1'b0}),
.b({\FM_HW/FM_Demodulation/n36 [1],open_n11761}),
.f({\FM_HW/FM_Demodulation/n10 [0],open_n11781}),
.fco(\FM_HW/FM_Demodulation/u9/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u10|u9/u9 (
.a(\FM_HW/FM_Demodulation/n42 [11:10]),
.b(\FM_HW/FM_Demodulation/n46 [11:10]),
.fci(\FM_HW/FM_Demodulation/u9/c9 ),
.f(\FM_HW/FM_Demodulation/n10 [10:9]),
.fco(\FM_HW/FM_Demodulation/u9/c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u12|u9/u11 (
.a(\FM_HW/FM_Demodulation/n42 [13:12]),
.b(\FM_HW/FM_Demodulation/n46 [13:12]),
.fci(\FM_HW/FM_Demodulation/u9/c11 ),
.f(\FM_HW/FM_Demodulation/n10 [12:11]),
.fco(\FM_HW/FM_Demodulation/u9/c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u14|u9/u13 (
.a(\FM_HW/FM_Demodulation/n42 [15:14]),
.b(\FM_HW/FM_Demodulation/n46 [15:14]),
.fci(\FM_HW/FM_Demodulation/u9/c13 ),
.f(\FM_HW/FM_Demodulation/n10 [14:13]),
.fco(\FM_HW/FM_Demodulation/u9/c15 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u16|u9/u15 (
.a(\FM_HW/FM_Demodulation/n42 [17:16]),
.b(\FM_HW/FM_Demodulation/n46 [17:16]),
.fci(\FM_HW/FM_Demodulation/u9/c15 ),
.f(\FM_HW/FM_Demodulation/n10 [16:15]),
.fco(\FM_HW/FM_Demodulation/u9/c17 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u2|u9/u1 (
.a({\FM_HW/FM_Demodulation/n42 [3],\FM_HW/FM_Demodulation/n33 [2]}),
.b({\FM_HW/FM_Demodulation/n46 [3],\FM_HW/FM_Demodulation/n36 [2]}),
.fci(\FM_HW/FM_Demodulation/u9/c1 ),
.f(\FM_HW/FM_Demodulation/n10 [2:1]),
.fco(\FM_HW/FM_Demodulation/u9/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u4|u9/u3 (
.a(\FM_HW/FM_Demodulation/n42 [5:4]),
.b(\FM_HW/FM_Demodulation/n46 [5:4]),
.fci(\FM_HW/FM_Demodulation/u9/c3 ),
.f(\FM_HW/FM_Demodulation/n10 [4:3]),
.fco(\FM_HW/FM_Demodulation/u9/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u6|u9/u5 (
.a(\FM_HW/FM_Demodulation/n42 [7:6]),
.b(\FM_HW/FM_Demodulation/n46 [7:6]),
.fci(\FM_HW/FM_Demodulation/u9/c5 ),
.f(\FM_HW/FM_Demodulation/n10 [6:5]),
.fco(\FM_HW/FM_Demodulation/u9/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/u8|u9/u7 (
.a(\FM_HW/FM_Demodulation/n42 [9:8]),
.b(\FM_HW/FM_Demodulation/n46 [9:8]),
.fci(\FM_HW/FM_Demodulation/u9/c7 ),
.f(\FM_HW/FM_Demodulation/n10 [8:7]),
.fco(\FM_HW/FM_Demodulation/u9/c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Demodulation/u9/u0|u9/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\FM_HW/FM_Demodulation/u9/ucout_al_u73 (
.fci(\FM_HW/FM_Demodulation/u9/c17 ),
.f({open_n11984,\FM_HW/FM_Demodulation/n10 [17]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/add0/ucin_al_u3996"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/add0/u11_al_u3999 (
.a({open_n11990,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.b({open_n11991,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12]}),
.c(2'b00),
.d({open_n11994,1'b0}),
.e({open_n11995,1'b0}),
.fci(\FM_HW/FM_Dump_Data_IQ/add0/c11 ),
.f({open_n12010,\FM_HW/FM_Dump_Data_IQ/n39 [11]}),
.fx({open_n12012,\FM_HW/FM_Dump_Data_IQ/n39 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/add0/ucin_al_u3996"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/add0/u3_al_u3997 (
.a({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_Dump_Data_IQ/add0/c3 ),
.f({\FM_HW/FM_Dump_Data_IQ/n39 [5],\FM_HW/FM_Dump_Data_IQ/n39 [3]}),
.fco(\FM_HW/FM_Dump_Data_IQ/add0/c7 ),
.fx({\FM_HW/FM_Dump_Data_IQ/n39 [6],\FM_HW/FM_Dump_Data_IQ/n39 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/add0/ucin_al_u3996"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/add0/u7_al_u3998 (
.a({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_Dump_Data_IQ/add0/c7 ),
.f({\FM_HW/FM_Dump_Data_IQ/n39 [9],\FM_HW/FM_Dump_Data_IQ/n39 [7]}),
.fco(\FM_HW/FM_Dump_Data_IQ/add0/c11 ),
.fx({\FM_HW/FM_Dump_Data_IQ/n39 [10],\FM_HW/FM_Dump_Data_IQ/n39 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/add0/ucin_al_u3996"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/add0/ucin_al_u3996 (
.a({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1],1'b0}),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_HW/FM_Dump_Data_IQ/n39 [1],open_n12068}),
.fco(\FM_HW/FM_Dump_Data_IQ/add0/c3 ),
.fx({\FM_HW/FM_Dump_Data_IQ/n39 [2],\FM_HW/FM_Dump_Data_IQ/n39 [0]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12072,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12107,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r0_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12142,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12177,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r100_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12212,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12247,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r101_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12282,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12317,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r102_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12352,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12387,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r103_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12422,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12457,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r104_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12492,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12527,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r105_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12562,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12597,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r106_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12632,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12667,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r107_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12702,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12737,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r108_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r109_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12842,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12877,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r10_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12912,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12947,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r110_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n12982,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13017,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r111_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13052,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13087,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r112_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13122,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13157,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r113_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13192,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13227,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r114_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13262,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13297,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r115_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13332,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13367,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r116_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13402,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13437,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r117_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13472,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13507,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r118_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13542,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13577,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r119_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13612,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13647,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r11_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13682,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13717,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r120_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13752,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13787,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r121_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13822,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13857,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r122_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13892,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13927,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r123_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13962,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n13997,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r124_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14032,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14067,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r125_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14102,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14137,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r126_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14172,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14207,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r127_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14242,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14277,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r128_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14312,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14347,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r129_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14382,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14417,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r12_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14452,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14487,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r130_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14522,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14557,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r131_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14592,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14627,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r132_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14662,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14697,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r133_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14732,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14767,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r134_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14802,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14837,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r135_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14872,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14907,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r136_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14942,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n14977,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r137_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15012,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15047,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r138_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15082,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15117,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r139_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15152,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15187,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r13_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15222,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15257,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r140_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15292,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15327,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r141_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15362,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15397,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r142_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15432,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15467,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r143_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15502,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15537,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r144_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15572,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15607,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r145_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15642,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15677,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r146_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15712,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15747,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r147_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15782,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15817,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r148_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15852,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15887,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r149_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15922,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15957,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r14_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n15992,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16027,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r150_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16062,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16097,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r151_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16132,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16167,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r152_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16202,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16237,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r153_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16272,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16307,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r154_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16342,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16377,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r155_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16412,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16447,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r156_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16482,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16517,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r157_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16552,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16587,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r158_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16622,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16657,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r159_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16692,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16727,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r15_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16762,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16797,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r160_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16832,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16867,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r161_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16902,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16937,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r162_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n16972,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17007,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r163_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17042,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17077,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r164_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17112,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17147,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r165_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17182,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17217,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r166_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17252,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17287,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r167_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17322,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17357,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r168_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17392,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17427,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r169_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17462,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17497,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r16_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17532,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17567,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r170_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17602,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17637,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r171_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17672,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17707,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r172_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17742,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17777,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r173_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17812,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17847,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r174_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17882,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17917,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r175_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17952,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n17987,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r176_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18022,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18057,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r177_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18092,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18127,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r178_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18162,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18197,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r179_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18232,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18267,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r17_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18302,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18337,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r180_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18372,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18407,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r181_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18442,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18477,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r182_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18512,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18547,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r183_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18582,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18617,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r184_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18652,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18687,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r185_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18722,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18757,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r186_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18792,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18827,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r187_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18862,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18897,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r188_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18932,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n18967,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r189_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19002,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19037,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r18_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19072,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19107,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r190_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19142,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19177,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r191_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19212,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19247,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r192_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19282,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19317,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r193_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19352,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19387,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r194_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19422,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19457,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r195_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19492,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19527,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r196_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19562,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19597,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r197_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19632,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19667,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r198_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19702,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19737,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r199_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r19_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19842,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19877,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r1_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19912,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19947,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r200_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n19982,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20017,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r201_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20052,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20087,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r202_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20122,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20157,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r203_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20192,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20227,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r204_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20262,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20297,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r205_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20332,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20367,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r206_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20402,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20437,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r207_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20472,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20507,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r208_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20542,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20577,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r209_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20612,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20647,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r20_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20682,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20717,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r210_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20752,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20787,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r211_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20822,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20857,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r212_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20892,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20927,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r213_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20962,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n20997,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r214_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21032,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21067,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r215_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21102,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21137,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r216_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21172,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21207,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r217_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21242,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21277,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r218_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21312,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21347,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r219_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21382,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21417,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r21_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21452,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21487,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r220_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21522,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21557,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r221_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21592,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21627,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r222_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21662,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21697,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r223_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21732,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21767,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r224_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21802,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21837,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r225_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21872,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21907,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r226_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21942,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n21977,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r227_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22012,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22047,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r228_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22082,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22117,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r229_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22152,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22187,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r22_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22222,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22257,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r230_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22292,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22327,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r231_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22362,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22397,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r232_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22432,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22467,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r233_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22502,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22537,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r234_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22572,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22607,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r235_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22642,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22677,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r236_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22712,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22747,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r237_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22782,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22817,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r238_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22852,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22887,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r239_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22922,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22957,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r23_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n22992,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23027,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r240_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23062,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23097,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r241_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23132,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23167,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r242_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23202,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23237,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r243_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23272,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23307,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r244_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23342,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23377,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r245_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23412,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23447,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r246_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23482,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23517,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r247_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23552,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23587,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r248_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23622,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23657,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r249_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23692,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23727,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r24_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23762,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23797,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r250_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23832,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23867,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r251_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23902,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23937,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r252_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n23972,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24007,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r253_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24042,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24077,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r254_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24112,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24147,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r255_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24182,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24217,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r256_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24252,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24287,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r257_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24322,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24357,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r258_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24392,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24427,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r259_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24462,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24497,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r25_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24532,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24567,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r260_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24602,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24637,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r261_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24672,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24707,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r262_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24742,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24777,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r263_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24812,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24847,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r264_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24882,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24917,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r265_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24952,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n24987,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r266_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25022,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25057,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r267_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25092,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25127,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r268_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25162,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25197,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r269_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25232,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25267,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r26_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25302,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25337,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r270_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25372,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25407,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r271_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25442,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25477,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r272_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25512,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25547,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r273_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25582,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25617,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r274_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25652,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25687,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r275_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25722,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25757,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r276_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25792,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25827,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r277_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25862,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25897,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r278_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25932,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n25967,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r279_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26002,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26037,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r27_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26072,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26107,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r280_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26142,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26177,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r281_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26212,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26247,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r282_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26282,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26317,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r283_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26352,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26387,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r284_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26422,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26457,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r285_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26492,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26527,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r286_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26562,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26597,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r287_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26632,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26667,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r288_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26702,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26737,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r289_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r28_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26842,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26877,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r290_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26912,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26947,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r291_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n26982,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27017,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r292_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27052,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27087,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r293_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27122,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27157,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r294_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27192,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27227,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r295_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27262,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27297,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r296_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27332,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27367,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r297_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27402,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27437,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r298_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27472,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27507,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r299_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27542,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27577,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r29_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27612,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27647,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r2_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27682,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27717,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r300_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27752,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27787,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r301_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27822,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27857,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r302_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27892,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27927,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r303_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27962,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n27997,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r304_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28032,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28067,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r305_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28102,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28137,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r306_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28172,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28207,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r307_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28242,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28277,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r308_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28312,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28347,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r309_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28382,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28417,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r30_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28452,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28487,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r310_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28522,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28557,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r311_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28592,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28627,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r312_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28662,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28697,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r313_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28732,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28767,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r314_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28802,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28837,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r315_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28872,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28907,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r316_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28942,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n28977,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r317_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29012,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29047,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r318_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29082,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29117,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r319_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29152,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29187,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r31_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29222,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29257,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r320_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29292,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29327,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r321_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29362,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29397,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r322_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29432,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29467,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r323_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29502,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29537,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r324_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29572,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29607,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r325_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29642,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29677,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r326_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29712,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29747,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r327_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29782,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29817,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r328_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29852,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29887,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r329_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29922,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29957,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r32_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n29992,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30027,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r330_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30062,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30097,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r331_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30132,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30167,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r332_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30202,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30237,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r333_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30272,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30307,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r334_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30342,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30377,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r335_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30412,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30447,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r336_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30482,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30517,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r337_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30552,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30587,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r338_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30622,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30657,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r339_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30692,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30727,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r33_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30762,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30797,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r340_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30832,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30867,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r341_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30902,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30937,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r342_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n30972,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31007,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r343_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31042,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31077,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r344_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31112,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31147,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r345_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31182,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31217,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r346_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31252,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31287,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r347_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31322,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31357,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r348_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31392,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31427,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r349_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31462,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31497,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r34_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31532,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31567,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r350_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31602,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31637,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r351_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31672,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31707,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r352_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31742,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31777,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r353_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31812,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31847,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r354_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31882,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31917,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r355_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31952,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n31987,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r356_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32022,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32057,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r357_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32092,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32127,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r358_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32162,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32197,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r359_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32232,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32267,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r35_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32302,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32337,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r360_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32372,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32407,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r361_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32442,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32477,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r362_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32512,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32547,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r363_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32582,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32617,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r364_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32652,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32687,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r365_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32722,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32757,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r366_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32792,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32827,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r367_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32862,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32897,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r368_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32932,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n32967,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r369_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33002,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33037,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r36_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33072,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33107,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r370_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33142,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33177,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r371_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33212,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33247,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r372_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33282,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33317,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r373_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33352,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33387,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r374_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33422,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33457,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r375_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33492,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33527,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r376_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33562,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33597,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r377_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33632,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33667,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r378_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33702,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33737,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r379_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r37_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33842,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33877,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r380_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33912,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33947,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r381_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n33982,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34017,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r382_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34052,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34087,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r383_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34122,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34157,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r384_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34192,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34227,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r385_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34262,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34297,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r386_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34332,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34367,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r387_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34402,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34437,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r388_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34472,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34507,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r389_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34542,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34577,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r38_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34612,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34647,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r390_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34682,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34717,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r391_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34752,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34787,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r392_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34822,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34857,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r393_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34892,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34927,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r394_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34962,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n34997,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r395_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35032,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35067,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r396_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35102,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35137,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r397_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35172,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35207,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r398_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35242,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35277,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r399_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35312,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35347,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r39_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35382,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35417,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r3_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35452,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35487,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r400_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35522,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35557,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r401_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35592,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35627,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r402_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35662,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35697,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r403_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35732,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35767,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r404_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35802,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35837,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r405_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35872,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35907,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r406_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35942,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n35977,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r407_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36012,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36047,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r408_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36082,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36117,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r409_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36152,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36187,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r40_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36222,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36257,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r410_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36292,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36327,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r411_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36362,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36397,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r412_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36432,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36467,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r413_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36502,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36537,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r414_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36572,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36607,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r415_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36642,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36677,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r416_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36712,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36747,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r417_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36782,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36817,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r418_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36852,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36887,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r419_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36922,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36957,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r41_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n36992,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37027,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r420_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37062,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37097,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r421_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37132,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37167,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r422_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37202,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37237,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r423_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37272,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37307,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r424_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37342,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37377,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r425_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37412,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37447,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r426_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37482,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37517,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r427_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37552,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37587,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r428_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37622,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37657,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r429_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37692,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37727,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r42_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37762,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37797,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r430_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37832,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37867,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r431_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37902,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37937,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r432_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n37972,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38007,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r433_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38042,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38077,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r434_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38112,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38147,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r435_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38182,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38217,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r436_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38252,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38287,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r437_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38322,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38357,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r438_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38392,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38427,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r439_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38462,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38497,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r43_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38532,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38567,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r440_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38602,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38637,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r441_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38672,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38707,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r442_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38742,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38777,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r443_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38812,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38847,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r444_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38882,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38917,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r445_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38952,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n38987,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r446_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39022,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39057,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r447_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39092,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39127,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r448_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39162,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39197,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r449_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39232,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39267,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r44_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39302,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39337,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r450_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39372,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39407,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r451_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39442,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39477,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r452_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39512,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39547,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r453_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39582,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39617,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r454_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39652,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39687,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r455_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39722,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39757,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r456_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39792,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39827,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r457_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39862,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39897,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r458_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39932,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n39967,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r459_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40002,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40037,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r45_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40072,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40107,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r460_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40142,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40177,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r461_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40212,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40247,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r462_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40282,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40317,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r463_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40352,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40387,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r464_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40422,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40457,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r465_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40492,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40527,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r466_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40562,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40597,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r467_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40632,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40667,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r468_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40702,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40737,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r469_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r46_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40842,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40877,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r470_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40912,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40947,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r471_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n40982,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41017,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r472_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41052,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41087,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r473_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41122,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41157,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r474_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41192,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41227,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r475_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41262,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41297,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r476_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41332,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41367,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r477_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41402,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41437,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r478_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41472,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41507,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r479_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41542,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41577,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r47_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41612,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41647,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r480_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41682,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41717,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r481_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41752,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41787,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r482_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41822,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41857,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r483_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41892,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41927,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r484_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41962,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n41997,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r485_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42032,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42067,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r486_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42102,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42137,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r487_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42172,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42207,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r488_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42242,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42277,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r489_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42312,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42347,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r48_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42382,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42417,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r490_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42452,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42487,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r491_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42522,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42557,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r492_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42592,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42627,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r493_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42662,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42697,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r494_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42732,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42767,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r495_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42802,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42837,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r496_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42872,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42907,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r497_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42942,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n42977,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r498_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43012,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43047,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r499_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43082,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43117,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r49_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43152,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43187,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r4_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43222,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43257,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r500_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43292,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43327,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r501_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43362,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43397,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r502_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43432,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43467,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r503_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43502,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43537,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r504_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43572,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43607,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r505_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43642,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43677,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r506_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43712,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43747,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r507_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43782,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43817,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r508_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43852,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43887,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r509_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43922,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43957,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r50_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n43992,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44027,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r510_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44062,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44097,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r511_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44132,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44167,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r51_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44202,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44237,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r52_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44272,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44307,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r53_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44342,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44377,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r54_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44412,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44447,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r55_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44482,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44517,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r56_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44552,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44587,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r57_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44622,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44657,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r58_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44692,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44727,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r59_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44762,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44797,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r5_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44832,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44867,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r60_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44902,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44937,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r61_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n44972,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45007,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r62_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45042,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45077,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r63_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45112,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45147,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r64_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45182,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45217,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r65_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45252,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45287,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r66_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45322,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45357,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r67_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45392,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45427,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r68_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45462,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45497,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r69_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45532,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45567,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r6_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45602,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45637,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r70_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45672,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45707,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r71_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45742,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45777,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r72_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45812,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45847,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r73_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45882,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45917,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r74_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45952,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n45987,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r75_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46022,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46057,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r76_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46092,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46127,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r77_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46162,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46197,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r78_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46232,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46267,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r79_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46302,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46337,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r7_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46372,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46407,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r80_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46442,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46477,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r81_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46512,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46547,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r82_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46582,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46617,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r83_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46652,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46687,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r84_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46722,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46757,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r85_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46792,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46827,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r86_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46862,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46897,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r87_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46932,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n46967,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r88_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47002,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47037,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r89_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47072,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47107,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r8_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47142,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47177,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r90_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47212,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47247,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r91_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47282,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47317,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r92_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47352,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47387,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r93_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47422,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47457,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r94_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47492,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47527,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r95_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47562,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47597,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r96_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47632,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47667,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r97_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47702,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47737,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r98_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47772,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47807,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r99_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_l (
.a({\FM_HW/ADC_Data [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47842,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c0_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 }));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_l (
.a({\FM_HW/ADC_Data [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.b({\FM_HW/ADC_Data [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1]}),
.c({\FM_HW/ADC_Data [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/ADC_Data [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.e({open_n47877,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 }),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di ),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [1:0]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_m1 (
.a({HADDR[2],HADDR[2]}),
.b({HADDR[3],HADDR[3]}),
.c({HADDR[4],HADDR[4]}),
.d({HADDR[5],HADDR[5]}),
.dpram_di(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_di [3:2]),
.dpram_mode(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_mode ),
.dpram_waddr(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_waddr ),
.dpram_wclk(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_wclk ),
.dpram_we(\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_r9_c1_we ),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/dump_done_en_reg (
.b({open_n47913,\FM_HW/_al_u654_o }),
.c({open_n47914,\FM_HW/_al_u553_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n38 ),
.clk(\FM_HW/EOC_gclk_net ),
.d({open_n47915,\FM_HW/_al_u652_o }),
.sr(RSTn_pad),
.f({open_n47932,\FM_HW/_al_u3420_o }),
.q({open_n47936,\FM_HW/FM_Dump_Data_IQ/dump_done_en })); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(98)
// ../rtl/demodulation/FM_Dump_Data.v(98)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000110011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/dump_temp_reg|FM_HW/FM_Dump_Data_IQ/Dump_done_reg (
.b({\FM_HW/FM_Dump_Data_IQ/dump_done_en ,open_n47939}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_temp ,\FM_HW/FM_Dump_Data_IQ/dump_temp }),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/u33_sel_is_2_o ,\FM_HW/FM_Dump_Data_IQ/dump_done_en }),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_temp ,interrupt_IQ_done})); // ../rtl/demodulation/FM_Dump_Data.v(98)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin (
.a({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0],1'b0}),
.b({1'b1,open_n47958}),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_10|FM_Dump_Data_IQ/lt0_9 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10:9]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c9 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_12|FM_Dump_Data_IQ/lt0_11 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12:11]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c11 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_2|FM_Dump_Data_IQ/lt0_1 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2:1]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c1 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_4|FM_Dump_Data_IQ/lt0_3 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4:3]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c3 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_6|FM_Dump_Data_IQ/lt0_5 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6:5]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c5 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_8|FM_Dump_Data_IQ/lt0_7 (
.a(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8:7]),
.b(2'b11),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c7 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt0_0|FM_Dump_Data_IQ/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt0_cout_al_u4008 (
.a({open_n48128,1'b0}),
.b({open_n48129,1'b1}),
.fci(\FM_HW/FM_Dump_Data_IQ/lt0_c13 ),
.f({open_n48148,\FM_HW/FM_Dump_Data_IQ/n34 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin (
.a(2'b01),
.b({HADDR[2],open_n48154}),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_10|FM_Dump_Data_IQ/lt1_9 (
.a(2'b00),
.b(HADDR[12:11]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c9 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_12|FM_Dump_Data_IQ/lt1_11 (
.a(2'b00),
.b(HADDR[14:13]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c11 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_2|FM_Dump_Data_IQ/lt1_1 (
.a(2'b00),
.b(HADDR[4:3]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c1 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_4|FM_Dump_Data_IQ/lt1_3 (
.a(2'b00),
.b(HADDR[6:5]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c3 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_6|FM_Dump_Data_IQ/lt1_5 (
.a(2'b00),
.b(HADDR[8:7]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c5 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_8|FM_Dump_Data_IQ/lt1_7 (
.a(2'b10),
.b(HADDR[10:9]),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c7 ),
.fco(\FM_HW/FM_Dump_Data_IQ/lt1_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_Dump_Data_IQ/lt1_0|FM_Dump_Data_IQ/lt1_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_Dump_Data_IQ/lt1_cout_al_u4009 (
.a({open_n48324,1'b0}),
.b({open_n48325,1'b1}),
.fci(\FM_HW/FM_Dump_Data_IQ/lt1_c13 ),
.f({open_n48344,\FM_HW/FM_Dump_Data_IQ/n58 }));
// ../rtl/demodulation/FM_Dump_Data.v(160)
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("((~C*~B)*~((~D*~A))*~(0)+(~C*~B)*(~D*~A)*~(0)+~((~C*~B))*(~D*~A)*0+(~C*~B)*(~D*~A)*0)"),
//.LUTF1("((~D*~A)*~((~C*~B))*~(0)+(~D*~A)*(~C*~B)*~(0)+~((~D*~A))*(~C*~B)*0+(~D*~A)*(~C*~B)*0)"),
//.LUTG0("((~C*~B)*~((~D*~A))*~(1)+(~C*~B)*(~D*~A)*~(1)+~((~C*~B))*(~D*~A)*1+(~C*~B)*(~D*~A)*1)"),
//.LUTG1("((~D*~A)*~((~C*~B))*~(1)+(~D*~A)*(~C*~B)*~(1)+~((~D*~A))*(~C*~B)*1+(~D*~A)*(~C*~B)*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100000011),
.INIT_LUTF1(16'b0000000001010101),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b0000001100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Dump_Data_IQ/reg0_b1|FM_HW/FM_Dump_Data_IQ/reg0_b4 (
.a({\FM_HW/_al_u1430_o ,\FM_HW/_al_u3159_o }),
.b({\FM_HW/_al_u1519_o ,\FM_HW/_al_u3245_o }),
.c({\FM_HW/_al_u1604_o ,\FM_HW/_al_u3332_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({\FM_HW/_al_u1689_o ,\FM_HW/_al_u3418_o }),
.e({HADDR[14],HADDR[14]}),
.q({\FM_HW/rd_DUMP [1],\FM_HW/rd_DUMP [4]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
// ../rtl/demodulation/FM_Dump_Data.v(160)
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("((~C*~B)*~((~D*~A))*~(0)+(~C*~B)*(~D*~A)*~(0)+~((~C*~B))*(~D*~A)*0+(~C*~B)*(~D*~A)*0)"),
//.LUTF1("~(~(~(~0*D)*B)*~(~C*A))"),
//.LUTG0("((~C*~B)*~((~D*~A))*~(1)+(~C*~B)*(~D*~A)*~(1)+~((~C*~B))*(~D*~A)*1+(~C*~B)*(~D*~A)*1)"),
//.LUTG1("~(~(~(~1*D)*B)*~(~C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100000011),
.INIT_LUTF1(16'b0000101011001110),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b1100111011001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_Dump_Data_IQ/reg0_b3|FM_HW/FM_Dump_Data_IQ/reg0_b6 (
.a({\FM_HW/_al_u744_o ,\FM_HW/_al_u2473_o }),
.b({\FM_HW/_al_u828_o ,\FM_HW/_al_u2557_o }),
.c({\FM_HW/_al_u916_o ,\FM_HW/_al_u2642_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({\FM_HW/_al_u959_o ,\FM_HW/_al_u2727_o }),
.e({\FM_HW/_al_u1001_o ,HADDR[14]}),
.q({\FM_HW/rd_DUMP [3],\FM_HW/rd_DUMP [6]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
// ../rtl/demodulation/FM_Dump_Data.v(37)
// ../rtl/demodulation/FM_Dump_Data.v(37)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000100010101),
.INIT_LUT1(16'b0001000111010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg1_b1|FM_HW/FM_Dump_Data_IQ/reg1_b2 (
.a({\FM_HW/_al_u647_o ,\FM_HW/_al_u649_o }),
.b({\FM_HW/_al_u645_o ,\FM_HW/_al_u645_o }),
.c({HWDATA[7],HWDATA[7]}),
.ce(\FM_HW/FM_Dump_Data_IQ/and_n5_n3_n0_o ),
.clk(clk_pad),
.d({HWDATA[6],HWDATA[6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/Data_dump_state [1],\FM_HW/FM_Dump_Data_IQ/Data_dump_state [2]})); // ../rtl/demodulation/FM_Dump_Data.v(37)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000111000000),
.INIT_LUTF1(16'b1101000111000000),
.INIT_LUTG0(16'b1101000111000000),
.INIT_LUTG1(16'b1101000111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b10|FM_HW/FM_Dump_Data_IQ/reg2_b11 (
.a({\FM_HW/_al_u3420_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/n39 [10],\FM_HW/FM_Dump_Data_IQ/n39 [11]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000111000000),
.INIT_LUT1(16'b1101000111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b12|FM_HW/FM_Dump_Data_IQ/reg2_b9 (
.a({\FM_HW/_al_u3420_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/n39 [12],\FM_HW/FM_Dump_Data_IQ/n39 [9]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000111000000),
.INIT_LUTF1(16'b1101000111000000),
.INIT_LUTG0(16'b1101000111000000),
.INIT_LUTG1(16'b1101000111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b1|FM_HW/FM_Dump_Data_IQ/reg2_b2 (
.a({\FM_HW/_al_u3420_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/n39 [1],\FM_HW/FM_Dump_Data_IQ/n39 [2]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [1],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [2]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000111000000),
.INIT_LUTF1(16'b1101000111000000),
.INIT_LUTG0(16'b1101000111000000),
.INIT_LUTG1(16'b1101000111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b5|FM_HW/FM_Dump_Data_IQ/reg2_b0 (
.a({\FM_HW/_al_u3420_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/n39 [5],\FM_HW/FM_Dump_Data_IQ/n39 [0]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [0]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101000111000000),
.INIT_LUTF1(16'b1101000111000000),
.INIT_LUTG0(16'b1101000111000000),
.INIT_LUTG1(16'b1101000111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b6|FM_HW/FM_Dump_Data_IQ/reg2_b3 (
.a({\FM_HW/_al_u3420_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/n39 [6],\FM_HW/FM_Dump_Data_IQ/n39 [3]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [3]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000111000000),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_Dump_Data_IQ/reg2_b8|FM_HW/FM_Dump_Data_IQ/reg2_b7 (
.a({open_n48513,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/n39 [8],\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/n39 [7]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n38 ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.sr(RSTn_pad),
.q(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8:7])); // ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_GCLK \FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_inst (
.clki(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate ),
.clko(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u4000"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u11_al_u4003 (
.a({open_n48532,\FM_HW/FM_RSSI_SCAN/counter [11]}),
.b({open_n48533,\FM_HW/FM_RSSI_SCAN/counter [12]}),
.c(2'b00),
.d({open_n48536,1'b0}),
.e({open_n48537,1'b0}),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c11 ),
.f({open_n48552,\FM_HW/FM_RSSI_SCAN/n16 [11]}),
.fx({open_n48554,\FM_HW/FM_RSSI_SCAN/n16 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u4000"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u3_al_u4001 (
.a({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [3]}),
.b({\FM_HW/FM_RSSI_SCAN/counter [6],\FM_HW/FM_RSSI_SCAN/counter [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c3 ),
.f({\FM_HW/FM_RSSI_SCAN/n16 [5],\FM_HW/FM_RSSI_SCAN/n16 [3]}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c7 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [6],\FM_HW/FM_RSSI_SCAN/n16 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u4000"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/u7_al_u4002 (
.a({\FM_HW/FM_RSSI_SCAN/counter [9],\FM_HW/FM_RSSI_SCAN/counter [7]}),
.b({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/FM_RSSI_SCAN/counter [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/add2/c7 ),
.f({\FM_HW/FM_RSSI_SCAN/n16 [9],\FM_HW/FM_RSSI_SCAN/n16 [7]}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c11 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [10],\FM_HW/FM_RSSI_SCAN/n16 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/add2/ucin_al_u4000"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/add2/ucin_al_u4000 (
.a({\FM_HW/FM_RSSI_SCAN/counter [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/counter [2],\FM_HW/FM_RSSI_SCAN/counter [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\FM_HW/FM_RSSI_SCAN/n16 [1],open_n48610}),
.fco(\FM_HW/FM_RSSI_SCAN/add2/c3 ),
.fx({\FM_HW/FM_RSSI_SCAN/n16 [2],\FM_HW/FM_RSSI_SCAN/n16 [0]}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin (
.a({\FM_HW/FM_RSSI_SCAN/counter [0],1'b0}),
.b({1'b1,open_n48613}),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_10|FM_RSSI_SCAN/lt0_9 (
.a(\FM_HW/FM_RSSI_SCAN/counter [10:9]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c9 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_12|FM_RSSI_SCAN/lt0_11 (
.a(\FM_HW/FM_RSSI_SCAN/counter [12:11]),
.b(2'b10),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c11 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_2|FM_RSSI_SCAN/lt0_1 (
.a(\FM_HW/FM_RSSI_SCAN/counter [2:1]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c1 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_4|FM_RSSI_SCAN/lt0_3 (
.a(\FM_HW/FM_RSSI_SCAN/counter [4:3]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c3 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_6|FM_RSSI_SCAN/lt0_5 (
.a(\FM_HW/FM_RSSI_SCAN/counter [6:5]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c5 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_8|FM_RSSI_SCAN/lt0_7 (
.a(\FM_HW/FM_RSSI_SCAN/counter [8:7]),
.b(2'b00),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c7 ),
.fco(\FM_HW/FM_RSSI_SCAN/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/lt0_0|FM_RSSI_SCAN/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/lt0_cout_al_u4010 (
.a({open_n48783,1'b0}),
.b({open_n48784,1'b1}),
.fci(\FM_HW/FM_RSSI_SCAN/lt0_c13 ),
.f({open_n48803,\FM_HW/FM_RSSI_SCAN/n14 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_RSSI_SCAN/IdataN [0],open_n48809}),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n1 [0],open_n48829}),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u2|FM_RSSI_SCAN/multlII/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [2:1]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u4|FM_RSSI_SCAN/multlII/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [4:3]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u6|FM_RSSI_SCAN/multlII/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/IdataN [6:5]),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n1 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlII/add0/u0|FM_RSSI_SCAN/multlII/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlII/add0/u7_al_u4011 (
.a({open_n48900,1'b0}),
.b({open_n48901,\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.fci(\FM_HW/FM_RSSI_SCAN/multlII/add0/c7 ),
.f({open_n48920,\FM_HW/FM_RSSI_SCAN/multlII/n1 [7]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT9X9C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("1"),
.SIGNEDBMUX("1"))
\FM_HW/FM_RSSI_SCAN/multlII/mult0_FM_RSSI_SCAN/multlQQ/mult0_ (
.a({1'b0,\FM_HW/FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_HW/FM_RSSI_SCAN/multlII/n2 }),
.b({1'b0,\FM_HW/FM_RSSI_SCAN/multlQQ/n2 ,1'b0,\FM_HW/FM_RSSI_SCAN/multlII/n2 }),
.p({open_n49009,open_n49010,\FM_HW/FM_RSSI_SCAN/multlQQ/n6 ,open_n49011,open_n49012,\FM_HW/FM_RSSI_SCAN/multlII/n6 }));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin (
.a(2'b00),
.b({\FM_HW/FM_RSSI_SCAN/QdataN [0],open_n49013}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [0],open_n49033}),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u2|FM_RSSI_SCAN/multlQQ/add0/u1 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [2:1]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u4|FM_RSSI_SCAN/multlQQ/add0/u3 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [4:3]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u6|FM_RSSI_SCAN/multlQQ/add0/u5 (
.a(2'b00),
.b(\FM_HW/FM_RSSI_SCAN/QdataN [6:5]),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/multlQQ/add0/u0|FM_RSSI_SCAN/multlQQ/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\FM_HW/FM_RSSI_SCAN/multlQQ/add0/u7_al_u4012 (
.a({open_n49104,1'b0}),
.b({open_n49105,\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.fci(\FM_HW/FM_RSSI_SCAN/multlQQ/add0/c7 ),
.f({open_n49124,\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [7]}));
// ../rtl/demodulation/FM_RSSI.v(27)
// ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b0|FM_HW/FM_RSSI_SCAN/reg0_b2 (
.b(\FM_HW/FM_RSSI_SCAN/IdataN [1:0]),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d(\FM_HW/FM_RSSI_SCAN/multlII/n1 [1:0]),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [0],\FM_HW/FM_RSSI_SCAN/n2 [2]}),
.f(\FM_HW/FM_RSSI_SCAN/multlII/n2 [1:0]),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [0],\FM_HW/FM_RSSI_SCAN/QdataN [2]})); // ../rtl/demodulation/FM_RSSI.v(27)
// ../rtl/demodulation/FM_RSSI.v(27)
// ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b3|FM_HW/FM_RSSI_SCAN/reg0_b4 (
.b({\FM_HW/FM_RSSI_SCAN/IdataN [3],\FM_HW/FM_RSSI_SCAN/IdataN [4]}),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlII/n1 [3],\FM_HW/FM_RSSI_SCAN/multlII/n1 [4]}),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [3],\FM_HW/FM_RSSI_SCAN/n2 [4]}),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n2 [3],\FM_HW/FM_RSSI_SCAN/multlII/n2 [4]}),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [3],\FM_HW/FM_RSSI_SCAN/QdataN [4]})); // ../rtl/demodulation/FM_RSSI.v(27)
// ../rtl/demodulation/FM_RSSI.v(27)
// ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg0_b5|FM_HW/FM_RSSI_SCAN/reg0_b6 (
.b({\FM_HW/FM_RSSI_SCAN/IdataN [5],\FM_HW/FM_RSSI_SCAN/IdataN [6]}),
.c({\FM_HW/FM_RSSI_SCAN/IdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlII/n1 [5],\FM_HW/FM_RSSI_SCAN/multlII/n1 [6]}),
.mi({\FM_HW/FM_RSSI_SCAN/n2 [5],\FM_HW/FM_RSSI_SCAN/n2 [6]}),
.f({\FM_HW/FM_RSSI_SCAN/multlII/n2 [5],\FM_HW/FM_RSSI_SCAN/multlII/n2 [6]}),
.q({\FM_HW/FM_RSSI_SCAN/QdataN [5],\FM_HW/FM_RSSI_SCAN/QdataN [6]})); // ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b0 (
.a({open_n49182,\FM_HW/_al_u578_o }),
.b({open_n49183,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({open_n49184,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({open_n49186,\FM_HW/FM_RSSI_SCAN/n15 [0]}),
.sr(RSTn_pad),
.q({open_n49208,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b11|FM_HW/FM_RSSI_SCAN/reg1_b12 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [11],\FM_HW/FM_RSSI_SCAN/n15 [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b13|FM_HW/FM_RSSI_SCAN/reg1_b14 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [13],\FM_HW/FM_RSSI_SCAN/n15 [14]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b15|FM_HW/FM_RSSI_SCAN/reg1_b16 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [15],\FM_HW/FM_RSSI_SCAN/n15 [16]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b17|FM_HW/FM_RSSI_SCAN/reg1_b18 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [17],\FM_HW/FM_RSSI_SCAN/n15 [18]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b19|FM_HW/FM_RSSI_SCAN/reg1_b20 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [19],\FM_HW/FM_RSSI_SCAN/n15 [20]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b1|FM_HW/FM_RSSI_SCAN/reg1_b2 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [1],\FM_HW/FM_RSSI_SCAN/n15 [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b21|FM_HW/FM_RSSI_SCAN/reg1_b22 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [21],\FM_HW/FM_RSSI_SCAN/n15 [22]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b23|FM_HW/FM_RSSI_SCAN/reg1_b25 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [23],\FM_HW/FM_RSSI_SCAN/n15 [25]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b24|FM_HW/FM_RSSI_SCAN/reg1_b26 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [24],\FM_HW/FM_RSSI_SCAN/n15 [26]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b3|FM_HW/FM_RSSI_SCAN/reg1_b4 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [3],\FM_HW/FM_RSSI_SCAN/n15 [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b5|FM_HW/FM_RSSI_SCAN/reg1_b6 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [5],\FM_HW/FM_RSSI_SCAN/n15 [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110000010000),
.INIT_LUTF1(16'b1101110000010000),
.INIT_LUTG0(16'b1101110000010000),
.INIT_LUTG1(16'b1101110000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b7|FM_HW/FM_RSSI_SCAN/reg1_b8 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [7],\FM_HW/FM_RSSI_SCAN/n15 [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110000010000),
.INIT_LUT1(16'b1101110000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg1_b9|FM_HW/FM_RSSI_SCAN/reg1_b10 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.c({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n15 [9],\FM_HW/FM_RSSI_SCAN/n15 [10]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b11|FM_HW/FM_RSSI_SCAN/reg2_b12 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [11],\FM_HW/FM_RSSI_SCAN/n16 [12]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/FM_RSSI_SCAN/counter [12]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/FM_RSSI_SCAN/counter [12]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b1100010111000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b1100010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b1|FM_HW/FM_RSSI_SCAN/reg2_b2 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [1],\FM_HW/FM_RSSI_SCAN/n16 [2]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [1],\FM_HW/FM_RSSI_SCAN/counter [2]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [1],\FM_HW/FM_RSSI_SCAN/counter [2]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b1100010111000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b1100010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b3|FM_HW/FM_RSSI_SCAN/reg2_b4 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [3],\FM_HW/FM_RSSI_SCAN/n16 [4]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [3],\FM_HW/FM_RSSI_SCAN/counter [4]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [3],\FM_HW/FM_RSSI_SCAN/counter [4]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b5|FM_HW/FM_RSSI_SCAN/reg2_b6 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [5],\FM_HW/FM_RSSI_SCAN/n16 [6]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [6]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/counter [6]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b1100010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b7|FM_HW/FM_RSSI_SCAN/reg2_b8 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [7],\FM_HW/FM_RSSI_SCAN/n16 [8]}),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [7],\FM_HW/FM_RSSI_SCAN/counter [8]}),
.sr(RSTn_pad),
.q({\FM_HW/FM_RSSI_SCAN/counter [7],\FM_HW/FM_RSSI_SCAN/counter [8]})); // ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1100010111000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/FM_RSSI_SCAN/reg2_b9|FM_HW/_al_u636 (
.a({\FM_HW/_al_u578_o ,\FM_HW/_al_u635_o }),
.b({\FM_HW/FM_RSSI_SCAN/n16 [9],\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.c({\FM_HW/FM_RSSI_SCAN/n14 ,\FM_HW/FM_RSSI_SCAN/counter [7]}),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d(\FM_HW/FM_RSSI_SCAN/counter [9:8]),
.e({open_n49566,\FM_HW/FM_RSSI_SCAN/counter [9]}),
.sr(RSTn_pad),
.f({open_n49581,\FM_HW/_al_u636_o }),
.q({\FM_HW/FM_RSSI_SCAN/counter [9],open_n49585})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*~B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*~D*~C*~B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b0|FM_HW/FM_RSSI_SCAN/reg3_b3 (
.a({\FM_Display/_al_u71_o ,\FM_Display/_al_u69_o }),
.b({\FM_Display/_al_u72_o ,FMDATA_WADDR[6]}),
.c({FMDATA_WRITE[3],FMDATA_WADDR[5]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({FMDATA_WRITE[2],FMDATA_WADDR[4]}),
.e({open_n49586,FMDATA_WADDR[3]}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13]}),
.f({\FM_Display/n3 ,\FM_Display/_al_u70_o }),
.q({\FM_HW/rd_SCAN [0],\FM_HW/rd_SCAN [3]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b10|FM_HW/FM_RSSI_SCAN/reg3_b5 (
.a({open_n49603,_al_u228_o}),
.b({_al_u392_o,_al_u244_o}),
.c({_al_u396_o,_al_u230_o}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({_al_u390_o,_al_u160_o}),
.e({open_n49604,FMDATA_RDATA[15]}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15]}),
.f({\UART_Interface/n5 ,HRDATA[15]}),
.q({\FM_HW/rd_SCAN [10],\FM_HW/rd_SCAN [5]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b11|FM_HW/FM_RSSI_SCAN/reg3_b7 (
.c({\FM_HW/rd_SCAN [15],\FM_HW/rd_SCAN [16]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17]}),
.f({FMDATA_RDATA[15],FMDATA_RDATA[16]}),
.q({\FM_HW/rd_SCAN [11],\FM_HW/rd_SCAN [7]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b12|FM_HW/FM_RSSI_SCAN/reg3_b8 (
.c({HWRITE,\FM_HW/rd_SCAN [14]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({_al_u396_o,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18]}),
.f({_al_u405_o,FMDATA_RDATA[14]}),
.q({\FM_HW/rd_SCAN [12],\FM_HW/rd_SCAN [8]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(~D*~B)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~(A*~(~D*~B)))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101000001110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0101000001110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b15|FM_HW/FM_RSSI_SCAN/reg3_b14 (
.a({open_n49657,HADDR[7]}),
.b({open_n49658,HADDR[2]}),
.c({HADDR[11],HADDR[6]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({HADDR[5],HADDR[3]}),
.mi(\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25:24]),
.f({\u_logic/_al_u3977_o ,\u_logic/_al_u3984_o }),
.q(\FM_HW/rd_SCAN [15:14])); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b16|FM_HW/FM_RSSI_SCAN/reg3_b9 (
.c(\FM_HW/rd_SCAN [13:12]),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]}),
.f(FMDATA_RDATA[13:12]),
.q({\FM_HW/rd_SCAN [16],\FM_HW/rd_SCAN [9]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*~B*A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~1*~D*C*~B*A)"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b1|FM_HW/FM_RSSI_SCAN/reg3_b2 (
.a({\FM_Display/_al_u70_o ,\FM_HW/_al_u627_o }),
.b({FMDATA_WADDR[2],FMDATA_WADDR[3]}),
.c({FMDATA_WADDR[1],FMDATA_WADDR[2]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({FMDATA_WADDR[0],FMDATA_WADDR[1]}),
.e({open_n49699,FMDATA_WADDR[0]}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.f({\FM_Display/_al_u71_o ,\FM_HW/_al_u628_o }),
.q({\FM_HW/rd_SCAN [1],\FM_HW/rd_SCAN [2]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg3_b6|FM_HW/FM_RSSI_SCAN/reg3_b4 (
.a({FMDATA_WADDR[10],\FM_HW/_al_u626_o }),
.b(FMDATA_WADDR[9:8]),
.c(FMDATA_WADDR[8:7]),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d(FMDATA_WADDR[7:6]),
.e({open_n49716,FMDATA_WADDR[5]}),
.mi({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14]}),
.f({\FM_Display/_al_u69_o ,\FM_HW/_al_u627_o }),
.q({\FM_HW/rd_SCAN [6],\FM_HW/rd_SCAN [4]})); // ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin (
.a({\FM_HW/ADC_Data [4],1'b0}),
.b({1'b1,open_n49733}),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.f({\FM_HW/FM_RSSI_SCAN/n2 [0],open_n49751}),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c1 ),
.q({\FM_HW/FM_RSSI_SCAN/IdataN [0],open_n49754}));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b2|FM_RSSI_SCAN/reg4_b1 (
.a(\FM_HW/ADC_Data [6:5]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c1 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [2:1]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c3 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [2:1]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b4|FM_RSSI_SCAN/reg4_b3 (
.a(\FM_HW/ADC_Data [8:7]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c3 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [4:3]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c5 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [4:3]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b6|FM_RSSI_SCAN/reg4_b5 (
.a(\FM_HW/ADC_Data [10:9]),
.b(2'b11),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c5 ),
.f(\FM_HW/FM_RSSI_SCAN/n2 [6:5]),
.fco(\FM_HW/FM_RSSI_SCAN/sub0/c7 ),
.q(\FM_HW/FM_RSSI_SCAN/IdataN [6:5]));
EG_PHY_MSLICE #(
//.MACRO("FM_HW/FM_RSSI_SCAN/reg4_b0|FM_RSSI_SCAN/sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/FM_RSSI_SCAN/reg4_b7_al_u4013 (
.a({open_n49809,\FM_HW/ADC_Data [11]}),
.b({open_n49810,1'b0}),
.ce(\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.fci(\FM_HW/FM_RSSI_SCAN/sub0/c7 ),
.f({open_n49827,\FM_HW/FM_RSSI_SCAN/n2 [7]}),
.q({open_n49831,\FM_HW/FM_RSSI_SCAN/IdataN [7]}));
EG_PHY_GCLK \FM_HW/U1/bufg_feedback (
.clki(\FM_HW/U1/clk0_buf ),
.clko(\FM_HW/CW_CLK )); // al_ip/PLL_Demodulation.v(45)
EG_PHY_PLL #(
//.RID("0X0100"),
//.WID("0X0100"),
.CLKC0_CPHASE(3),
.CLKC0_DIV(4),
.CLKC0_DIV2_ENABLE("DISABLE"),
.CLKC0_ENABLE("ENABLE"),
.CLKC0_FPHASE(0),
.CLKC1_CPHASE(124),
.CLKC1_DIV(125),
.CLKC1_DIV2_ENABLE("DISABLE"),
.CLKC1_ENABLE("ENABLE"),
.CLKC1_FPHASE(0),
.CLKC2_CPHASE(39),
.CLKC2_DIV(40),
.CLKC2_DIV2_ENABLE("DISABLE"),
.CLKC2_ENABLE("ENABLE"),
.CLKC2_FPHASE(0),
.CLKC3_CPHASE(1),
.CLKC3_DIV(1),
.CLKC3_DIV2_ENABLE("DISABLE"),
.CLKC3_ENABLE("DISABLE"),
.CLKC3_FPHASE(0),
.CLKC4_CPHASE(19),
.CLKC4_DIV(20),
.CLKC4_DIV2_ENABLE("DISABLE"),
.CLKC4_ENABLE("ENABLE"),
.CLKC4_FPHASE(0),
.DERIVE_PLL_CLOCKS("DISABLE"),
.DPHASE_SOURCE("DISABLE"),
.DYNCFG("DISABLE"),
.FBCLK_DIV(4),
.FEEDBK_MODE("NORMAL"),
.FEEDBK_PATH("CLKC0_EXT"),
.FIN("50.000"),
.FREQ_LOCK_ACCURACY(2),
.GEN_BASIC_CLOCK("DISABLE"),
.GMC_GAIN(4),
.GMC_TEST(14),
.ICP_CURRENT(13),
.IF_ESCLKSTSW("DISABLE"),
.INTFB_WAKE("DISABLE"),
.KVCO(4),
.LPF_CAPACITOR(1),
.LPF_RESISTOR(4),
.NORESET("DISABLE"),
.ODIV_MUXC0("DIV"),
.ODIV_MUXC1("DIV"),
.ODIV_MUXC2("DIV"),
.ODIV_MUXC3("DIV"),
.ODIV_MUXC4("DIV"),
.PLLC2RST_ENA("DISABLE"),
.PLLC34RST_ENA("DISABLE"),
.PLLMRST_ENA("DISABLE"),
.PLLRST_ENA("ENABLE"),
.PLL_LOCK_MODE(0),
.PREDIV_MUXC0("VCO"),
.PREDIV_MUXC1("VCO"),
.PREDIV_MUXC2("VCO"),
.PREDIV_MUXC3("VCO"),
.PREDIV_MUXC4("VCO"),
.REFCLK_DIV(1),
.REFCLK_SEL("INTERNAL"),
.STDBY_ENABLE("ENABLE"),
.STDBY_VCO_ENA("DISABLE"),
.SYNC_ENABLE("DISABLE"),
.VCO_NORESET("DISABLE"))
\FM_HW/U1/pll_inst (
.daddr(6'b000000),
.dclk(1'b0),
.dcs(1'b0),
.di(8'b00000000),
.dwe(1'b0),
.fbclk(\FM_HW/CW_CLK ),
.load_reg(1'b0),
.psclk(1'b0),
.psclksel(3'b000),
.psdown(1'b0),
.psstep(1'b0),
.refclk(clk_pad),
.reset(1'b0),
.stdby(1'b0),
.clkc({open_n49832,open_n49833,\FM_HW/clk_PWM1 ,\FM_HW/ADC_CLK ,\FM_HW/U1/clk0_buf })); // al_ip/PLL_Demodulation.v(80)
EG_PHY_ADC #(
.CH0("DISABLE"),
.CH1("DISABLE"),
.CH2("DISABLE"),
.CH3("DISABLE"),
.CH4("ENABLE"),
.CH5("DISABLE"),
.CH6("ENABLE"),
.CH7("DISABLE"),
.VREF("DISABLE"))
\FM_HW/U2/adc (
.clk(\FM_HW/ADC_CLK ),
.pd(\FM_HW/adc_Power_down ),
.s({1'b1,\FM_HW/Channel [1],1'b0}),
.soc(1'b1),
.dout(\FM_HW/ADC_Data ),
.eoc(\FM_HW/EOC )); // al_ip/ADC_Sampling.v(26)
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~B*A*~(D*~C)))"),
//.LUT1("(~1*~(~B*A*~(D*~C)))"),
.INIT_LUT0(16'b1101111111011101),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1000 (
.a({\FM_HW/_al_u995_o ,\FM_HW/_al_u995_o }),
.b({\FM_HW/_al_u997_o ,\FM_HW/_al_u997_o }),
.c({\FM_HW/_al_u999_o ,\FM_HW/_al_u999_o }),
.d({\FM_HW/_al_u671_o ,\FM_HW/_al_u671_o }),
.mi({open_n49856,HADDR[10]}),
.fx({open_n49861,\FM_HW/_al_u1000_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUT1("(D*~(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1001 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 }),
.b({\FM_HW/_al_u990_o ,\FM_HW/_al_u990_o }),
.c({\FM_HW/_al_u1000_o ,\FM_HW/_al_u1000_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n49876,HADDR[11]}),
.fx({open_n49881,\FM_HW/_al_u1001_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1003|FM_HW/_al_u977 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1003_o ,\FM_HW/_al_u977_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1004|FM_HW/_al_u1988 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_002 ,\FM_HW/_al_u1987_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_002 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1003_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_000 }),
.e({open_n49910,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_000 }),
.f({\FM_HW/_al_u1004_o ,\FM_HW/_al_u1988_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1005|FM_HW/_al_u972 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1005_o ,\FM_HW/_al_u972_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1007|FM_HW/_al_u974 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1007_o ,\FM_HW/_al_u974_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1008|FM_HW/_al_u1989 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_000 }),
.c({\FM_HW/_al_u1007_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1008_o ,\FM_HW/_al_u1989_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1009|FM_HW/_al_u970 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1009_o ,\FM_HW/_al_u970_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1010|FM_HW/_al_u1986 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_002 ,\FM_HW/_al_u1985_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_002 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1009_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_000 }),
.e({open_n50029,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_000 }),
.f({\FM_HW/_al_u1010_o ,\FM_HW/_al_u1986_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1012|FM_HW/_al_u1461 (
.a({\FM_HW/_al_u1004_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_001 }),
.b({\FM_HW/_al_u1006_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_001 }),
.c({\FM_HW/_al_u1011_o ,\FM_HW/_al_u1460_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1012_o ,\FM_HW/_al_u1461_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1013|FM_HW/_al_u981 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1013_o ,\FM_HW/_al_u981_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1014|FM_HW/_al_u1957 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_000 }),
.c({\FM_HW/_al_u1013_o ,\FM_HW/_al_u1956_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1015|FM_HW/_al_u985 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1015_o ,\FM_HW/_al_u985_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1016|FM_HW/_al_u1959 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_000 }),
.c({\FM_HW/_al_u1015_o ,\FM_HW/_al_u1958_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1016_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1018|FM_HW/_al_u1513 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1018_o ,\FM_HW/_al_u1513_o }));
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(0*~(~C*~B)))"),
//.LUTF1("~(~A*~((~D*~C*~B))*~(0)+~A*(~D*~C*~B)*~(0)+~(~A)*(~D*~C*~B)*0+~A*(~D*~C*~B)*0)"),
//.LUTG0("(~D*~A*~(1*~(~C*~B)))"),
//.LUTG1("~(~A*~((~D*~C*~B))*~(1)+~A*(~D*~C*~B)*~(1)+~(~A)*(~D*~C*~B)*1+~A*(~D*~C*~B)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1111111111111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_HW/_al_u1022|scan_unit/reg2_b1 (
.a({\FM_HW/_al_u1012_o ,\FM_HW/_al_u2599_o }),
.b({\FM_HW/_al_u1017_o ,\FM_HW/_al_u2620_o }),
.c({\FM_HW/_al_u1019_o ,\FM_HW/_al_u2641_o }),
.clk(clk_pad),
.d({\FM_HW/_al_u1021_o ,HADDR[13]}),
.e({HADDR[11],HADDR[12]}),
.mi({open_n50192,\scan_unit/n2 [1]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1022_o ,\FM_HW/_al_u2642_o }),
.q({open_n50207,\scan_unit/cnt [1]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1023|FM_HW/_al_u964 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1023_o ,\FM_HW/_al_u964_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1025|FM_HW/_al_u960 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1025_o ,\FM_HW/_al_u960_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1026|FM_HW/_al_u1470 (
.a({\FM_HW/_al_u1025_o ,HADDR[6]}),
.b({\FM_HW/_al_u678_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_001 }),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_002 ,HADDR[7]}),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_002 ,open_n50258}),
.f({\FM_HW/_al_u1026_o ,\FM_HW/_al_u1470_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1027|FM_HW/_al_u966 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1027_o ,\FM_HW/_al_u966_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1030|FM_HW/_al_u962 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1030_o ,\FM_HW/_al_u962_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1031|FM_HW/_al_u1973 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_000 }),
.c({\FM_HW/_al_u1030_o ,\FM_HW/_al_u1972_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1031_o ,\FM_HW/_al_u1973_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1033|FM_HW/_al_u996 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_003 }),
.f({\FM_HW/_al_u1033_o ,\FM_HW/_al_u996_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1035|FM_HW/_al_u993 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1035_o ,\FM_HW/_al_u993_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1037|FM_HW/_al_u998 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1037_o ,\FM_HW/_al_u998_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1038|FM_HW/_al_u1505 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_001 }),
.c({\FM_HW/_al_u1037_o ,\FM_HW/_al_u1504_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1038_o ,\FM_HW/_al_u1505_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~B*~A*~(D*~C))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~B*~A*~(D*~C))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0001000000010001),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1039|FM_HW/_al_u994 (
.a({\FM_HW/_al_u1034_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_003 }),
.b({\FM_HW/_al_u1036_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_003 }),
.c({\FM_HW/_al_u1038_o ,\FM_HW/_al_u993_o }),
.d({\FM_HW/_al_u671_o ,HADDR[7]}),
.f({\FM_HW/_al_u1039_o ,\FM_HW/_al_u994_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1040|FM_HW/_al_u991 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1040_o ,\FM_HW/_al_u991_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(D*A*~(C*~B))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1000101000000000),
.MODE("LOGIC"))
\FM_HW/_al_u1042|FM_HW/_al_u992 (
.a({\FM_HW/_al_u1039_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_003 }),
.b({\FM_HW/_al_u1041_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_003 }),
.c({\FM_HW/_al_u674_o ,\FM_HW/_al_u991_o }),
.d({HADDR[11],HADDR[7]}),
.f({\FM_HW/_al_u1042_o ,\FM_HW/_al_u992_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1044|FM_HW/_al_u802 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1044_o ,\FM_HW/_al_u802_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1046|FM_HW/_al_u800 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1046_o ,\FM_HW/_al_u800_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1047|FM_HW/_al_u1914 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_000 }),
.c({\FM_HW/_al_u1046_o ,\FM_HW/_al_u1913_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ,\FM_HW/_al_u1914_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1048|FM_HW/_al_u796 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1048_o ,\FM_HW/_al_u796_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1049|FM_HW/_al_u1542 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_001 }),
.c({\FM_HW/_al_u1048_o ,\FM_HW/_al_u1541_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101010100001111),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0011001111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1050|FM_HW/_al_u3015 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_119 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_116 ,\FM_HW/_al_u3014_o }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n50625}),
.f({\FM_HW/_al_u1050_o ,\FM_HW/_al_u3015_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1051|FM_HW/_al_u3020 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1051_o ,\FM_HW/_al_u3020_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1052|FM_HW/_al_u1922 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_000 }),
.c({\FM_HW/_al_u1051_o ,\FM_HW/_al_u1921_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 ,\FM_HW/_al_u1922_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1053|FM_HW/_al_u793 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1053_o ,\FM_HW/_al_u793_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1054|FM_HW/_al_u794 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_003 }),
.c({\FM_HW/_al_u1053_o ,\FM_HW/_al_u793_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 ,\FM_HW/_al_u794_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1055|FM_HW/_al_u1559 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1055_o ,\FM_HW/_al_u1559_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1056|FM_HW/_al_u787 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_003 }),
.c({\FM_HW/_al_u1055_o ,\FM_HW/_al_u786_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 ,\FM_HW/_al_u787_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1058|FM_HW/_al_u2673 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1058_o ,\FM_HW/_al_u2673_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1059|FM_HW/_al_u3018 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_005 }),
.c({\FM_HW/_al_u1058_o ,\FM_HW/_al_u3017_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ,\FM_HW/_al_u3018_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u1060|FM_HW/_al_u3088 (
.a({open_n50826,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 }),
.b({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 }),
.c({HADDR[8],HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_117 ,HADDR[8]}),
.f({\FM_HW/_al_u1060_o ,\FM_HW/_al_u3088_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1061|FM_HW/_al_u790 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1061_o ,\FM_HW/_al_u790_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1062|FM_HW/_al_u1555 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_001 }),
.c({\FM_HW/_al_u1061_o ,\FM_HW/_al_u1554_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1065|FM_HW/_al_u824 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1065_o ,\FM_HW/_al_u824_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1066|FM_HW/_al_u2695 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_006 }),
.c({\FM_HW/_al_u1065_o ,\FM_HW/_al_u2694_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1066_o ,\FM_HW/_al_u2695_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1070|FM_HW/_al_u819 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1070_o ,\FM_HW/_al_u819_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1072|FM_HW/_al_u817 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1072_o ,\FM_HW/_al_u817_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1075|FM_HW/_al_u807 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_003 }),
.f({\FM_HW/_al_u1075_o ,\FM_HW/_al_u807_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1076|FM_HW/_al_u808 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_002 ,\FM_HW/_al_u807_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_002 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1075_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_003 }),
.e({open_n51009,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ,\FM_HW/_al_u808_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1077|FM_HW/_al_u1078 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_002 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_002 }),
.f({\FM_HW/_al_u1077_o ,\FM_HW/_al_u1078_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1079 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_126 }),
.b({\FM_HW/_al_u1077_o ,\FM_HW/_al_u1077_o }),
.c({\FM_HW/_al_u1078_o ,\FM_HW/_al_u1078_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n51066,HADDR[8]}),
.fx({open_n51071,\FM_HW/_al_u1079_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1080|FM_HW/_al_u814 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1080_o ,\FM_HW/_al_u814_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1082|FM_HW/_al_u811 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1082_o ,\FM_HW/_al_u811_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1083|FM_HW/_al_u812 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_003 }),
.c({\FM_HW/_al_u1082_o ,\FM_HW/_al_u811_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 ,\FM_HW/_al_u812_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1086|FM_HW/_al_u923 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1086_o ,\FM_HW/_al_u923_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1001110110111111),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0001010100110111),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1087|FM_HW/_al_u2903 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_002 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_002 ,HADDR[6]}),
.c({\FM_HW/_al_u1086_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_005 }),
.e({open_n51172,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ,\FM_HW/_al_u2903_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1088|FM_HW/_al_u921 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1088_o ,\FM_HW/_al_u921_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1089|FM_HW/_al_u922 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_003 }),
.c({\FM_HW/_al_u1088_o ,\FM_HW/_al_u921_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1090|FM_HW/_al_u2017 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_70 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_71 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_000 }),
.c({HADDR[9],\FM_HW/_al_u2016_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1090_o ,\FM_HW/_al_u2017_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1091|FM_HW/_al_u917 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1091_o ,\FM_HW/_al_u917_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1092|FM_HW/_al_u918 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_003 }),
.c({\FM_HW/_al_u1091_o ,\FM_HW/_al_u917_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1092_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1093|FM_HW/_al_u919 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1093_o ,\FM_HW/_al_u919_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1094|FM_HW/_al_u1486 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_001 }),
.c({\FM_HW/_al_u1093_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ,\FM_HW/_al_u1486_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
.INIT_LUT0(16'b1111000010101100),
.INIT_LUT1(16'b0000101000000011),
.MODE("LOGIC"))
\FM_HW/_al_u1095|FM_HW/_al_u2022 (
.a({\FM_HW/_al_u1092_o ,\FM_HW/_al_u2019_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_68 ,\FM_HW/_al_u2021_o }),
.c(HADDR[9:8]),
.d({HADDR[8],HADDR[9]}),
.f({\FM_HW/_al_u1095_o ,\FM_HW/_al_u2022_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1096|FM_HW/_al_u3697 (
.b({\FM_HW/_al_u1095_o ,\FM_HW/_al_u3459_o }),
.c({HADDR[11],\FM_HW/_al_u3437_o }),
.d({\FM_HW/_al_u1090_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/_al_u1096_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1097|FM_HW/_al_u2010 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1097_o ,\FM_HW/_al_u2010_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1099|FM_HW/_al_u953 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1099_o ,\FM_HW/_al_u953_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1100|FM_HW/_al_u1446 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_001 }),
.c({\FM_HW/_al_u1099_o ,\FM_HW/_al_u1445_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1100_o ,\FM_HW/_al_u1446_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1101|FM_HW/_al_u950 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1101_o ,\FM_HW/_al_u950_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1010101011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1103|FM_HW/_al_u957 (
.a({\FM_HW/_al_u1098_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_003 }),
.b({\FM_HW/_al_u1100_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_003 }),
.c({\FM_HW/_al_u1102_o ,\FM_HW/_al_u956_o }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n51497}),
.f({\FM_HW/_al_u1103_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1104|FM_HW/_al_u932 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1104_o ,\FM_HW/_al_u932_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1105|FM_HW/_al_u933 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_003 }),
.c({\FM_HW/_al_u1104_o ,\FM_HW/_al_u932_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1106|FM_HW/_al_u934 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1106_o ,\FM_HW/_al_u934_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1109|FM_HW/_al_u948 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1109_o ,\FM_HW/_al_u948_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1110|FM_HW/_al_u949 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_003 }),
.c({\FM_HW/_al_u1109_o ,\FM_HW/_al_u948_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1110_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b1010100000100000),
.INIT_LUTG0(16'b1000100010001010),
.INIT_LUTG1(16'b1010100000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1114|FM_HW/_al_u1112 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_002 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_002 }),
.e({open_n51632,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_002 }),
.f({\FM_HW/_al_u1114_o ,\FM_HW/_al_u1112_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*B)*~(~C*A))"),
//.LUT1("(~1*~(~D*B)*~(~C*A))"),
.INIT_LUT0(16'b1111010100110001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1116 (
.a({\FM_HW/_al_u1112_o ,\FM_HW/_al_u1112_o }),
.b({\FM_HW/_al_u1113_o ,\FM_HW/_al_u1113_o }),
.c({\FM_HW/_al_u1114_o ,\FM_HW/_al_u1114_o }),
.d({\FM_HW/_al_u1115_o ,\FM_HW/_al_u1115_o }),
.mi({open_n51665,HADDR[9]}),
.fx({open_n51670,\FM_HW/_al_u1116_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*B)*~(C*A))"),
//.LUT1("(~1*~(~D*B)*~(C*A))"),
.INIT_LUT0(16'b0101111100010011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1117 (
.a({\FM_HW/_al_u1103_o ,\FM_HW/_al_u1103_o }),
.b({\FM_HW/_al_u1108_o ,\FM_HW/_al_u1108_o }),
.c({\FM_HW/_al_u1111_o ,\FM_HW/_al_u1111_o }),
.d({\FM_HW/_al_u1116_o ,\FM_HW/_al_u1116_o }),
.mi({open_n51685,HADDR[10]}),
.fx({open_n51690,\FM_HW/_al_u1117_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1118|FM_HW/_al_u938 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_003 }),
.f({\FM_HW/_al_u1118_o ,\FM_HW/_al_u938_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1119|FM_HW/_al_u939 (
.a({\FM_HW/_al_u1118_o ,\FM_HW/_al_u938_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u674_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_003 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_003 }),
.f({\FM_HW/_al_u1119_o ,\FM_HW/_al_u939_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1120|FM_HW/_al_u943 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_003 }),
.f({\FM_HW/_al_u1120_o ,\FM_HW/_al_u943_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1122|FM_HW/_al_u944 (
.a({open_n51763,\FM_HW/_al_u943_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_002 ,HADDR[9]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_002 ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_003 }),
.e({open_n51766,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_003 }),
.f({\FM_HW/_al_u1122_o ,\FM_HW/_al_u944_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1125|FM_HW/_al_u940 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1125_o ,\FM_HW/_al_u940_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(C*~B*~A*~(0*~D))"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(C*~B*~A*~(1*~D))"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0001000000010000),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1127|FM_HW/_al_u1124 (
.a({\FM_HW/_al_u1119_o ,\FM_HW/_al_u1122_o }),
.b({\FM_HW/_al_u1121_o ,\FM_HW/_al_u1123_o }),
.c({\FM_HW/_al_u1124_o ,\FM_HW/_al_u668_o }),
.d({\FM_HW/_al_u1126_o ,HADDR[11]}),
.e({\FM_HW/_al_u671_o ,HADDR[6]}),
.f({\FM_HW/_al_u1127_o ,\FM_HW/_al_u1124_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(0*~C*~A))"),
//.LUT1("(~D*~B*~(1*~C*~A))"),
.INIT_LUT0(16'b0000000000110011),
.INIT_LUT1(16'b0000000000110010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1128 (
.a({\FM_HW/_al_u1096_o ,\FM_HW/_al_u1096_o }),
.b({\FM_HW/_al_u1117_o ,\FM_HW/_al_u1117_o }),
.c({\FM_HW/_al_u1127_o ,\FM_HW/_al_u1127_o }),
.d({HADDR[13],HADDR[13]}),
.mi({open_n51845,HADDR[10]}),
.fx({open_n51850,\FM_HW/_al_u1128_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1129|FM_HW/_al_u772 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1129_o ,\FM_HW/_al_u772_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1131|FM_HW/_al_u2662 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1131_o ,\FM_HW/_al_u2662_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1132|FM_HW/_al_u2663 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_006 }),
.c({\FM_HW/_al_u1131_o ,\FM_HW/_al_u2662_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ,\FM_HW/_al_u2663_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)))"),
//.LUTF1("(~A*~(~D*~(C*~B)))"),
//.LUTG0("(A*~(~D*~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)))"),
//.LUTG1("(~A*~(~D*~(C*~B)))"),
.INIT_LUTF0(16'b1010101010100000),
.INIT_LUTF1(16'b0101010100010000),
.INIT_LUTG0(16'b1010101010001000),
.INIT_LUTG1(16'b0101010100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1133|FM_HW/_al_u2378 (
.a({\FM_HW/_al_u1130_o ,\FM_HW/_al_u2373_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_110 ,\FM_HW/_al_u2375_o }),
.c({HADDR[9],\FM_HW/_al_u2377_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n51923,HADDR[8]}),
.f({\FM_HW/_al_u1133_o ,\FM_HW/_al_u2378_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1134|FM_HW/_al_u1903 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1134_o ,\FM_HW/_al_u1903_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1136|FM_HW/_al_u767 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1136_o ,\FM_HW/_al_u767_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
//.LUT1("(D*~(1*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b0100111000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1138 (
.a({\FM_HW/_al_u1133_o ,\FM_HW/_al_u1133_o }),
.b({\FM_HW/_al_u1135_o ,\FM_HW/_al_u1135_o }),
.c({\FM_HW/_al_u1137_o ,\FM_HW/_al_u1137_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n52004,HADDR[10]}),
.fx({open_n52009,\FM_HW/_al_u1138_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1139|FM_HW/_al_u754 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1139_o ,\FM_HW/_al_u754_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1140|FM_HW/_al_u755 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_003 }),
.c({\FM_HW/_al_u1139_o ,\FM_HW/_al_u754_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1141|FM_HW/_al_u1601 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_001 }),
.f({\FM_HW/_al_u1141_o ,\FM_HW/_al_u1601_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b1111111111111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1143 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_96 }),
.b({\FM_HW/_al_u1141_o ,\FM_HW/_al_u1141_o }),
.c({\FM_HW/_al_u1142_o ,\FM_HW/_al_u1142_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n52096,HADDR[8]}),
.fx({open_n52101,\FM_HW/_al_u1143_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1144|FM_HW/_al_u759 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1144_o ,\FM_HW/_al_u759_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1146|FM_HW/_al_u761 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1146_o ,\FM_HW/_al_u761_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~0*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
//.LUT1("(~D*~(~1*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
.INIT_LUT0(16'b0000000001001110),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1148 (
.a({\FM_HW/_al_u1143_o ,\FM_HW/_al_u1143_o }),
.b({\FM_HW/_al_u1145_o ,\FM_HW/_al_u1145_o }),
.c({\FM_HW/_al_u1147_o ,\FM_HW/_al_u1147_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n52164,HADDR[10]}),
.fx({open_n52169,\FM_HW/_al_u1148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1149|FM_HW/_al_u775 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1149_o ,\FM_HW/_al_u775_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1150|FM_HW/_al_u776 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_003 }),
.c({\FM_HW/_al_u1149_o ,\FM_HW/_al_u775_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1151|FM_HW/_al_u1152 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_002 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_002 }),
.f({\FM_HW/_al_u1151_o ,\FM_HW/_al_u1152_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1154|FM_HW/_al_u782 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1154_o ,\FM_HW/_al_u782_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1155|FM_HW/_al_u2649 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_006 }),
.c({\FM_HW/_al_u1154_o ,\FM_HW/_al_u2648_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1156|FM_HW/_al_u780 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1156_o ,\FM_HW/_al_u780_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1157|FM_HW/_al_u781 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_003 }),
.c({\FM_HW/_al_u1156_o ,\FM_HW/_al_u780_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0))"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTG0("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1))"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b0000000001010101),
.INIT_LUTG0(16'b0000000000011011),
.INIT_LUTG1(16'b0000000011011000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1158|FM_HW/_al_u784 (
.a({\FM_HW/_al_u1153_o ,\FM_HW/_al_u779_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_107 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_106 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_106 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 }),
.d({HADDR[10],HADDR[10]}),
.e({HADDR[9],HADDR[9]}),
.f({\FM_HW/_al_u1158_o ,\FM_HW/_al_u784_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1159|FM_HW/_al_u1160 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_002 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_002 }),
.f({\FM_HW/_al_u1159_o ,\FM_HW/_al_u1160_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1161|FM_HW/_al_u1162 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_002 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_002 }),
.f({\FM_HW/_al_u1161_o ,\FM_HW/_al_u1162_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1166|FM_HW/_al_u1877 (
.a({open_n52402,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1166_o ,\FM_HW/_al_u1877_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1167|FM_HW/_al_u752 (
.a({open_n52427,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_002 ,\FM_HW/_al_u751_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1167_o ,\FM_HW/_al_u752_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000110000001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000110000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1168|FM_HW/_al_u3056 (
.a({\FM_HW/_al_u1166_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_005 }),
.b({\FM_HW/_al_u1167_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_005 }),
.c({HADDR[8],\FM_HW/_al_u3055_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1168_o ,\FM_HW/_al_u3056_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUT1("(D*((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1010101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1169 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 }),
.b({\FM_HW/_al_u1165_o ,\FM_HW/_al_u1165_o }),
.c({\FM_HW/_al_u1168_o ,\FM_HW/_al_u1168_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n52488,HADDR[9]}),
.fx({open_n52493,\FM_HW/_al_u1169_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~(~D*B)*~(~C*A)))"),
//.LUTG0("(1*~(~(~D*B)*~(~C*A)))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTG0(16'b0000101011001110),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1170 (
.a({open_n52496,\FM_HW/_al_u1138_o }),
.b({open_n52497,\FM_HW/_al_u1148_o }),
.c({open_n52498,\FM_HW/_al_u1158_o }),
.d({open_n52501,\FM_HW/_al_u1169_o }),
.e({open_n52502,HADDR[13]}),
.f({open_n52518,\FM_HW/_al_u1170_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1172|FM_HW/_al_u683 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1172_o ,\FM_HW/_al_u683_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1177|FM_HW/_al_u686 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1177_o ,\FM_HW/_al_u686_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1178|FM_HW/_al_u3302 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_004 }),
.c({\FM_HW/_al_u1177_o ,\FM_HW/_al_u3301_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1178_o ,\FM_HW/_al_u3302_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~D*((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000010101010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1179|FM_HW/_al_u2176 (
.a({open_n52592,\FM_HW/_al_u2170_o }),
.b({open_n52593,\FM_HW/_al_u2173_o }),
.c({HADDR[8],\FM_HW/_al_u2175_o }),
.d({\FM_HW/_al_u1178_o ,HADDR[11]}),
.e({open_n52596,HADDR[9]}),
.f({\FM_HW/_al_u1179_o ,\FM_HW/_al_u2176_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1180|FM_HW/_al_u688 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1180_o ,\FM_HW/_al_u688_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u1181|RAMDATA_Interface/reg0_b1 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_001 }),
.c({\FM_HW/_al_u1180_o ,\FM_HW/_al_u1393_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({HADDR[7],HADDR[7]}),
.mi({open_n52644,HADDR[3]}),
.sr(cpuresetn),
.f({\FM_HW/_al_u1181_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 }),
.q({open_n52659,RAMDATA_WADDR[1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1182|FM_HW/_al_u1726 (
.a({open_n52660,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_000 }),
.b({open_n52661,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_000 }),
.c({HADDR[8],\FM_HW/_al_u1725_o }),
.d({\FM_HW/_al_u1181_o ,HADDR[7]}),
.f({\FM_HW/_al_u1182_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
.INIT_LUTF0(16'b0101010100001111),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0011001111111111),
.INIT_LUTG1(16'b0101010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1183|FM_HW/_al_u2876 (
.a({\FM_HW/_al_u1176_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 }),
.b({\FM_HW/_al_u1179_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 }),
.c({\FM_HW/_al_u1182_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 }),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.f({\FM_HW/_al_u1183_o ,\FM_HW/_al_u2876_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1184|FM_HW/_al_u692 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1184_o ,\FM_HW/_al_u692_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1185|FM_HW/_al_u1718 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_000 }),
.c({\FM_HW/_al_u1184_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ,\FM_HW/_al_u1718_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(~0*~C*~B))"),
//.LUTF1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~D*~A*~(~1*~C*~B))"),
//.LUTG1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000000001010100),
.INIT_LUTF1(16'b0000001100000101),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b0000001100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1188|FM_HW/_al_u1194 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_0 ,\FM_HW/_al_u1183_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 ,\FM_HW/_al_u1188_o }),
.c({HADDR[9],\FM_HW/_al_u1193_o }),
.d({HADDR[8],HADDR[11]}),
.e({open_n52758,HADDR[10]}),
.f({\FM_HW/_al_u1188_o ,\FM_HW/_al_u1194_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010101011011000),
.INIT_LUTF1(16'b1010101011011000),
.INIT_LUTG0(16'b1010101011011000),
.INIT_LUTG1(16'b1010101011011000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1189|FM_HW/_al_u2558 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1189_o ,\FM_HW/_al_u2558_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1191|FM_HW/_al_u694 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1191_o ,\FM_HW/_al_u694_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1192|FM_HW/_al_u1391 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_001 }),
.c({\FM_HW/_al_u1191_o ,\FM_HW/_al_u1390_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1193|FM_HW/_al_u1715 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 ,\FM_HW/_al_u1712_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_3 ,\FM_HW/_al_u1714_o }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1193_o ,\FM_HW/_al_u1715_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1195|FM_HW/_al_u670 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_003 }),
.f({\FM_HW/_al_u1195_o ,\FM_HW/_al_u670_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1196|FM_HW/_al_u672 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_002 ,\FM_HW/_al_u670_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_002 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1195_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_003 }),
.e({open_n52897,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_003 }),
.f({\FM_HW/_al_u1196_o ,\FM_HW/_al_u672_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1197|FM_HW/_al_u667 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_003 }),
.f({\FM_HW/_al_u1197_o ,\FM_HW/_al_u667_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1198|FM_HW/_al_u669 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_002 ,\FM_HW/_al_u667_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_002 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1197_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_003 }),
.e({open_n52944,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_003 }),
.f({\FM_HW/_al_u1198_o ,\FM_HW/_al_u669_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111110010101111),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1199|FM_HW/_al_u1201 (
.a({\FM_HW/_al_u1196_o ,\FM_HW/_al_u1200_o }),
.b({\FM_HW/_al_u1198_o ,\FM_HW/_al_u674_o }),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_002 }),
.e({open_n52967,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_002 }),
.f({\FM_HW/_al_u1199_o ,\FM_HW/_al_u1201_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1200|FM_HW/_al_u673 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_003 }),
.f({\FM_HW/_al_u1200_o ,\FM_HW/_al_u673_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1202|FM_HW/_al_u676 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1202_o ,\FM_HW/_al_u676_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(D*~(0*~(~C*~B*A)))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(D*~(1*~(~C*~B*A)))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1204|FM_HW/_al_u2866 (
.a({\FM_HW/_al_u1199_o ,\FM_HW/_al_u2865_o }),
.b({\FM_HW/_al_u1201_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1203_o ,HADDR[7]}),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_005 }),
.e({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_005 }),
.f({\FM_HW/_al_u1204_o ,\FM_HW/_al_u2866_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1205|FM_HW/_al_u661 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1205_o ,\FM_HW/_al_u661_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1206|FM_HW/_al_u662 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_003 }),
.c({\FM_HW/_al_u1205_o ,\FM_HW/_al_u661_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1206_o ,\FM_HW/_al_u662_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1207|FM_HW/_al_u657 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1207_o ,\FM_HW/_al_u657_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1208|FM_HW/_al_u658 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_003 }),
.c({\FM_HW/_al_u1207_o ,\FM_HW/_al_u657_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1208_o ,\FM_HW/_al_u658_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1210|FM_HW/_al_u663 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1210_o ,\FM_HW/_al_u663_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1212|FM_HW/_al_u659 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1212_o ,\FM_HW/_al_u659_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1213|FM_HW/_al_u660 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_003 }),
.c({\FM_HW/_al_u1212_o ,\FM_HW/_al_u659_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1213_o ,\FM_HW/_al_u660_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1214|FM_HW/_al_u1702 (
.a({\FM_HW/_al_u1211_o ,\FM_HW/_al_u1701_o }),
.b({\FM_HW/_al_u1213_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u674_o ,HADDR[7]}),
.d({\FM_HW/_al_u678_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_000 }),
.e({open_n53224,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_000 }),
.f({\FM_HW/_al_u1214_o ,\FM_HW/_al_u1702_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1216|FM_HW/_al_u913 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_003 }),
.f({\FM_HW/_al_u1216_o ,\FM_HW/_al_u913_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG0("(~A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
.INIT_LUTF0(16'b0100010101000100),
.INIT_LUTF1(16'b0100010101100111),
.INIT_LUTG0(16'b0101010101010100),
.INIT_LUTG1(16'b0100010101100111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1217|FM_HW/_al_u912 (
.a({\FM_HW/_al_u1216_o ,HADDR[8]}),
.b({HADDR[7],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_002 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_003 }),
.e({open_n53271,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_003 }),
.f({\FM_HW/_al_u1217_o ,\FM_HW/_al_u912_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1218|FM_HW/_al_u910 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_003 }),
.f({\FM_HW/_al_u1218_o ,\FM_HW/_al_u910_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1219|FM_HW/_al_u911 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_002 ,\FM_HW/_al_u910_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_002 ,HADDR[8]}),
.c({\FM_HW/_al_u1218_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_003 }),
.e({open_n53318,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_003 }),
.f({\FM_HW/_al_u1219_o ,\FM_HW/_al_u911_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1220|FM_HW/_al_u905 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_003 }),
.f({\FM_HW/_al_u1220_o ,\FM_HW/_al_u905_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1221|FM_HW/_al_u1804 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_000 }),
.c({\FM_HW/_al_u1220_o ,\FM_HW/_al_u1803_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1221_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1223|FM_HW/_al_u1222 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_002 }),
.c({\FM_HW/_al_u1222_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_002 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1223_o ,\FM_HW/_al_u1222_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110011),
.INIT_LUT1(16'b1111000010101100),
.MODE("LOGIC"))
\FM_HW/_al_u1224|FM_HW/_al_u2268 (
.a({\FM_HW/_al_u1221_o ,open_n53407}),
.b({\FM_HW/_al_u1223_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_007 }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_007 }),
.d({HADDR[9],HADDR[6]}),
.f({\FM_HW/_al_u1224_o ,\FM_HW/_al_u2268_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\FM_HW/_al_u1225|FM_HW/_al_u1647 (
.a({\FM_HW/_al_u1217_o ,\FM_HW/_al_u1639_o }),
.b({\FM_HW/_al_u1219_o ,\FM_HW/_al_u1641_o }),
.c({\FM_HW/_al_u1224_o ,\FM_HW/_al_u1646_o }),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u1225_o ,\FM_HW/_al_u1647_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1226|FM_HW/_al_u901 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1226_o ,\FM_HW/_al_u901_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1227|FM_HW/_al_u902 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_003 }),
.c({\FM_HW/_al_u1226_o ,\FM_HW/_al_u901_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1227_o ,\FM_HW/_al_u902_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1228|FM_HW/_al_u899 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_003 }),
.f({\FM_HW/_al_u1228_o ,\FM_HW/_al_u899_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1229|FM_HW/_al_u1633 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_001 }),
.c({\FM_HW/_al_u1228_o ,\FM_HW/_al_u1632_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1229_o ,\FM_HW/_al_u1633_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1230|FM_HW/_al_u1630 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1230_o ,\FM_HW/_al_u1630_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1231|FM_HW/_al_u1631 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_001 }),
.c({\FM_HW/_al_u1230_o ,\FM_HW/_al_u1630_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1231_o ,\FM_HW/_al_u1631_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1233|FM_HW/_al_u1628 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1233_o ,\FM_HW/_al_u1628_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1236|FM_HW/_al_u851 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_003 }),
.f({\FM_HW/_al_u1236_o ,\FM_HW/_al_u851_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1237|FM_HW/_al_u1790 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_000 }),
.c({\FM_HW/_al_u1236_o ,\FM_HW/_al_u1789_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1238|FM_HW/_al_u854 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_003 }),
.f({\FM_HW/_al_u1238_o ,\FM_HW/_al_u854_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000101010001000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1239|FM_HW/_al_u853 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_002 ,HADDR[8]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_002 ,HADDR[7]}),
.c({\FM_HW/_al_u1238_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_003 }),
.e({open_n53678,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ,\FM_HW/_al_u853_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1240|FM_HW/_al_u856 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1240_o ,\FM_HW/_al_u856_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1241|FM_HW/_al_u857 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_003 }),
.c({\FM_HW/_al_u1240_o ,\FM_HW/_al_u856_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ,\FM_HW/_al_u857_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1242|FM_HW/_al_u858 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1242_o ,\FM_HW/_al_u858_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1243|FM_HW/_al_u859 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_003 }),
.c({\FM_HW/_al_u1242_o ,\FM_HW/_al_u858_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(B*~(0*C)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~D*~A*~(B*~(1*C)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000010001),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b0000000001010001),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1244|FM_HW/_al_u1626 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_33 ,\FM_HW/_al_u1620_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_32 ,\FM_HW/_al_u1623_o }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 }),
.d({HADDR[9],HADDR[10]}),
.e({open_n53797,HADDR[8]}),
.f({\FM_HW/_al_u1244_o ,\FM_HW/_al_u1626_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101000000110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0101000000110000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1245|FM_HW/_al_u1620 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_34 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_35 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 }),
.c({\FM_HW/_al_u1244_o ,HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ,\FM_HW/_al_u1620_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1248|FM_HW/_al_u2538 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1248_o ,\FM_HW/_al_u2538_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1249|FM_HW/_al_u2539 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_006 }),
.c({\FM_HW/_al_u1248_o ,\FM_HW/_al_u2538_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*B)*~(~C*A))"),
//.LUTF1("(~(C*~B)*~(D*~A))"),
//.LUTG0("(1*~(~D*B)*~(~C*A))"),
//.LUTG1("(~(C*~B)*~(D*~A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000101011001111),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1000101011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1250|FM_HW/_al_u1786 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 ,\FM_HW/_al_u1777_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_37 ,\FM_HW/_al_u1780_o }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1782_o }),
.d({\FM_HW/_al_u678_o ,\FM_HW/_al_u1785_o }),
.e({open_n53892,HADDR[10]}),
.f({\FM_HW/_al_u1250_o ,\FM_HW/_al_u1786_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1251|FM_HW/_al_u871 (
.a({HADDR[7],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_003 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_003 }),
.f({\FM_HW/_al_u1251_o ,\FM_HW/_al_u871_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1252|FM_HW/_al_u868 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_003 }),
.c({\FM_HW/_al_u1251_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_003 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1252_o ,\FM_HW/_al_u868_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1253|FM_HW/_al_u870 (
.a({HADDR[7],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_003 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_003 }),
.f({\FM_HW/_al_u1253_o ,\FM_HW/_al_u870_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1254|FM_HW/_al_u869 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_003 }),
.c({\FM_HW/_al_u1253_o ,\FM_HW/_al_u868_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1254_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~((~C*B))*~(0)+A*(~C*B)*~(0)+~(A)*(~C*B)*0+A*(~C*B)*0))"),
//.LUT1("(~D*~(A*~((~C*B))*~(1)+A*(~C*B)*~(1)+~(A)*(~C*B)*1+A*(~C*B)*1))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1256 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_8 }),
.b({\FM_HW/_al_u1250_o ,\FM_HW/_al_u1250_o }),
.c({\FM_HW/_al_u1255_o ,\FM_HW/_al_u1255_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n54017,HADDR[10]}),
.fx({open_n54022,\FM_HW/_al_u1256_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1258|FM_HW/_al_u1858 (
.a({open_n54025,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1258_o ,\FM_HW/_al_u1858_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1259|FM_HW/_al_u880 (
.a({open_n54050,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_002 ,\FM_HW/_al_u879_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1259_o ,\FM_HW/_al_u880_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1260|FM_HW/_al_u1662 (
.a({\FM_HW/_al_u1258_o ,\FM_HW/_al_u1661_o }),
.b({\FM_HW/_al_u1259_o ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u671_o ,HADDR[7]}),
.d({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_001 }),
.e({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_001 }),
.f({\FM_HW/_al_u1260_o ,\FM_HW/_al_u1662_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1261|FM_HW/_al_u876 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1261_o ,\FM_HW/_al_u876_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1263|FM_HW/_al_u881 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1263_o ,\FM_HW/_al_u881_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1264|FM_HW/_al_u1664 (
.a({\FM_HW/_al_u1263_o ,\FM_HW/_al_u1663_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u674_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_001 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_001 }),
.f({\FM_HW/_al_u1264_o ,\FM_HW/_al_u1664_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1265|FM_HW/_al_u874 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_003 }),
.f({\FM_HW/_al_u1265_o ,\FM_HW/_al_u874_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1266|FM_HW/_al_u875 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_002 ,\FM_HW/_al_u874_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_002 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1265_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_003 }),
.e({open_n54193,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_003 }),
.f({\FM_HW/_al_u1266_o ,\FM_HW/_al_u875_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1267 (
.a({\FM_HW/_al_u1260_o ,\FM_HW/_al_u1260_o }),
.b({\FM_HW/_al_u1262_o ,\FM_HW/_al_u1262_o }),
.c({\FM_HW/_al_u1264_o ,\FM_HW/_al_u1264_o }),
.d({\FM_HW/_al_u1266_o ,\FM_HW/_al_u1266_o }),
.mi({open_n54226,\FM_HW/_al_u668_o }),
.fx({open_n54231,\FM_HW/_al_u1267_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1268|FM_HW/_al_u889 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_003 }),
.f({\FM_HW/_al_u1268_o ,\FM_HW/_al_u889_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1269|FM_HW/_al_u890 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_002 ,\FM_HW/_al_u889_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_002 ,HADDR[9]}),
.c({\FM_HW/_al_u1268_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_003 }),
.e({open_n54260,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_003 }),
.f({\FM_HW/_al_u1269_o ,\FM_HW/_al_u890_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1270|FM_HW/_al_u884 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1270_o ,\FM_HW/_al_u884_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1271|FM_HW/_al_u1851 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_002 ,\FM_HW/_al_u1850_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_002 ,HADDR[8]}),
.c({\FM_HW/_al_u1270_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_000 }),
.e({open_n54307,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_000 }),
.f({\FM_HW/_al_u1271_o ,\FM_HW/_al_u1851_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1272|FM_HW/_al_u891 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_003 }),
.f({\FM_HW/_al_u1272_o ,\FM_HW/_al_u891_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1273|FM_HW/_al_u892 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_002 ,\FM_HW/_al_u891_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_002 ,HADDR[9]}),
.c({\FM_HW/_al_u1272_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_003 }),
.e({open_n54354,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_003 }),
.f({\FM_HW/_al_u1273_o ,\FM_HW/_al_u892_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111111110101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1274 (
.a({\FM_HW/_al_u1269_o ,\FM_HW/_al_u1269_o }),
.b({\FM_HW/_al_u1271_o ,\FM_HW/_al_u1271_o }),
.c({\FM_HW/_al_u1273_o ,\FM_HW/_al_u1273_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n54387,HADDR[8]}),
.fx({open_n54392,\FM_HW/_al_u1274_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1275|FM_HW/_al_u2490 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1275_o ,\FM_HW/_al_u2490_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(~C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1010001010100000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1276|FM_HW/_al_u886 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_002 ,\FM_HW/_al_u674_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_002 ,HADDR[7]}),
.c({\FM_HW/_al_u1275_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_003 }),
.e({open_n54421,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_003 }),
.f({\FM_HW/_al_u1276_o ,\FM_HW/_al_u886_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111110000000000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1277|FM_HW/_al_u1852 (
.a({open_n54442,\FM_HW/_al_u1846_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u1849_o }),
.c({HADDR[10],\FM_HW/_al_u1851_o }),
.d({\FM_HW/_al_u1276_o ,HADDR[10]}),
.e({open_n54445,HADDR[9]}),
.f({\FM_HW/_al_u1277_o ,\FM_HW/_al_u1852_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~(~A*~(C*B)))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000011101010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1278|FM_HW/_al_u3765 (
.a({\FM_HW/_al_u1267_o ,open_n54466}),
.b({\FM_HW/_al_u1274_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u1277_o ,\FM_HW/_al_u3437_o }),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/_al_u1278_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1279|FM_HW/_al_u841 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1279_o ,\FM_HW/_al_u841_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1280|FM_HW/_al_u842 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_003 }),
.c({\FM_HW/_al_u1279_o ,\FM_HW/_al_u841_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1281|FM_HW/_al_u3185 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1281_o ,\FM_HW/_al_u3185_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1282|FM_HW/_al_u1822 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_000 }),
.c({\FM_HW/_al_u1281_o ,\FM_HW/_al_u1821_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1282_o ,\FM_HW/_al_u1822_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1284|FM_HW/_al_u836 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1284_o ,\FM_HW/_al_u836_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1285|FM_HW/_al_u837 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_003 }),
.c({\FM_HW/_al_u1284_o ,\FM_HW/_al_u836_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1286|FM_HW/_al_u838 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1286_o ,\FM_HW/_al_u838_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1287|FM_HW/_al_u839 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_003 }),
.c({\FM_HW/_al_u1286_o ,\FM_HW/_al_u838_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(0*~C*~B))"),
//.LUTF1("(C*~(D*~B*~A))"),
//.LUTG0("(D*~A*~(1*~C*~B))"),
//.LUTG1("(C*~(D*~B*~A))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1110000011110000),
.INIT_LUTG0(16'b0101010000000000),
.INIT_LUTG1(16'b1110000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1289|FM_HW/_al_u2514 (
.a({\FM_HW/_al_u1283_o ,\FM_HW/_al_u2493_o }),
.b({\FM_HW/_al_u1288_o ,\FM_HW/_al_u2503_o }),
.c({HADDR[11],\FM_HW/_al_u2513_o }),
.d({HADDR[10],HADDR[12]}),
.e({open_n54681,HADDR[11]}),
.f({\FM_HW/_al_u1289_o ,\FM_HW/_al_u2514_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1290|FM_HW/_al_u1838 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1290_o ,\FM_HW/_al_u1838_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1291|FM_HW/_al_u1839 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_000 }),
.c({\FM_HW/_al_u1290_o ,\FM_HW/_al_u1838_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1291_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B*A))"),
//.LUT1("(~(D*~B)*~(C*~A))"),
.INIT_LUT0(16'b0000000011111101),
.INIT_LUT1(16'b1000110010101111),
.MODE("LOGIC"))
\FM_HW/_al_u1294|FM_HW/_al_u1299 (
.a({\FM_HW/_al_u1291_o ,\FM_HW/_al_u1294_o }),
.b({\FM_HW/_al_u1293_o ,\FM_HW/_al_u1296_o }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1298_o }),
.d({\FM_HW/_al_u674_o ,HADDR[10]}),
.f({\FM_HW/_al_u1294_o ,\FM_HW/_al_u1299_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1295|FM_HW/_al_u833 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1295_o ,\FM_HW/_al_u833_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1296|FM_HW/_al_u1672 (
.a({\FM_HW/_al_u1295_o ,\FM_HW/_al_u1671_o }),
.b({\FM_HW/_al_u671_o ,\FM_HW/_al_u671_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_001 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_001 }),
.f({\FM_HW/_al_u1296_o ,\FM_HW/_al_u1672_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1297|FM_HW/_al_u831 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1297_o ,\FM_HW/_al_u831_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1298|FM_HW/_al_u830 (
.a({\FM_HW/_al_u1297_o ,\FM_HW/_al_u829_o }),
.b({\FM_HW/_al_u678_o ,\FM_HW/_al_u668_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_003 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_003 }),
.f({\FM_HW/_al_u1298_o ,\FM_HW/_al_u830_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1301|FM_HW/_al_u705 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_003 }),
.f({\FM_HW/_al_u1301_o ,\FM_HW/_al_u705_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1302|FM_HW/_al_u706 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_002 ,\FM_HW/_al_u705_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_002 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1301_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_003 }),
.e({open_n54884,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ,\FM_HW/_al_u706_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1303|FM_HW/_al_u709 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1303_o ,\FM_HW/_al_u709_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1304|FM_HW/_al_u710 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_003 }),
.c({\FM_HW/_al_u1303_o ,\FM_HW/_al_u709_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ,\FM_HW/_al_u710_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1307|FM_HW/_al_u703 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_003 }),
.f({\FM_HW/_al_u1307_o ,\FM_HW/_al_u703_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1308|FM_HW/_al_u1305 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_002 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_002 }),
.c({\FM_HW/_al_u1307_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_002 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1308_o ,\FM_HW/_al_u1305_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000111010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1309|FM_HW/_al_u2148 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 ,\FM_HW/_al_u2147_o }),
.b({\FM_HW/_al_u1308_o ,\FM_HW/_al_u678_o }),
.c(HADDR[8:7]),
.d({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_007 }),
.e({open_n55003,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_007 }),
.f({\FM_HW/_al_u1309_o ,\FM_HW/_al_u2148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*~D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~B*~A*~(1*~D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1310|FM_HW/_al_u711 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_26 ,\FM_HW/_al_u704_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_27 ,\FM_HW/_al_u706_o }),
.c({\FM_HW/_al_u1309_o ,\FM_HW/_al_u708_o }),
.d({HADDR[9],\FM_HW/_al_u710_o }),
.e({open_n55026,\FM_HW/_al_u674_o }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ,\FM_HW/_al_u711_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1311|FM_HW/_al_u1749 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1311_o ,\FM_HW/_al_u1749_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1312|FM_HW/_al_u1359 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_002 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_002 ,HADDR[6]}),
.c({\FM_HW/_al_u1311_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_001 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_001 }),
.f({\FM_HW/_al_u1312_o ,\FM_HW/_al_u1359_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1313|FM_HW/_al_u728 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_002 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_003 }),
.f({\FM_HW/_al_u1313_o ,\FM_HW/_al_u728_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0100010101100111),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1314|FM_HW/_al_u729 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_002 ,\FM_HW/_al_u728_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_002 ,HADDR[7]}),
.c({\FM_HW/_al_u1313_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_003 }),
.f({\FM_HW/_al_u1314_o ,\FM_HW/_al_u729_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1315|FM_HW/_al_u730 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1315_o ,\FM_HW/_al_u730_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1317|FM_HW/_al_u723 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1317_o ,\FM_HW/_al_u723_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1318|FM_HW/_al_u1357 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_001 }),
.c({\FM_HW/_al_u1317_o ,\FM_HW/_al_u1356_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1318_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(~0*~C*~B)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~D*~(~A*~(~1*~C*~B)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000010101011),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b0000000010101010),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1319|FM_HW/_al_u2164 (
.a({\FM_HW/_al_u1316_o ,\FM_HW/_al_u2158_o }),
.b({\FM_HW/_al_u1318_o ,\FM_HW/_al_u2160_o }),
.c({HADDR[9],\FM_HW/_al_u2163_o }),
.d({HADDR[8],HADDR[11]}),
.e({open_n55213,HADDR[9]}),
.f({\FM_HW/_al_u1319_o ,\FM_HW/_al_u2164_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*A*~(0*~D))"),
//.LUTF1("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
//.LUTG0("(~C*~B*A*~(1*~D))"),
//.LUTG1("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b0000110011111100),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0000110011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1321|FM_HW/_al_u2781 (
.a({open_n55234,\FM_HW/_al_u2774_o }),
.b({\FM_HW/_al_u1320_o ,\FM_HW/_al_u2776_o }),
.c({HADDR[11],\FM_HW/_al_u2778_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B3_6 ,\FM_HW/_al_u2780_o }),
.e({open_n55237,\FM_HW/_al_u678_o }),
.f({\FM_HW/_al_u1321_o ,\FM_HW/_al_u2781_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1322|FM_HW/_al_u738 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1322_o ,\FM_HW/_al_u738_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1323|FM_HW/_al_u741 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_003 }),
.c({\FM_HW/_al_u1322_o ,\FM_HW/_al_u740_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1323_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1324|FM_HW/_al_u740 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1324_o ,\FM_HW/_al_u740_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1327|FM_HW/_al_u733 (
.a({open_n55326,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_003 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1327_o ,\FM_HW/_al_u733_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1328|FM_HW/_al_u1740 (
.a({open_n55351,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_002 ,\FM_HW/_al_u1739_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1328_o ,\FM_HW/_al_u1740_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1331|FM_HW/_al_u1733 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_000 }),
.c({\FM_HW/_al_u1330_o ,\FM_HW/_al_u1732_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1331_o ,\FM_HW/_al_u1733_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~((~B*~(0*~C)))*~(D)+A*(~B*~(0*~C))*~(D)+~(A)*(~B*~(0*~C))*D+A*(~B*~(0*~C))*D)"),
//.LUT1("(A*~((~B*~(1*~C)))*~(D)+A*(~B*~(1*~C))*~(D)+~(A)*(~B*~(1*~C))*D+A*(~B*~(1*~C))*D)"),
.INIT_LUT0(16'b0011001110101010),
.INIT_LUT1(16'b0011000010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1332 (
.a({\FM_HW/_al_u1326_o ,\FM_HW/_al_u1326_o }),
.b({\FM_HW/_al_u1329_o ,\FM_HW/_al_u1329_o }),
.c({\FM_HW/_al_u1331_o ,\FM_HW/_al_u1331_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n55408,HADDR[8]}),
.fx({open_n55413,\FM_HW/_al_u1332_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1333|FM_HW/_al_u1764 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_002 ,HADDR[7]}),
.f({\FM_HW/_al_u1333_o ,\FM_HW/_al_u1764_o }));
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0100010101000100),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0101010101010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_HW/_al_u1335|scan_unit/reg2_b0 (
.a(HADDR[8:7]),
.b(HADDR[7:6]),
.c({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_002 }),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_002 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_002 ,open_n55441}),
.mi({open_n55443,\scan_unit/n2 [0]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1335_o ,\FM_HW/_al_u1336_o }),
.q({open_n55458,\scan_unit/cnt [0]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1338|FM_HW/_al_u712 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1338_o ,\FM_HW/_al_u712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1340|FM_HW/_al_u1771 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_002 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1340_o ,\FM_HW/_al_u1771_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(C*~(D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1342 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 }),
.c({HADDR[11],HADDR[11]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n55519,HADDR[8]}),
.fx({open_n55524,\FM_HW/_al_u1342_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(~C*B))"),
//.LUTF1("(~(C*~B)*~(~D*~A))"),
//.LUTG0("(~D*~A*~(~C*B))"),
//.LUTG1("(~(C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b0000000001010001),
.INIT_LUTF1(16'b1100111110001010),
.INIT_LUTG0(16'b0000000001010001),
.INIT_LUTG1(16'b1100111110001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1343|FM_HW/_al_u1337 (
.a({\FM_HW/_al_u1332_o ,\FM_HW/_al_u1334_o }),
.b({\FM_HW/_al_u1337_o ,\FM_HW/_al_u1335_o }),
.c({\FM_HW/_al_u1342_o ,\FM_HW/_al_u1336_o }),
.d({HADDR[11],HADDR[9]}),
.f({\FM_HW/_al_u1343_o ,\FM_HW/_al_u1337_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)*~(A)*~(D)+~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)*A*~(D)+~(~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0))*A*D+~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)*A*D)"),
//.LUT1("(~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)*~(A)*~(D)+~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)*A*~(D)+~(~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1))*A*D+~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)*A*D)"),
.INIT_LUT0(16'b1010101000110011),
.INIT_LUT1(16'b1010101000001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1344 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B5_3 }),
.b({\FM_HW/_al_u1321_o ,\FM_HW/_al_u1321_o }),
.c({\FM_HW/_al_u1343_o ,\FM_HW/_al_u1343_o }),
.d({HADDR[13],HADDR[13]}),
.mi({open_n55563,HADDR[10]}),
.fx({open_n55568,\FM_HW/_al_u1344_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1346|FM_HW/_al_u1747 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_000 }),
.f({\FM_HW/_al_u1346_o ,\FM_HW/_al_u1747_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1348|FM_HW/_al_u3251 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1348_o ,\FM_HW/_al_u3251_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1349|FM_HW/_al_u1745 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_000 }),
.c({\FM_HW/_al_u1348_o ,\FM_HW/_al_u1744_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 ,\FM_HW/_al_u1745_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1351|FM_HW/_al_u1734 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1351_o ,\FM_HW/_al_u1734_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1352|FM_HW/_al_u1354 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_001 }),
.c({\FM_HW/_al_u1351_o ,\FM_HW/_al_u1353_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1353|FM_HW/_al_u1737 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_000 }),
.f({\FM_HW/_al_u1353_o ,\FM_HW/_al_u1737_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1361|FM_HW/_al_u1739 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1361_o ,\FM_HW/_al_u1739_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1362|FM_HW/_al_u1732 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_000 }),
.c({\FM_HW/_al_u1361_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 ,\FM_HW/_al_u1732_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1363|FM_HW/_al_u1364 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_001 }),
.f({\FM_HW/_al_u1363_o ,\FM_HW/_al_u1364_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTG0("(D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUTF0(16'b1010101000000000),
.INIT_LUTG0(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1365 (
.a({open_n55783,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_22 }),
.b({open_n55784,\FM_HW/_al_u1363_o }),
.c({open_n55785,\FM_HW/_al_u1364_o }),
.d({open_n55788,HADDR[9]}),
.e({open_n55789,HADDR[8]}),
.f({open_n55805,\FM_HW/_al_u1365_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~C*~A)*~((~D*~B))*~(0)+(~C*~A)*(~D*~B)*~(0)+~((~C*~A))*(~D*~B)*0+(~C*~A)*(~D*~B)*0)"),
//.LUT1("~((~C*~A)*~((~D*~B))*~(1)+(~C*~A)*(~D*~B)*~(1)+~((~C*~A))*(~D*~B)*1+(~C*~A)*(~D*~B)*1)"),
.INIT_LUT0(16'b1111101011111010),
.INIT_LUT1(16'b1111111111001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1366 (
.a({\FM_HW/_al_u1350_o ,\FM_HW/_al_u1350_o }),
.b({\FM_HW/_al_u1355_o ,\FM_HW/_al_u1355_o }),
.c({\FM_HW/_al_u1360_o ,\FM_HW/_al_u1360_o }),
.d({\FM_HW/_al_u1365_o ,\FM_HW/_al_u1365_o }),
.mi({open_n55823,HADDR[10]}),
.fx({open_n55828,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1367|FM_HW/_al_u1758 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1367_o ,\FM_HW/_al_u1758_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1368|FM_HW/_al_u1759 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_000 }),
.c({\FM_HW/_al_u1367_o ,\FM_HW/_al_u1758_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1369|FM_HW/_al_u2633 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_006 }),
.f({\FM_HW/_al_u1369_o ,\FM_HW/_al_u2633_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001100000101),
.INIT_LUTF1(16'b1111110000000101),
.INIT_LUTG0(16'b0000001100000101),
.INIT_LUTG1(16'b1111110000000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1371|FM_HW/_al_u1762 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_24 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_24 }),
.b({\FM_HW/_al_u1370_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1371_o ,\FM_HW/_al_u1762_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1372|FM_HW/_al_u1753 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1372_o ,\FM_HW/_al_u1753_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1373|FM_HW/_al_u2787 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_005 }),
.c({\FM_HW/_al_u1372_o ,\FM_HW/_al_u2786_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ,\FM_HW/_al_u2787_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1374|FM_HW/_al_u1755 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1374_o ,\FM_HW/_al_u1755_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1375|FM_HW/_al_u1756 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_000 }),
.c({\FM_HW/_al_u1374_o ,\FM_HW/_al_u1755_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*~(B)*C*0))"),
//.LUT1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000000100111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1376 (
.a({\FM_HW/_al_u1371_o ,\FM_HW/_al_u1371_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_27 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_26 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n56023,HADDR[9]}),
.fx({open_n56028,\FM_HW/_al_u1376_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1378|FM_HW/_al_u713 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_003 }),
.c({\FM_HW/_al_u1377_o ,\FM_HW/_al_u712_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ,\FM_HW/_al_u713_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1379|FM_HW/_al_u714 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1379_o ,\FM_HW/_al_u714_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1380|FM_HW/_al_u715 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_003 }),
.c({\FM_HW/_al_u1379_o ,\FM_HW/_al_u714_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1380_o ,\FM_HW/_al_u715_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100111101010000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100111101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1381|FM_HW/_al_u1341 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_30 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_002 }),
.b({\FM_HW/_al_u1380_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_002 }),
.c({HADDR[9],\FM_HW/_al_u1340_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1381_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_31 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1383|FM_HW/_al_u2625 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_001 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_001 ,HADDR[6]}),
.c({\FM_HW/_al_u1382_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 ,\FM_HW/_al_u2625_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1384|FM_HW/_al_u716 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1384_o ,\FM_HW/_al_u716_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1385|FM_HW/_al_u1765 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_000 }),
.c({\FM_HW/_al_u1384_o ,\FM_HW/_al_u1764_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 ,\FM_HW/_al_u1765_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1387 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_2 }),
.b({\FM_HW/_al_u1376_o ,\FM_HW/_al_u1376_o }),
.c({\FM_HW/_al_u1386_o ,\FM_HW/_al_u1386_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n56207,HADDR[11]}),
.fx({open_n56212,\FM_HW/_al_u1387_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\FM_HW/_al_u1389|FM_HW/_al_u2559 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_006 }),
.c({\FM_HW/_al_u1388_o ,\FM_HW/_al_u2558_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1390|FM_HW/_al_u1713 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1390_o ,\FM_HW/_al_u1713_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1100000010100000),
.INIT_LUTF1(16'b1100000010100000),
.INIT_LUTG0(16'b1100000010100000),
.INIT_LUTG1(16'b1100000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1392|FM_HW/_al_u2562 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_2 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_2 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_3 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1392_o ,\FM_HW/_al_u2562_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1395|FM_HW/_al_u2877 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1395_o ,\FM_HW/_al_u2877_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1396|FM_HW/_al_u2878 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_005 }),
.c({\FM_HW/_al_u1395_o ,\FM_HW/_al_u2877_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b0000110000001010),
.MODE("LOGIC"))
\FM_HW/_al_u1397|FM_HW/_al_u2567 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_4 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_5 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1397_o ,\FM_HW/_al_u2567_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1399|FM_HW/_al_u2574 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_006 }),
.c({\FM_HW/_al_u1398_o ,\FM_HW/_al_u2573_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1400|FM_HW/_al_u1401 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_001 }),
.f({\FM_HW/_al_u1400_o ,\FM_HW/_al_u1401_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTG0("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b0000000010101010),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1402|FM_HW/_al_u2577 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_0 }),
.b({\FM_HW/_al_u1400_o ,\FM_HW/_al_u2575_o }),
.c({\FM_HW/_al_u1401_o ,\FM_HW/_al_u2576_o }),
.d({HADDR[9],HADDR[9]}),
.e({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1402_o ,\FM_HW/_al_u2577_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1403|FM_HW/_al_u1721 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1403_o ,\FM_HW/_al_u1721_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1405|FM_HW/_al_u1406 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_001 }),
.f({\FM_HW/_al_u1405_o ,\FM_HW/_al_u1406_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1407 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 }),
.b({\FM_HW/_al_u1405_o ,\FM_HW/_al_u1405_o }),
.c({\FM_HW/_al_u1406_o ,\FM_HW/_al_u1406_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n56473,HADDR[8]}),
.fx({open_n56478,\FM_HW/_al_u1407_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~A)*~((~C*~B))*~(0)+(~D*~A)*(~C*~B)*~(0)+~((~D*~A))*(~C*~B)*0+(~D*~A)*(~C*~B)*0)"),
//.LUTF1("~((~C*~A)*~((~D*~B))*~(0)+(~C*~A)*(~D*~B)*~(0)+~((~C*~A))*(~D*~B)*0+(~C*~A)*(~D*~B)*0)"),
//.LUTG0("~((~D*~A)*~((~C*~B))*~(1)+(~D*~A)*(~C*~B)*~(1)+~((~D*~A))*(~C*~B)*1+(~D*~A)*(~C*~B)*1)"),
//.LUTG1("~((~C*~A)*~((~D*~B))*~(1)+(~C*~A)*(~D*~B)*~(1)+~((~C*~A))*(~D*~B)*1+(~C*~A)*(~D*~B)*1)"),
.INIT_LUTF0(16'b1111111110101010),
.INIT_LUTF1(16'b1111101011111010),
.INIT_LUTG0(16'b1111110011111100),
.INIT_LUTG1(16'b1111111111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1408|FM_HW/_al_u2578 (
.a({\FM_HW/_al_u1392_o ,\FM_HW/_al_u2562_o }),
.b({\FM_HW/_al_u1397_o ,\FM_HW/_al_u2567_o }),
.c({\FM_HW/_al_u1402_o ,\FM_HW/_al_u2572_o }),
.d({\FM_HW/_al_u1407_o ,\FM_HW/_al_u2577_o }),
.e({HADDR[10],HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1409|FM_HW/_al_u1701 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_000 }),
.f({\FM_HW/_al_u1409_o ,\FM_HW/_al_u1701_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1411|FM_HW/_al_u1705 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_000 }),
.f({\FM_HW/_al_u1411_o ,\FM_HW/_al_u1705_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1412|FM_HW/_al_u1706 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_001 ,\FM_HW/_al_u1705_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_001 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1411_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_000 }),
.e({open_n56553,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_000 }),
.f({\FM_HW/_al_u1412_o ,\FM_HW/_al_u1706_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1414|FM_HW/_al_u1703 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_000 }),
.f({\FM_HW/_al_u1414_o ,\FM_HW/_al_u1703_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1415|FM_HW/_al_u1704 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_001 ,\FM_HW/_al_u1703_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_001 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u1414_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_000 }),
.e({open_n56600,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_000 }),
.f({\FM_HW/_al_u1415_o ,\FM_HW/_al_u1704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1416|FM_HW/_al_u1707 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1416_o ,\FM_HW/_al_u1707_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1417|FM_HW/_al_u1708 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_000 }),
.c({\FM_HW/_al_u1416_o ,\FM_HW/_al_u1707_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1417_o ,\FM_HW/_al_u1708_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1419|FM_HW/_al_u1691 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1419_o ,\FM_HW/_al_u1691_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1420|FM_HW/_al_u1692 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_000 }),
.c({\FM_HW/_al_u1419_o ,\FM_HW/_al_u1691_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ,\FM_HW/_al_u1692_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1421|FM_HW/_al_u1422 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_001 }),
.f({\FM_HW/_al_u1421_o ,\FM_HW/_al_u1422_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1423 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_14 }),
.b({\FM_HW/_al_u1421_o ,\FM_HW/_al_u1421_o }),
.c({\FM_HW/_al_u1422_o ,\FM_HW/_al_u1422_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n56749,HADDR[8]}),
.fx({open_n56754,\FM_HW/_al_u1423_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1424|FM_HW/_al_u1693 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1424_o ,\FM_HW/_al_u1693_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1425|FM_HW/_al_u677 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_003 }),
.c({\FM_HW/_al_u1424_o ,\FM_HW/_al_u676_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ,\FM_HW/_al_u677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1426|FM_HW/_al_u1695 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1426_o ,\FM_HW/_al_u1695_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1427|FM_HW/_al_u1696 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_000 }),
.c({\FM_HW/_al_u1426_o ,\FM_HW/_al_u1695_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ,\FM_HW/_al_u1696_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUT1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0001101100000000),
.INIT_LUT1(16'b1010101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1428 (
.a({\FM_HW/_al_u1423_o ,\FM_HW/_al_u1423_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_12 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_13 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n56861,HADDR[9]}),
.fx({open_n56866,\FM_HW/_al_u1428_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000110000000101),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000110000000101),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1430|FM_HW/_al_u1017 (
.a({open_n56869,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_92 }),
.b({\FM_HW/_al_u1429_o ,\FM_HW/_al_u1016_o }),
.c({HADDR[13],HADDR[9]}),
.d({\FM_HW/_al_u1387_o ,HADDR[8]}),
.f({\FM_HW/_al_u1430_o ,\FM_HW/_al_u1017_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1431|FM_HW/_al_u1993 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_000 }),
.f({\FM_HW/_al_u1431_o ,\FM_HW/_al_u1993_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1432|FM_HW/_al_u1994 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_001 ,\FM_HW/_al_u1993_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_001 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u1431_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_000 }),
.e({open_n56920,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_000 }),
.f({\FM_HW/_al_u1432_o ,\FM_HW/_al_u1994_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1433|FM_HW/_al_u1995 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1433_o ,\FM_HW/_al_u1995_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1434|FM_HW/_al_u1996 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_000 }),
.c({\FM_HW/_al_u1433_o ,\FM_HW/_al_u1995_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1434_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1435|FM_HW/_al_u2000 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1435_o ,\FM_HW/_al_u2000_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1438|FM_HW/_al_u2412 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_006 }),
.f({\FM_HW/_al_u1438_o ,\FM_HW/_al_u2412_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1439|FM_HW/_al_u1999 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_001 ,\FM_HW/_al_u1998_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_001 ,HADDR[9]}),
.c({\FM_HW/_al_u1438_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_000 }),
.e({open_n57035,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_000 }),
.f({\FM_HW/_al_u1439_o ,\FM_HW/_al_u1999_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B*~A))"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(~C*~(D*~B*~A))"),
//.LUTG1("(D*~(C*~B))"),
.INIT_LUTF0(16'b0000111000001111),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b0000111000001111),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1440|FM_HW/_al_u2013 (
.a({open_n57056,\FM_HW/_al_u2002_o }),
.b({\FM_HW/_al_u1439_o ,\FM_HW/_al_u2012_o }),
.c({\FM_HW/_al_u668_o ,HADDR[12]}),
.d({\FM_HW/_al_u1437_o ,HADDR[11]}),
.f({\FM_HW/_al_u1440_o ,\FM_HW/_al_u2013_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1442|FM_HW/_al_u3415 (
.a({\FM_HW/_al_u1441_o ,HADDR[7]}),
.b({\FM_HW/_al_u668_o ,HADDR[6]}),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_004 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_001 ,open_n57083}),
.f({\FM_HW/_al_u1442_o ,\FM_HW/_al_u3415_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1443|FM_HW/_al_u2008 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1443_o ,\FM_HW/_al_u2008_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1445|FM_HW/_al_u2003 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1445_o ,\FM_HW/_al_u2003_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\FM_HW/_al_u1447|FM_HW/_al_u3660 (
.a({\FM_HW/_al_u1442_o ,open_n57152}),
.b({\FM_HW/_al_u1444_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u1446_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/_al_u678_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/_al_u1447_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1448|FM_HW/_al_u956 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1448_o ,\FM_HW/_al_u956_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~D)"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(C*~B*~D)"),
//.LUTG1("(D*~(C*~B))"),
.INIT_LUTF0(16'b0000000000110000),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b0000000000110000),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1450|FM_HW/_al_u2415 (
.b({\FM_HW/_al_u1449_o ,\FM_HW/_al_u2414_o }),
.c({\FM_HW/_al_u674_o ,HADDR[10]}),
.d({\FM_HW/_al_u1447_o ,\FM_HW/_al_u2409_o }),
.f({\FM_HW/_al_u1450_o ,\FM_HW/_al_u2415_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1452|FM_HW/_al_u1987 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_000 }),
.f({\FM_HW/_al_u1452_o ,\FM_HW/_al_u1987_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1454|FM_HW/_al_u2959 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1454_o ,\FM_HW/_al_u2959_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000001100000101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000001100000101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1455|FM_HW/_al_u2462 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_001 ,\FM_HW/_al_u2460_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_001 ,\FM_HW/_al_u2461_o }),
.c({\FM_HW/_al_u1454_o ,HADDR[8]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1455_o ,\FM_HW/_al_u2462_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1458|FM_HW/_al_u973 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_003 }),
.c({\FM_HW/_al_u1457_o ,\FM_HW/_al_u972_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1458_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\FM_HW/_al_u1462|FM_HW/_al_u979 (
.b({open_n57317,HADDR[9]}),
.c({HADDR[8],HADDR[8]}),
.d({\FM_HW/_al_u1461_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 }),
.f({\FM_HW/_al_u1462_o ,\FM_HW/_al_u979_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1463 (
.a({\FM_HW/_al_u1456_o ,\FM_HW/_al_u1456_o }),
.b({\FM_HW/_al_u1459_o ,\FM_HW/_al_u1459_o }),
.c({\FM_HW/_al_u1462_o ,\FM_HW/_al_u1462_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n57350,HADDR[9]}),
.fx({open_n57355,\FM_HW/_al_u1463_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1464|FM_HW/_al_u1972 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1464_o ,\FM_HW/_al_u1972_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1465|FM_HW/_al_u963 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_003 }),
.c({\FM_HW/_al_u1464_o ,\FM_HW/_al_u962_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1465_o ,\FM_HW/_al_u963_o }));
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_HW/_al_u1466|scan_unit/reg2_b31 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_000 }),
.clk(clk_pad),
.d({HADDR[7],HADDR[7]}),
.mi({open_n57406,\scan_unit/n2 [31]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1466_o ,\FM_HW/_al_u1979_o }),
.q({open_n57421,\scan_unit/cnt [31]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1467|FM_HW/_al_u967 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_003 }),
.c({\FM_HW/_al_u1466_o ,\FM_HW/_al_u966_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1467_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1468|FM_HW/_al_u1977 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1468_o ,\FM_HW/_al_u1977_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1469|FM_HW/_al_u1024 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_001 ,\FM_HW/_al_u1023_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_001 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1468_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_002 }),
.e({open_n57472,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_002 }),
.f({\FM_HW/_al_u1469_o ,\FM_HW/_al_u1024_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1471|FM_HW/_al_u961 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_003 }),
.c({\FM_HW/_al_u1470_o ,\FM_HW/_al_u960_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1471_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1472|FM_HW/_al_u1978 (
.a({\FM_HW/_al_u1469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_000 }),
.b({\FM_HW/_al_u1471_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_000 }),
.c({HADDR[9],\FM_HW/_al_u1977_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1472_o ,\FM_HW/_al_u1978_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1475|FM_HW/_al_u927 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1475_o ,\FM_HW/_al_u927_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1476|FM_HW/_al_u928 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_003 }),
.c({\FM_HW/_al_u1475_o ,\FM_HW/_al_u927_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1477|FM_HW/_al_u2027 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_000 }),
.f({\FM_HW/_al_u1477_o ,\FM_HW/_al_u2027_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1478|FM_HW/_al_u2028 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_001 ,\FM_HW/_al_u2027_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_001 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1477_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_000 }),
.e({open_n57607,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 ,\FM_HW/_al_u2028_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1480|FM_HW/_al_u2032 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1480_o ,\FM_HW/_al_u2032_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1481|FM_HW/_al_u2033 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_000 }),
.c({\FM_HW/_al_u1480_o ,\FM_HW/_al_u2032_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1482|FM_HW/_al_u2029 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1482_o ,\FM_HW/_al_u2029_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111110001010101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111110001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1484|FM_HW/_al_u935 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_66 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_003 }),
.b({\FM_HW/_al_u1482_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_003 }),
.c({\FM_HW/_al_u1483_o ,\FM_HW/_al_u934_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1484_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(~C*~(~D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b0000111100001010),
.INIT_LUT1(16'b0000111100001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1485 (
.a({\FM_HW/_al_u1479_o ,\FM_HW/_al_u1479_o }),
.b({\FM_HW/_al_u1484_o ,\FM_HW/_al_u1484_o }),
.c({HADDR[11],HADDR[11]}),
.d({HADDR[10],HADDR[10]}),
.mi({open_n57732,HADDR[9]}),
.fx({open_n57737,\FM_HW/_al_u1485_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1487|FM_HW/_al_u2019 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_000 }),
.c({\FM_HW/_al_u1486_o ,\FM_HW/_al_u2018_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1487_o ,\FM_HW/_al_u2019_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1488|FM_HW/_al_u2020 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1488_o ,\FM_HW/_al_u2020_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1489|FM_HW/_al_u920 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_003 }),
.c({\FM_HW/_al_u1488_o ,\FM_HW/_al_u919_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1489_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1491|FM_HW/_al_u3365 (
.a({open_n57812,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_004 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1491_o ,\FM_HW/_al_u3365_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1492|FM_HW/_al_u2016 (
.a({open_n57837,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_000 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1492_o ,\FM_HW/_al_u2016_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1493|FM_HW/_al_u2419 (
.a({\FM_HW/_al_u1491_o ,HADDR[7]}),
.b({\FM_HW/_al_u1492_o ,HADDR[6]}),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_006 }),
.f({\FM_HW/_al_u1493_o ,\FM_HW/_al_u2419_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1494|FM_HW/_al_u2014 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1494_o ,\FM_HW/_al_u2014_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1495|FM_HW/_al_u3368 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_004 }),
.c({\FM_HW/_al_u1494_o ,\FM_HW/_al_u3367_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1495_o ,\FM_HW/_al_u3368_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*~A*~(~D*~B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*~A*~(~D*~B))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101000001000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0101000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1496|FM_HW/_al_u3366 (
.a({\FM_HW/_al_u1493_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_004 }),
.b({\FM_HW/_al_u1495_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_004 }),
.c({HADDR[9],\FM_HW/_al_u3365_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1496_o ,\FM_HW/_al_u3366_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~(0*~C*~B)))"),
//.LUT1("(~D*~(A*~(1*~C*~B)))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001010111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1497 (
.a({\FM_HW/_al_u1485_o ,\FM_HW/_al_u1485_o }),
.b({\FM_HW/_al_u1490_o ,\FM_HW/_al_u1490_o }),
.c({\FM_HW/_al_u1496_o ,\FM_HW/_al_u1496_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n57970,HADDR[10]}),
.fx({open_n57975,\FM_HW/_al_u1497_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1498|FM_HW/_al_u1967 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_000 }),
.f({\FM_HW/_al_u1498_o ,\FM_HW/_al_u1967_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1499|FM_HW/_al_u1968 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_001 ,\FM_HW/_al_u1967_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_001 ,HADDR[9]}),
.c({\FM_HW/_al_u1498_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_000 }),
.e({open_n58004,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_000 }),
.f({\FM_HW/_al_u1499_o ,\FM_HW/_al_u1968_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1501|FM_HW/_al_u997 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_001 ,\FM_HW/_al_u996_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_001 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1500_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_003 }),
.e({open_n58027,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_003 }),
.f({\FM_HW/_al_u1501_o ,\FM_HW/_al_u997_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1502|FM_HW/_al_u1961 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1502_o ,\FM_HW/_al_u1961_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1503|FM_HW/_al_u1036 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_001 ,\FM_HW/_al_u1035_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_001 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1502_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_002 }),
.e({open_n58074,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_002 }),
.f({\FM_HW/_al_u1503_o ,\FM_HW/_al_u1036_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1508|FM_HW/_al_u1958 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1508_o ,\FM_HW/_al_u1958_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1511|FM_HW/_al_u1956 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1511_o ,\FM_HW/_al_u1956_o }));
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("((~B*~A)*~((~D*~C))*~(0)+(~B*~A)*(~D*~C)*~(0)+~((~B*~A))*(~D*~C)*0+(~B*~A)*(~D*~C)*0)"),
//.LUTF1("(~D*~A*~(~C*B))"),
//.LUTG0("((~B*~A)*~((~D*~C))*~(1)+(~B*~A)*(~D*~C)*~(1)+~((~B*~A))*(~D*~C)*1+(~B*~A)*(~D*~C)*1)"),
//.LUTG1("(~D*~A*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0000000001010001),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u1512|FM_HW/FM_Dump_Data_IQ/reg0_b0 (
.a({\FM_HW/_al_u1509_o ,\FM_HW/_al_u1774_o }),
.b({\FM_HW/_al_u1510_o ,\FM_HW/_al_u1864_o }),
.c({\FM_HW/_al_u1511_o ,\FM_HW/_al_u1950_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({HADDR[9],\FM_HW/_al_u2036_o }),
.e({open_n58143,HADDR[14]}),
.f({\FM_HW/_al_u1512_o ,open_n58159}),
.q({open_n58163,\FM_HW/rd_DUMP [0]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1514|FM_HW/_al_u1019 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_001 ,\FM_HW/_al_u1018_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_001 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1513_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_002 }),
.e({open_n58166,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 ,\FM_HW/_al_u1019_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1516|FM_HW/_al_u989 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_003 }),
.c({\FM_HW/_al_u1515_o ,\FM_HW/_al_u988_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUT1("(D*~(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1518 (
.a({\FM_HW/_al_u1507_o ,\FM_HW/_al_u1507_o }),
.b({\FM_HW/_al_u1512_o ,\FM_HW/_al_u1512_o }),
.c({\FM_HW/_al_u1517_o ,\FM_HW/_al_u1517_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n58219,HADDR[10]}),
.fx({open_n58224,\FM_HW/_al_u1518_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*B)*~(C*~A))"),
//.LUT1("(~1*~(~D*B)*~(C*~A))"),
.INIT_LUT0(16'b1010111100100011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1519 (
.a({\FM_HW/_al_u1451_o ,\FM_HW/_al_u1451_o }),
.b({\FM_HW/_al_u1474_o ,\FM_HW/_al_u1474_o }),
.c({\FM_HW/_al_u1497_o ,\FM_HW/_al_u1497_o }),
.d({\FM_HW/_al_u1518_o ,\FM_HW/_al_u1518_o }),
.mi({open_n58239,HADDR[13]}),
.fx({open_n58244,\FM_HW/_al_u1519_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1520|FM_HW/_al_u810 (
.a({open_n58247,\FM_HW/_al_u809_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_001 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_001 ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_003 }),
.e({open_n58250,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_003 }),
.f({\FM_HW/_al_u1520_o ,\FM_HW/_al_u810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1523|FM_HW/_al_u1934 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1523_o ,\FM_HW/_al_u1934_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1525|FM_HW/_al_u1932 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1525_o ,\FM_HW/_al_u1932_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1527|FM_HW/_al_u1930 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1527_o ,\FM_HW/_al_u1930_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1528|FM_HW/_al_u1931 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_000 }),
.c({\FM_HW/_al_u1527_o ,\FM_HW/_al_u1930_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1528_o ,\FM_HW/_al_u1931_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~B*A*~(0*~D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~B*A*~(1*~D))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000001000000010),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1529|FM_HW/_al_u1936 (
.a({\FM_HW/_al_u1522_o ,\FM_HW/_al_u1931_o }),
.b({\FM_HW/_al_u1524_o ,\FM_HW/_al_u1933_o }),
.c({\FM_HW/_al_u1526_o ,\FM_HW/_al_u1935_o }),
.d({\FM_HW/_al_u1528_o ,HADDR[9]}),
.e({\FM_HW/_al_u668_o ,HADDR[8]}),
.f({\FM_HW/_al_u1529_o ,\FM_HW/_al_u1936_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1530|FM_HW/_al_u1942 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1530_o ,\FM_HW/_al_u1942_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1532|FM_HW/_al_u1940 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1532_o ,\FM_HW/_al_u1940_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1533|FM_HW/_al_u3096 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_004 }),
.c({\FM_HW/_al_u1532_o ,\FM_HW/_al_u3095_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1533_o ,\FM_HW/_al_u3096_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1534|FM_HW/_al_u1945 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_000 }),
.f({\FM_HW/_al_u1534_o ,\FM_HW/_al_u1945_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1535|FM_HW/_al_u825 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_003 }),
.c({\FM_HW/_al_u1534_o ,\FM_HW/_al_u824_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1535_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)))"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(~D*~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)))"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010100000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1010101010001000),
.INIT_LUTG1(16'b1010101011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u1536|FM_HW/FM_Demodulation/reg5_b51 (
.a({\FM_HW/_al_u1531_o ,\FM_HW/_al_u821_o }),
.b({\FM_HW/_al_u1533_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_121 }),
.c({\FM_HW/_al_u1535_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_120 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[9],HADDR[9]}),
.e({HADDR[8],HADDR[8]}),
.mi({open_n58510,\FM_HW/FM_Demodulation/dmd_data_filter[6] [1]}),
.f({\FM_HW/_al_u1536_o ,\FM_HW/_al_u826_o }),
.q({open_n58526,\FM_HW/FM_Demodulation/dmd_data_filter[5] [1]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(D*~(0*~A*~(C*B)))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(D*~(1*~A*~(C*B)))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1110101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1540|FM_HW/_al_u2309 (
.a({\FM_HW/_al_u1529_o ,\FM_HW/_al_u2308_o }),
.b({\FM_HW/_al_u1536_o ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1539_o ,HADDR[7]}),
.d({HADDR[12],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_007 }),
.e({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_007 }),
.f({\FM_HW/_al_u1540_o ,\FM_HW/_al_u2309_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1541|FM_HW/_al_u1911 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1541_o ,\FM_HW/_al_u1911_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1543|FM_HW/_al_u3086 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1543_o ,\FM_HW/_al_u3086_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1546|FM_HW/_al_u1913 (
.a(HADDR[7:6]),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_000 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1546_o ,\FM_HW/_al_u1913_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1547|FM_HW/_al_u2356 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_001 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_001 ,HADDR[6]}),
.c({\FM_HW/_al_u1546_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_007 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_007 }),
.f({\FM_HW/_al_u1547_o ,\FM_HW/_al_u2356_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1549|FM_HW/_al_u1910 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_000 }),
.c({\FM_HW/_al_u1548_o ,\FM_HW/_al_u1909_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1549_o ,\FM_HW/_al_u1910_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1550|FM_HW/_al_u2669 (
.a({\FM_HW/_al_u1547_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_006 }),
.b({\FM_HW/_al_u1549_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_006 }),
.c({HADDR[9],\FM_HW/_al_u2668_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1550_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1552|FM_HW/_al_u1921 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1552_o ,\FM_HW/_al_u1921_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1553|FM_HW/_al_u3021 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_005 }),
.c({\FM_HW/_al_u1552_o ,\FM_HW/_al_u3020_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1554|FM_HW/_al_u1926 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1554_o ,\FM_HW/_al_u1926_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(~0*~(~D*~C))))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(A*~(B*~(~1*~(~D*~C))))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1010101010100010),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0010001000100010),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1556|FM_HW/_al_u1562 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_114 ,\FM_HW/_al_u1540_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_115 ,\FM_HW/_al_u1551_o }),
.c({HADDR[9],\FM_HW/_al_u1556_o }),
.d({HADDR[8],\FM_HW/_al_u1561_o }),
.e({open_n58763,HADDR[10]}),
.f({\FM_HW/_al_u1556_o ,\FM_HW/_al_u1562_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1557|FM_HW/_al_u1919 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_000 }),
.f({\FM_HW/_al_u1557_o ,\FM_HW/_al_u1919_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1558|FM_HW/_al_u1920 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_001 ,\FM_HW/_al_u1919_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_001 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1557_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_000 }),
.e({open_n58810,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ,\FM_HW/_al_u1920_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1560|FM_HW/_al_u1925 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_001 ,\FM_HW/_al_u1924_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_001 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1559_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_000 }),
.e({open_n58833,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ,\FM_HW/_al_u1925_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0101010100001111),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111111100110011),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1561|FM_HW/_al_u1057 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_113 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_114 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_112 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_113 }),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_112 }),
.d({HADDR[8],HADDR[9]}),
.e({open_n58856,HADDR[8]}),
.f({\FM_HW/_al_u1561_o ,\FM_HW/_al_u1057_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1563|FM_HW/_al_u1888 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_000 }),
.f({\FM_HW/_al_u1563_o ,\FM_HW/_al_u1888_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1564|FM_HW/_al_u1889 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_001 ,\FM_HW/_al_u1888_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_001 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1563_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_000 }),
.e({open_n58903,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_000 }),
.f({\FM_HW/_al_u1564_o ,\FM_HW/_al_u1889_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1565|FM_HW/_al_u1890 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1565_o ,\FM_HW/_al_u1890_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1566|FM_HW/_al_u2651 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_006 }),
.c({\FM_HW/_al_u1565_o ,\FM_HW/_al_u2650_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1566_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1567|FM_HW/_al_u1895 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1567_o ,\FM_HW/_al_u1895_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1568|FM_HW/_al_u3154 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_004 }),
.c({\FM_HW/_al_u1567_o ,\FM_HW/_al_u3153_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1568_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1570|FM_HW/_al_u777 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1570_o ,\FM_HW/_al_u777_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~(~B*D))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"))
\FM_HW/_al_u1572|FM_HW/_al_u778 (
.a({open_n59040,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_003 }),
.b({\FM_HW/_al_u1571_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_003 }),
.c({HADDR[10],\FM_HW/_al_u777_o }),
.d({\FM_HW/_al_u1569_o ,HADDR[7]}),
.f({\FM_HW/_al_u1572_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1573|FM_HW/_al_u3143 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1573_o ,\FM_HW/_al_u3143_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1574|FM_HW/_al_u3144 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_004 }),
.c({\FM_HW/_al_u1573_o ,\FM_HW/_al_u3143_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 ,\FM_HW/_al_u3144_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1575|FM_HW/_al_u3137 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1575_o ,\FM_HW/_al_u3137_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1576|FM_HW/_al_u770 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_003 }),
.c({\FM_HW/_al_u1575_o ,\FM_HW/_al_u769_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 ,\FM_HW/_al_u770_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1578|FM_HW/_al_u765 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1578_o ,\FM_HW/_al_u765_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1579|FM_HW/_al_u766 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_003 }),
.c({\FM_HW/_al_u1578_o ,\FM_HW/_al_u765_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ,\FM_HW/_al_u766_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1580|FM_HW/_al_u1905 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1580_o ,\FM_HW/_al_u1905_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1581|FM_HW/_al_u768 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_003 }),
.c({\FM_HW/_al_u1580_o ,\FM_HW/_al_u767_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1581_o ,\FM_HW/_al_u768_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(~D*(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(~D*(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1)))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1010101010001000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1010101000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1582|FM_HW/_al_u769 (
.a({\FM_HW/_al_u1577_o ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_108 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_003 }),
.c({\FM_HW/_al_u1581_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_003 }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n59243}),
.f({\FM_HW/_al_u1582_o ,\FM_HW/_al_u769_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~(D*~(~B*~A)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~(D*~(~B*~A)))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000100001111),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1583|FM_HW/_al_u2643 (
.a({\FM_HW/_al_u1572_o ,HADDR[6]}),
.b({\FM_HW/_al_u1582_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_006 }),
.c({HADDR[12],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_006 }),
.d({HADDR[11],HADDR[7]}),
.f({\FM_HW/_al_u1583_o ,\FM_HW/_al_u2643_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1584|FM_HW/_al_u1882 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1584_o ,\FM_HW/_al_u1882_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1585|FM_HW/_al_u3132 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_001 ,\FM_HW/_al_u3131_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_001 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1584_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_004 }),
.e({open_n59314,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_004 }),
.f({\FM_HW/_al_u1585_o ,\FM_HW/_al_u3132_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1586|FM_HW/_al_u749 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_003 }),
.f({\FM_HW/_al_u1586_o ,\FM_HW/_al_u749_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1587|FM_HW/_al_u1885 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_001 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_001 ,HADDR[6]}),
.c({\FM_HW/_al_u1586_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_000 }),
.f({\FM_HW/_al_u1587_o ,\FM_HW/_al_u1885_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1589|FM_HW/_al_u1879 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1589_o ,\FM_HW/_al_u1879_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1591|FM_HW/_al_u751 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1591_o ,\FM_HW/_al_u751_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(0*~B*~A*~(D*~C))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(1*~B*~A*~(D*~C))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1593|FM_HW/_al_u2725 (
.a({\FM_HW/_al_u1588_o ,\FM_HW/_al_u2724_o }),
.b({\FM_HW/_al_u1590_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1592_o ,HADDR[7]}),
.d({\FM_HW/_al_u678_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_006 }),
.e({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_006 }),
.f({\FM_HW/_al_u1593_o ,\FM_HW/_al_u2725_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1595|FM_HW/_al_u1594 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_001 }),
.c({\FM_HW/_al_u1594_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1595_o ,\FM_HW/_al_u1594_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1597|FM_HW/_al_u2331 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_007 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_007 }),
.c({\FM_HW/_al_u1596_o ,\FM_HW/_al_u2330_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1597_o ,\FM_HW/_al_u2331_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1599|FM_HW/_al_u1598 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_001 }),
.c({\FM_HW/_al_u1598_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1599_o ,\FM_HW/_al_u1598_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1010101011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1600|FM_HW/_al_u2332 (
.a({\FM_HW/_al_u1595_o ,HADDR[6]}),
.b({\FM_HW/_al_u1597_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_007 }),
.c({\FM_HW/_al_u1599_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_007 }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n59523}),
.f({\FM_HW/_al_u1600_o ,\FM_HW/_al_u2332_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(D*~(~A*~(~C*B)))"),
//.LUTG0("(D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(D*~(~A*~(~C*B)))"),
.INIT_LUTF0(16'b1111110000000000),
.INIT_LUTF1(16'b1010111000000000),
.INIT_LUTG0(16'b0101010100000000),
.INIT_LUTG1(16'b1010111000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1604|FM_HW/_al_u3072 (
.a({\FM_HW/_al_u1562_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 }),
.b({\FM_HW/_al_u1583_o ,\FM_HW/_al_u3050_o }),
.c({\FM_HW/_al_u1603_o ,\FM_HW/_al_u3071_o }),
.d({HADDR[13],HADDR[13]}),
.e({open_n59546,HADDR[12]}),
.f({\FM_HW/_al_u1604_o ,\FM_HW/_al_u3072_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1606|FM_HW/_al_u1605 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_001 }),
.c({\FM_HW/_al_u1605_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ,\FM_HW/_al_u1605_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1608|FM_HW/_al_u1781 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_001 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_001 ,HADDR[6]}),
.c({\FM_HW/_al_u1607_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ,\FM_HW/_al_u1781_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1609|FM_HW/_al_u1775 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_38 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_39 ,HADDR[6]}),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_000 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_000 }),
.f({\FM_HW/_al_u1609_o ,\FM_HW/_al_u1775_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1610|FM_HW/_al_u1779 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_000 }),
.f({\FM_HW/_al_u1610_o ,\FM_HW/_al_u1779_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1611|FM_HW/_al_u1778 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_001 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_001 ,HADDR[6]}),
.c({\FM_HW/_al_u1610_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_000 }),
.f({\FM_HW/_al_u1611_o ,\FM_HW/_al_u1778_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1613|FM_HW/_al_u1246 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_002 }),
.c({\FM_HW/_al_u1612_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_002 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ,\FM_HW/_al_u1246_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~C*~B))"),
//.LUT1("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
.INIT_LUT0(16'b1010100010101010),
.INIT_LUT1(16'b0000101000000011),
.MODE("LOGIC"))
\FM_HW/_al_u1614|FM_HW/_al_u867 (
.a({\FM_HW/_al_u1611_o ,\FM_HW/_al_u864_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_36 ,\FM_HW/_al_u865_o }),
.c({HADDR[9],\FM_HW/_al_u866_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1614_o ,\FM_HW/_al_u867_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B*~A))"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b1111000011100000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u1615|FM_HW/_al_u1777 (
.a({open_n59731,\FM_HW/_al_u1775_o }),
.b({\FM_HW/_al_u1614_o ,\FM_HW/_al_u1776_o }),
.c(HADDR[10:9]),
.d({\FM_HW/_al_u1609_o ,HADDR[8]}),
.f({\FM_HW/_al_u1615_o ,\FM_HW/_al_u1777_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1616|FM_HW/_al_u1789 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1616_o ,\FM_HW/_al_u1789_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1618|FM_HW/_al_u1787 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_000 }),
.f({\FM_HW/_al_u1618_o ,\FM_HW/_al_u1787_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1619|FM_HW/_al_u852 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_001 ,\FM_HW/_al_u851_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_001 ,HADDR[8]}),
.c({\FM_HW/_al_u1618_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_003 }),
.e({open_n59802,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_34 ,\FM_HW/_al_u852_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1622|FM_HW/_al_u1792 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1622_o ,\FM_HW/_al_u1792_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~(~D*~B*~A))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100001110),
.MODE("LOGIC"))
\FM_HW/_al_u1623|FM_HW/_al_u1793 (
.a({\FM_HW/_al_u1621_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_000 }),
.b({\FM_HW/_al_u1622_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_000 }),
.c({HADDR[9],\FM_HW/_al_u1792_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1623_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1625|FM_HW/_al_u1795 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_000 }),
.c({\FM_HW/_al_u1624_o ,\FM_HW/_al_u1794_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_33 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1627|FM_HW/_al_u1621 (
.a({\FM_HW/_al_u1615_o ,HADDR[7]}),
.b({\FM_HW/_al_u1626_o ,HADDR[6]}),
.c({HADDR[12],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_001 }),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_001 }),
.f({\FM_HW/_al_u1627_o ,\FM_HW/_al_u1621_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1629|FM_HW/_al_u1818 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_001 ,\FM_HW/_al_u1817_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_001 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1628_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_000 }),
.e({open_n59917,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_000 }),
.f({\FM_HW/_al_u1629_o ,\FM_HW/_al_u1818_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1632|FM_HW/_al_u1810 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1632_o ,\FM_HW/_al_u1810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1634|FM_HW/_al_u1812 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1634_o ,\FM_HW/_al_u1812_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1635|FM_HW/_al_u1813 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_000 }),
.c({\FM_HW/_al_u1634_o ,\FM_HW/_al_u1812_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1635_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1636|FM_HW/_al_u1814 (
.a({\FM_HW/_al_u1633_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 }),
.b({\FM_HW/_al_u1635_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_43 }),
.c({HADDR[8],HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u1636_o ,\FM_HW/_al_u1814_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000010101100),
.MODE("LOGIC"))
\FM_HW/_al_u1637|FM_HW/_al_u904 (
.a({\FM_HW/_al_u1629_o ,\FM_HW/_al_u896_o }),
.b({\FM_HW/_al_u1631_o ,\FM_HW/_al_u898_o }),
.c({\FM_HW/_al_u1636_o ,\FM_HW/_al_u903_o }),
.d({HADDR[9],HADDR[9]}),
.f({\FM_HW/_al_u1637_o ,\FM_HW/_al_u904_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1638|FM_HW/_al_u906 (
.a({HADDR[6],\FM_HW/_al_u905_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_001 ,HADDR[8]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_001 ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_003 }),
.e({open_n60056,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_003 }),
.f({\FM_HW/_al_u1638_o ,\FM_HW/_al_u906_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1640|FM_HW/_al_u1798 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1640_o ,\FM_HW/_al_u1798_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1641|FM_HW/_al_u1799 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_000 }),
.c({\FM_HW/_al_u1640_o ,\FM_HW/_al_u1798_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1641_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1642|FM_HW/_al_u1803 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1642_o ,\FM_HW/_al_u1803_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1644|FM_HW/_al_u1800 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1644_o ,\FM_HW/_al_u1800_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1645|FM_HW/_al_u1801 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_000 }),
.c({\FM_HW/_al_u1644_o ,\FM_HW/_al_u1800_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1645_o ,\FM_HW/_al_u1801_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1646|FM_HW/_al_u1643 (
.a({\FM_HW/_al_u1643_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_001 }),
.b({\FM_HW/_al_u1645_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_001 }),
.c({HADDR[9],\FM_HW/_al_u1642_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1646_o ,\FM_HW/_al_u1643_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000010100000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1648|FM_HW/_al_u1234 (
.a({\FM_HW/_al_u1637_o ,\FM_HW/_al_u1233_o }),
.b({\FM_HW/_al_u1647_o ,\FM_HW/_al_u668_o }),
.c({HADDR[11],HADDR[7]}),
.d({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_002 }),
.e({open_n60219,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_002 }),
.f({\FM_HW/_al_u1648_o ,\FM_HW/_al_u1234_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1649|FM_HW/_al_u1844 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1649_o ,\FM_HW/_al_u1844_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1650|FM_HW/_al_u1845 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_000 }),
.c({\FM_HW/_al_u1649_o ,\FM_HW/_al_u1844_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1650_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1651|FM_HW/_al_u2488 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_006 }),
.f({\FM_HW/_al_u1651_o ,\FM_HW/_al_u2488_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1652|FM_HW/_al_u1843 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_000 }),
.c({\FM_HW/_al_u1651_o ,\FM_HW/_al_u1842_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1652_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1653|FM_HW/_al_u3160 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1653_o ,\FM_HW/_al_u3160_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1654|FM_HW/_al_u2246 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_001 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_007 }),
.c({\FM_HW/_al_u1653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1654_o ,\FM_HW/_al_u2246_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1655|FM_HW/_al_u1850 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_000 }),
.f({\FM_HW/_al_u1655_o ,\FM_HW/_al_u1850_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1656|FM_HW/_al_u885 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_003 }),
.c({\FM_HW/_al_u1655_o ,\FM_HW/_al_u884_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1656_o ,\FM_HW/_al_u885_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1659|FM_HW/_al_u1853 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1659_o ,\FM_HW/_al_u1853_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1661|FM_HW/_al_u879 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1661_o ,\FM_HW/_al_u879_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1663|FM_HW/_al_u1855 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1663_o ,\FM_HW/_al_u1855_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1665|FM_HW/_al_u1860 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1665_o ,\FM_HW/_al_u1860_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1666|FM_HW/_al_u1262 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_001 ,\FM_HW/_al_u1261_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_001 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1665_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_002 }),
.e({open_n60522,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_002 }),
.f({\FM_HW/_al_u1666_o ,\FM_HW/_al_u1262_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1673|FM_HW/_al_u1836 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_001 ,HADDR[7]}),
.f({\FM_HW/_al_u1673_o ,\FM_HW/_al_u1836_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1674|FM_HW/_al_u1670 (
.a({\FM_HW/_al_u1673_o ,\FM_HW/_al_u1669_o }),
.b({\FM_HW/_al_u678_o ,\FM_HW/_al_u668_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_001 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_001 }),
.f({\FM_HW/_al_u1674_o ,\FM_HW/_al_u1670_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1675|FM_HW/_al_u846 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1675_o ,\FM_HW/_al_u846_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1676|FM_HW/_al_u847 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_003 }),
.c({\FM_HW/_al_u1675_o ,\FM_HW/_al_u846_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1676_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1678|FM_HW/_al_u1828 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_000 }),
.f({\FM_HW/_al_u1678_o ,\FM_HW/_al_u1828_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1679|FM_HW/_al_u1829 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_001 ,\FM_HW/_al_u1828_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_001 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1678_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_000 }),
.e({open_n60659,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ,\FM_HW/_al_u1829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1680|FM_HW/_al_u1821 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1680_o ,\FM_HW/_al_u1821_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1681|FM_HW/_al_u844 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_003 }),
.c({\FM_HW/_al_u1680_o ,\FM_HW/_al_u843_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1681_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTG0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
.INIT_LUTF0(16'b0000110000001010),
.INIT_LUTF1(16'b0000110000000101),
.INIT_LUTG0(16'b0000110000001010),
.INIT_LUTG1(16'b0000110000000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1682|FM_HW/_al_u845 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_60 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_60 }),
.b({\FM_HW/_al_u1681_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_61 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1682_o ,\FM_HW/_al_u845_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1683|FM_HW/_al_u1826 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_001 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_000 }),
.f({\FM_HW/_al_u1683_o ,\FM_HW/_al_u1826_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1685|FM_HW/_al_u1823 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1685_o ,\FM_HW/_al_u1823_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1686|FM_HW/_al_u3181 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_001 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_004 }),
.c({\FM_HW/_al_u1685_o ,\FM_HW/_al_u3180_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1100000010100000),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b1100000010100000),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1687|FM_HW/_al_u840 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_62 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_63 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_63 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1687_o ,\FM_HW/_al_u840_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(0*~(~D*C)*~(~B*A))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(1*~(~D*C)*~(~B*A))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101110100001101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1689|FM_HW/_al_u1671 (
.a({\FM_HW/_al_u1627_o ,HADDR[7]}),
.b({\FM_HW/_al_u1648_o ,HADDR[6]}),
.c({\FM_HW/_al_u1668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_001 }),
.d({\FM_HW/_al_u1688_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_001 }),
.e({HADDR[13],open_n60846}),
.f({\FM_HW/_al_u1689_o ,\FM_HW/_al_u1671_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1694|FM_HW/_al_u1203 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_000 ,\FM_HW/_al_u1202_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_000 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1693_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_002 }),
.e({open_n60869,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_002 }),
.f({\FM_HW/_al_u1694_o ,\FM_HW/_al_u1203_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1698|FM_HW/_al_u675 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_000 ,\FM_HW/_al_u673_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_000 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u1697_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_003 }),
.e({open_n60892,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_003 }),
.f({\FM_HW/_al_u1698_o ,\FM_HW/_al_u675_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1111000010101100),
.MODE("LOGIC"))
\FM_HW/_al_u1700|FM_HW/_al_u1699 (
.a({\FM_HW/_al_u1692_o ,\FM_HW/_al_u1696_o }),
.b({\FM_HW/_al_u1694_o ,\FM_HW/_al_u1698_o }),
.c({\FM_HW/_al_u1699_o ,HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1700_o ,\FM_HW/_al_u1699_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+A*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTF1("(~C*~B*~A*~(0*~D))"),
//.LUTG0("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+A*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
//.LUTG1("(~C*~B*~A*~(1*~D))"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b0000000100000001),
.INIT_LUTG0(16'b0000000011011000),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1709|FM_HW/_al_u1418 (
.a({\FM_HW/_al_u1702_o ,\FM_HW/_al_u1413_o }),
.b({\FM_HW/_al_u1704_o ,\FM_HW/_al_u1415_o }),
.c({\FM_HW/_al_u1706_o ,\FM_HW/_al_u1417_o }),
.d({\FM_HW/_al_u1708_o ,HADDR[10]}),
.e({\FM_HW/_al_u671_o ,HADDR[9]}),
.f({\FM_HW/_al_u1709_o ,\FM_HW/_al_u1418_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUT1("(~C*~(D*(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0000010100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1710 (
.a({\FM_HW/_al_u1700_o ,\FM_HW/_al_u1700_o }),
.b({\FM_HW/_al_u1709_o ,\FM_HW/_al_u1709_o }),
.c({HADDR[12],HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.mi({open_n60967,HADDR[10]}),
.fx({open_n60972,\FM_HW/_al_u1710_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010101011011000),
.INIT_LUTF1(16'b1010101011011000),
.INIT_LUTG0(16'b1010101011011000),
.INIT_LUTG1(16'b1010101011011000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1711|FM_HW/_al_u696 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1711_o ,\FM_HW/_al_u696_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUT0(16'b0011010100001111),
.INIT_LUT1(16'b0011010100001111),
.MODE("LOGIC"))
\FM_HW/_al_u1712|FM_HW/_al_u697 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_003 }),
.c({\FM_HW/_al_u1711_o ,\FM_HW/_al_u696_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1712_o ,\FM_HW/_al_u697_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1714|FM_HW/_al_u695 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_003 }),
.c({\FM_HW/_al_u1713_o ,\FM_HW/_al_u694_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1714_o ,\FM_HW/_al_u695_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1719|FM_HW/_al_u3283 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_004 }),
.c({\FM_HW/_al_u1718_o ,\FM_HW/_al_u3282_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1719_o ,\FM_HW/_al_u3283_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~B*~A*~(D*~C))"),
//.LUT1("(~1*~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0001000000010001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1720 (
.a({\FM_HW/_al_u1715_o ,\FM_HW/_al_u1715_o }),
.b({\FM_HW/_al_u1717_o ,\FM_HW/_al_u1717_o }),
.c({\FM_HW/_al_u1719_o ,\FM_HW/_al_u1719_o }),
.d({\FM_HW/_al_u678_o ,\FM_HW/_al_u678_o }),
.mi({open_n61071,HADDR[10]}),
.fx({open_n61076,\FM_HW/_al_u1720_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1722|FM_HW/_al_u2568 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_000 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_006 }),
.c({\FM_HW/_al_u1721_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ,\FM_HW/_al_u2568_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1723|FM_HW/_al_u681 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1723_o ,\FM_HW/_al_u681_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1724|FM_HW/_al_u1174 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_000 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_000 ,HADDR[6]}),
.c({\FM_HW/_al_u1723_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_002 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ,\FM_HW/_al_u1174_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0101010100001111),
.INIT_LUT1(16'b0011001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1727 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_6 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_7 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_4 }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n61163,HADDR[8]}),
.fx({open_n61168,\FM_HW/_al_u1727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1728|FM_HW/_al_u3301 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1728_o ,\FM_HW/_al_u3301_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*~(~B*~(D*C))))"),
//.LUT1("(A*~(~1*~(~B*~(D*C))))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1731 (
.a({\FM_HW/_al_u1710_o ,\FM_HW/_al_u1710_o }),
.b({\FM_HW/_al_u1720_o ,\FM_HW/_al_u1720_o }),
.c({\FM_HW/_al_u1727_o ,\FM_HW/_al_u1727_o }),
.d({\FM_HW/_al_u1730_o ,\FM_HW/_al_u1730_o }),
.mi({open_n61207,HADDR[11]}),
.fx({open_n61212,\FM_HW/_al_u1731_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1735|FM_HW/_al_u1738 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_000 ,\FM_HW/_al_u1737_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_000 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1734_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_000 }),
.e({open_n61217,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_000 }),
.f({\FM_HW/_al_u1735_o ,\FM_HW/_al_u1738_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*A*~(D*~C)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(1*~(~B*A*~(D*~C)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1010111111111100),
.INIT_LUTG0(16'b1101111111011101),
.INIT_LUTG1(16'b1010111111111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1736|FM_HW/_al_u1741 (
.a({\FM_HW/_al_u1733_o ,\FM_HW/_al_u1736_o }),
.b({\FM_HW/_al_u1735_o ,\FM_HW/_al_u1738_o }),
.c({HADDR[9],\FM_HW/_al_u1740_o }),
.d({HADDR[8],\FM_HW/_al_u671_o }),
.e({open_n61240,HADDR[10]}),
.f({\FM_HW/_al_u1736_o ,\FM_HW/_al_u1741_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1742|FM_HW/_al_u3248 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_000 ,HADDR[7]}),
.f({\FM_HW/_al_u1742_o ,\FM_HW/_al_u3248_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1743|FM_HW/_al_u2793 (
.a({\FM_HW/_al_u1742_o ,\FM_HW/_al_u2792_o }),
.b({\FM_HW/_al_u678_o ,\FM_HW/_al_u678_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_005 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_005 }),
.f({\FM_HW/_al_u1743_o ,\FM_HW/_al_u2793_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1744|FM_HW/_al_u2796 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1744_o ,\FM_HW/_al_u2796_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~(C*~B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1746|FM_HW/_al_u3909 (
.b({\FM_HW/_al_u1745_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u674_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/_al_u1743_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/_al_u1746_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1750|FM_HW/_al_u1358 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_000 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_000 ,HADDR[6]}),
.c({\FM_HW/_al_u1749_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_001 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_001 }),
.f({\FM_HW/_al_u1750_o ,\FM_HW/_al_u1358_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*~(~D*~B*~A))"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b1111000011100000),
.MODE("LOGIC"))
\FM_HW/_al_u1752|FM_HW/_al_u1355 (
.a({\FM_HW/_al_u1741_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_20 }),
.b({\FM_HW/_al_u1751_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_21 }),
.c({HADDR[12],HADDR[9]}),
.d({HADDR[11],HADDR[8]}),
.f({\FM_HW/_al_u1752_o ,\FM_HW/_al_u1355_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1754|FM_HW/_al_u2639 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_006 }),
.c({\FM_HW/_al_u1753_o ,\FM_HW/_al_u2638_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(C*~B)*~(D*~A))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(C*~B)*~(D*~A))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000101011001111),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1000101011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1757|FM_HW/_al_u2635 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_27 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_26 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_006 }),
.c({\FM_HW/_al_u671_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_006 }),
.d({\FM_HW/_al_u674_o ,HADDR[7]}),
.f({\FM_HW/_al_u1757_o ,\FM_HW/_al_u2635_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1760|FM_HW/_al_u2151 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1760_o ,\FM_HW/_al_u2151_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1761|FM_HW/_al_u704 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_000 ,\FM_HW/_al_u703_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_000 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1760_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_003 }),
.e({open_n61471,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_25 ,\FM_HW/_al_u704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*~(~D*~B*A))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*~(~D*~B*A))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011010000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1763|FM_HW/_al_u2790 (
.a({\FM_HW/_al_u1757_o ,\FM_HW/_al_u2789_o }),
.b({\FM_HW/_al_u1762_o ,\FM_HW/_al_u671_o }),
.c({HADDR[11],HADDR[7]}),
.d({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_005 }),
.e({open_n61494,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_005 }),
.f({\FM_HW/_al_u1763_o ,\FM_HW/_al_u2790_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1766|FM_HW/_al_u2126 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1766_o ,\FM_HW/_al_u2126_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1767|FM_HW/_al_u2774 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_000 ,\FM_HW/_al_u2772_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_000 ,\FM_HW/_al_u2773_o }),
.c({\FM_HW/_al_u1766_o ,\FM_HW/_al_u671_o }),
.d({HADDR[7],HADDR[10]}),
.e({open_n61541,HADDR[6]}),
.f({\FM_HW/_al_u1767_o ,\FM_HW/_al_u2774_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(C*~B))"),
//.LUTF1("(~(C*~B)*~(D*~A))"),
//.LUTG0("(D*~A*~(C*~B))"),
//.LUTG1("(~(C*~B)*~(D*~A))"),
.INIT_LUTF0(16'b0100010100000000),
.INIT_LUTF1(16'b1000101011001111),
.INIT_LUTG0(16'b0100010100000000),
.INIT_LUTG1(16'b1000101011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1768|FM_HW/_al_u3320 (
.a({\FM_HW/_al_u1765_o ,\FM_HW/_al_u3314_o }),
.b({\FM_HW/_al_u1767_o ,\FM_HW/_al_u3316_o }),
.c({\FM_HW/_al_u671_o ,\FM_HW/_al_u3319_o }),
.d({\FM_HW/_al_u668_o ,HADDR[11]}),
.f({\FM_HW/_al_u1768_o ,\FM_HW/_al_u3320_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1770|FM_HW/_al_u1382 (
.a({\FM_HW/_al_u1769_o ,HADDR[6]}),
.b({\FM_HW/_al_u678_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_001 }),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_000 ,HADDR[7]}),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_000 ,open_n61588}),
.f({\FM_HW/_al_u1770_o ,\FM_HW/_al_u1382_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~B*A*~(D*~C)))"),
//.LUT1("(1*~(~B*A*~(D*~C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1101111111011101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1773 (
.a({\FM_HW/_al_u1768_o ,\FM_HW/_al_u1768_o }),
.b({\FM_HW/_al_u1770_o ,\FM_HW/_al_u1770_o }),
.c({\FM_HW/_al_u1772_o ,\FM_HW/_al_u1772_o }),
.d({\FM_HW/_al_u674_o ,\FM_HW/_al_u674_o }),
.mi({open_n61621,HADDR[10]}),
.fx({open_n61626,\FM_HW/_al_u1773_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~C*~(D*~B*~A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~C*~(D*~B*~A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000111000001111),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000111000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1780|FM_HW/_al_u863 (
.a({\FM_HW/_al_u1778_o ,HADDR[8]}),
.b({\FM_HW/_al_u1779_o ,HADDR[6]}),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_003 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_003 }),
.f({\FM_HW/_al_u1780_o ,\FM_HW/_al_u863_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1783|FM_HW/_al_u1612 (
.a({open_n61653,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_001 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1783_o ,\FM_HW/_al_u1612_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1784|FM_HW/_al_u1247 (
.a({open_n61678,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_002 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_000 ,\FM_HW/_al_u1246_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1784_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_36 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*~A*~(D*B))"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*~A*~(D*B))"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1791|FM_HW/_al_u1788 (
.a({\FM_HW/_al_u1788_o ,\FM_HW/_al_u1787_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_35 ,HADDR[8]}),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_000 }),
.e({open_n61705,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_000 }),
.f({\FM_HW/_al_u1791_o ,\FM_HW/_al_u1788_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(~C*~(~D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b0000111100001010),
.INIT_LUT1(16'b0000111100001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1796 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_32 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_33 }),
.c({HADDR[10],HADDR[10]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n61738,HADDR[8]}),
.fx({open_n61743,\FM_HW/_al_u1796_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~D*~(C*~B))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1797|FM_HW/_al_u1617 (
.a({open_n61746,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_001 }),
.b({\FM_HW/_al_u1791_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_001 }),
.c({\FM_HW/_al_u1796_o ,\FM_HW/_al_u1616_o }),
.d({\FM_HW/_al_u1786_o ,HADDR[7]}),
.f({\FM_HW/_al_u1797_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_35 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u1805|FM_HW/_al_u1639 (
.a({open_n61771,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_001 }),
.b({open_n61772,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_001 }),
.c({HADDR[8],\FM_HW/_al_u1638_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_45 ,HADDR[7]}),
.f({\FM_HW/_al_u1805_o ,\FM_HW/_al_u1639_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(~A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0100010101000100),
.INIT_LUTF1(16'b0000000101000101),
.INIT_LUTG0(16'b0101010101010100),
.INIT_LUTG1(16'b0000000101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1806|FM_HW/_al_u907 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_000 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_003 }),
.e({open_n61795,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_003 }),
.f({\FM_HW/_al_u1806_o ,\FM_HW/_al_u907_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1807|FM_HW/_al_u908 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_003 }),
.f({\FM_HW/_al_u1807_o ,\FM_HW/_al_u908_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~(~A*~(~0*~B))))"),
//.LUTF1("(D*~(C*~B)*~(0*A))"),
//.LUTG0("(C*~(D*~(~A*~(~1*~B))))"),
//.LUTG1("(D*~(C*~B)*~(1*A))"),
.INIT_LUTF0(16'b0100000011110000),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b0101000011110000),
.INIT_LUTG1(16'b0100010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1809|FM_HW/_al_u2272 (
.a({\FM_HW/_al_u1802_o ,\FM_HW/_al_u2269_o }),
.b({\FM_HW/_al_u1805_o ,\FM_HW/_al_u2271_o }),
.c({\FM_HW/_al_u1808_o ,HADDR[11]}),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.f({\FM_HW/_al_u1809_o ,\FM_HW/_al_u2272_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100010101100111),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0100010101100111),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1811|FM_HW/_al_u900 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_000 ,\FM_HW/_al_u899_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_000 ,HADDR[7]}),
.c({\FM_HW/_al_u1810_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_42 ,\FM_HW/_al_u900_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1815|FM_HW/_al_u895 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_003 }),
.f({\FM_HW/_al_u1815_o ,\FM_HW/_al_u895_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1817|FM_HW/_al_u897 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_003 }),
.f({\FM_HW/_al_u1817_o ,\FM_HW/_al_u897_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\FM_HW/_al_u1819|FM_HW/_al_u2518 (
.a({\FM_HW/_al_u1814_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_006 }),
.b({\FM_HW/_al_u1816_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_006 }),
.c({\FM_HW/_al_u1818_o ,\FM_HW/_al_u2517_o }),
.d({HADDR[10],HADDR[7]}),
.f({\FM_HW/_al_u1819_o ,\FM_HW/_al_u2518_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1824|FM_HW/_al_u2766 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_005 }),
.c({\FM_HW/_al_u1823_o ,\FM_HW/_al_u2765_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1824_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1831|FM_HW/_al_u1833 (
.a({HADDR[7],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_000 }),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1831_o ,\FM_HW/_al_u1833_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1832|FM_HW/_al_u848 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_000 ,open_n62002}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_000 ,HADDR[9]}),
.c({\FM_HW/_al_u1831_o ,HADDR[8]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_59 }),
.f({\FM_HW/_al_u1832_o ,\FM_HW/_al_u848_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0101000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u1835|FM_HW/_al_u834 (
.a({\FM_HW/_al_u1832_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_003 }),
.b({\FM_HW/_al_u1834_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_003 }),
.c({HADDR[9],\FM_HW/_al_u833_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u1835_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1837|FM_HW/_al_u832 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_003 }),
.c({\FM_HW/_al_u1836_o ,\FM_HW/_al_u831_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*~A*~(~0*~C*~B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*~A*~(~1*~C*~B))"),
.INIT_LUTF0(16'b1111000011101110),
.INIT_LUTF1(16'b0101010000000000),
.INIT_LUTG0(16'b1010000010101010),
.INIT_LUTG1(16'b0101010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1841|FM_HW/_al_u835 (
.a({\FM_HW/_al_u1830_o ,\FM_HW/_al_u830_o }),
.b({\FM_HW/_al_u1835_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_56 }),
.c({\FM_HW/_al_u1840_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_58 }),
.d({HADDR[11],HADDR[9]}),
.e({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u1841_o ,\FM_HW/_al_u835_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1842|FM_HW/_al_u2489 (
.a({HADDR[6],\FM_HW/_al_u2488_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_000 ,HADDR[9]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_000 ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_006 }),
.e({open_n62095,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_006 }),
.f({\FM_HW/_al_u1842_o ,\FM_HW/_al_u2489_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1847|FM_HW/_al_u2741 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i219_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i218_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1847_o ,\FM_HW/_al_u2741_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1848|FM_HW/_al_u887 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_000 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_000 ,HADDR[6]}),
.c({\FM_HW/_al_u1847_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_003 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ,\FM_HW/_al_u887_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(~0*~(D*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(~C*B)*~(~1*~(D*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010001000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111001111110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1849|FM_HW/_al_u888 (
.a({open_n62164,\FM_HW/_al_u885_o }),
.b({open_n62165,\FM_HW/_al_u886_o }),
.c({HADDR[8],\FM_HW/_al_u887_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_55 ,HADDR[9]}),
.e({open_n62168,HADDR[8]}),
.f({\FM_HW/_al_u1849_o ,\FM_HW/_al_u888_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1854|FM_HW/_al_u1660 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_000 ,\FM_HW/_al_u1659_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_000 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1853_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_001 }),
.e({open_n62191,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_001 }),
.f({\FM_HW/_al_u1854_o ,\FM_HW/_al_u1660_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1856|FM_HW/_al_u882 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_003 }),
.c({\FM_HW/_al_u1855_o ,\FM_HW/_al_u881_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1856_o ,\FM_HW/_al_u882_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~(~C*B)))"),
//.LUT1("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.INIT_LUT0(16'b0101010100000100),
.INIT_LUT1(16'b0011010100000000),
.MODE("LOGIC"))
\FM_HW/_al_u1857|FM_HW/_al_u878 (
.a({\FM_HW/_al_u1854_o ,\FM_HW/_al_u875_o }),
.b({\FM_HW/_al_u1856_o ,\FM_HW/_al_u877_o }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1857_o ,\FM_HW/_al_u878_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1859|FM_HW/_al_u877 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_003 }),
.c({\FM_HW/_al_u1858_o ,\FM_HW/_al_u876_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1859_o ,\FM_HW/_al_u877_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000000001010011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000001010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1862|FM_HW/_al_u1861 (
.a({\FM_HW/_al_u1859_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_000 }),
.b({\FM_HW/_al_u1861_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_000 }),
.c({HADDR[9],\FM_HW/_al_u1860_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1862_o ,\FM_HW/_al_u1861_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~D*~A*~(~0*~(~C*~B)))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~D*~A*~(~1*~(~C*~B)))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1863|FM_HW/_al_u2230 (
.a({\FM_HW/_al_u1852_o ,\FM_HW/_al_u2229_o }),
.b({\FM_HW/_al_u1857_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u1862_o ,HADDR[7]}),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_007 }),
.e({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_007 }),
.f({\FM_HW/_al_u1863_o ,\FM_HW/_al_u2230_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1865|FM_HW/_al_u1866 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_000 }),
.f({\FM_HW/_al_u1865_o ,\FM_HW/_al_u1866_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1867|FM_HW/_al_u1145 (
.a({\FM_HW/_al_u1865_o ,\FM_HW/_al_u1144_o }),
.b({\FM_HW/_al_u1866_o ,HADDR[9]}),
.c({HADDR[10],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_002 }),
.e({open_n62348,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_002 }),
.f({\FM_HW/_al_u1867_o ,\FM_HW/_al_u1145_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1100100001000000),
.INIT_LUTF1(16'b0011001000010000),
.INIT_LUTG0(16'b1100100001000000),
.INIT_LUTG1(16'b0011001000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1868|FM_HW/_al_u1869 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_000 }),
.f({\FM_HW/_al_u1868_o ,\FM_HW/_al_u1869_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1871|FM_HW/_al_u1872 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_000 }),
.f({\FM_HW/_al_u1871_o ,\FM_HW/_al_u1872_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~C*~(~D*~B*~A))"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b0000111100001110),
.MODE("LOGIC"))
\FM_HW/_al_u1873|FM_HW/_al_u758 (
.a({\FM_HW/_al_u1871_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_96 }),
.b({\FM_HW/_al_u1872_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 }),
.c(HADDR[10:9]),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1873_o ,\FM_HW/_al_u758_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1875|FM_HW/_al_u756 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_000 ,HADDR[7]}),
.f({\FM_HW/_al_u1875_o ,\FM_HW/_al_u756_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(A*~(D*~C*~B))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(A*~(D*~C*~B))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b1010100010101010),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b1010100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1876|FM_HW/_al_u1142 (
.a({\FM_HW/_al_u1873_o ,HADDR[7]}),
.b({\FM_HW/_al_u1874_o ,HADDR[6]}),
.c({\FM_HW/_al_u1875_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_002 }),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_002 }),
.f({\FM_HW/_al_u1876_o ,\FM_HW/_al_u1142_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1878|FM_HW/_al_u1602 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_000 ,\FM_HW/_al_u1601_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_000 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1877_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_001 }),
.e({open_n62487,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ,\FM_HW/_al_u1602_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1880|FM_HW/_al_u1590 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_000 ,\FM_HW/_al_u1589_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_000 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u1879_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_001 }),
.e({open_n62510,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ,\FM_HW/_al_u1590_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000010100000),
.MODE("LOGIC"))
\FM_HW/_al_u1881|FM_HW/_al_u1592 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_100 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_101 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_001 }),
.c({HADDR[10],\FM_HW/_al_u1591_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1881_o ,\FM_HW/_al_u1592_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1883 (
.a({open_n62551,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_000 }),
.b({open_n62552,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_000 }),
.c({open_n62553,\FM_HW/_al_u1882_o }),
.d({open_n62556,HADDR[7]}),
.f({open_n62570,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b1010101000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1886 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_102 }),
.b({\FM_HW/_al_u1884_o ,\FM_HW/_al_u1884_o }),
.c({\FM_HW/_al_u1885_o ,\FM_HW/_al_u1885_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n62588,HADDR[8]}),
.fx({open_n62593,\FM_HW/_al_u1886_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1891|FM_HW/_al_u3156 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_004 }),
.c({\FM_HW/_al_u1890_o ,\FM_HW/_al_u3155_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1891_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+B*C*~(D)*~(0)+B*~(C)*D*~(0)+B*C*D*~(0)+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0+B*C*D*0))"),
//.LUTG0("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+B*C*~(D)*~(1)+B*~(C)*D*~(1)+B*C*D*~(1)+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1+B*C*D*1))"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b0100010001010101),
.INIT_LUTG0(16'b1010101011111111),
.INIT_LUTG1(16'b0101010101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1894|FM_HW/_al_u3047 (
.a({\FM_HW/_al_u1889_o ,\FM_HW/_al_u3042_o }),
.b({\FM_HW/_al_u1891_o ,\FM_HW/_al_u3044_o }),
.c({\FM_HW/_al_u1893_o ,\FM_HW/_al_u3046_o }),
.d({HADDR[9],HADDR[9]}),
.e({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u1894_o ,\FM_HW/_al_u3047_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1896|FM_HW/_al_u783 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_003 }),
.c({\FM_HW/_al_u1895_o ,\FM_HW/_al_u782_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1896_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_107 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1899|FM_HW/_al_u2369 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_000 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_007 }),
.c({\FM_HW/_al_u1898_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ,\FM_HW/_al_u2369_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1900|FM_HW/_al_u2659 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1900_o ,\FM_HW/_al_u2659_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(C*~(D*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b1100000011110000),
.INIT_LUTF1(16'b0011111101010000),
.INIT_LUTG0(16'b1010000011110000),
.INIT_LUTG1(16'b0011111101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1902|FM_HW/_al_u1577 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_110 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_111 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_110 }),
.c({HADDR[9],HADDR[10]}),
.d({HADDR[8],HADDR[9]}),
.e({open_n62716,HADDR[8]}),
.f({\FM_HW/_al_u1902_o ,\FM_HW/_al_u1577_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1904|FM_HW/_al_u1135 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_000 ,\FM_HW/_al_u1134_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_000 ,HADDR[9]}),
.c({\FM_HW/_al_u1903_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_002 }),
.e({open_n62739,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 ,\FM_HW/_al_u1135_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1906|FM_HW/_al_u1137 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_000 ,\FM_HW/_al_u1136_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_000 ,HADDR[9]}),
.c({\FM_HW/_al_u1905_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_002 }),
.e({open_n62762,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 ,\FM_HW/_al_u1137_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1908 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 }),
.b({\FM_HW/_al_u1897_o ,\FM_HW/_al_u1897_o }),
.c({\FM_HW/_al_u1907_o ,\FM_HW/_al_u1907_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n62795,HADDR[11]}),
.fx({open_n62800,\FM_HW/_al_u1908_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u1912|FM_HW/_al_u797 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_003 }),
.c({\FM_HW/_al_u1911_o ,\FM_HW/_al_u796_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1912_o ,\FM_HW/_al_u797_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1010101011001100),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1915 (
.a({\FM_HW/_al_u1910_o ,\FM_HW/_al_u1910_o }),
.b({\FM_HW/_al_u1912_o ,\FM_HW/_al_u1912_o }),
.c({\FM_HW/_al_u1914_o ,\FM_HW/_al_u1914_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n62835,HADDR[8]}),
.fx({open_n62840,\FM_HW/_al_u1915_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1916|FM_HW/_al_u3017 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1916_o ,\FM_HW/_al_u3017_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1917|FM_HW/_al_u3087 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_004 }),
.c({\FM_HW/_al_u1916_o ,\FM_HW/_al_u3086_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1917_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_117 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0001101100000000),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1918|FM_HW/_al_u2675 (
.a({\FM_HW/_al_u1915_o ,\FM_HW/_al_u2670_o }),
.b({\FM_HW/_al_u1917_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 }),
.c({\FM_HW/_al_u668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 }),
.d({HADDR[10],HADDR[10]}),
.e({open_n62893,HADDR[9]}),
.f({\FM_HW/_al_u1918_o ,\FM_HW/_al_u2675_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B*~A))"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b1111000011100000),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\FM_HW/_al_u1923|FM_HW/_al_u2686 (
.a({open_n62914,\FM_HW/_al_u2675_o }),
.b({\FM_HW/_al_u1922_o ,\FM_HW/_al_u2685_o }),
.c({\FM_HW/_al_u671_o ,HADDR[12]}),
.d({\FM_HW/_al_u1920_o ,HADDR[11]}),
.f({\FM_HW/_al_u1923_o ,\FM_HW/_al_u2686_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1924|FM_HW/_al_u786 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_000 ,HADDR[7]}),
.f({\FM_HW/_al_u1924_o ,\FM_HW/_al_u786_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1927|FM_HW/_al_u791 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_003 }),
.c({\FM_HW/_al_u1926_o ,\FM_HW/_al_u790_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1927_o ,\FM_HW/_al_u791_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~B*A*~(D*~C)))"),
//.LUTF1("(C*~(~D*~B*~A))"),
//.LUTG0("(~1*~(~B*A*~(D*~C)))"),
//.LUTG1("(C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b1101111111011101),
.INIT_LUTF1(16'b1111000011100000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1929|FM_HW/_al_u1928 (
.a({\FM_HW/_al_u1918_o ,\FM_HW/_al_u1923_o }),
.b({\FM_HW/_al_u1928_o ,\FM_HW/_al_u1925_o }),
.c({HADDR[12],\FM_HW/_al_u1927_o }),
.d({HADDR[11],\FM_HW/_al_u674_o }),
.e({open_n62985,HADDR[10]}),
.f({\FM_HW/_al_u1929_o ,\FM_HW/_al_u1928_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1933|FM_HW/_al_u1526 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_000 ,\FM_HW/_al_u1525_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_000 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u1932_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_001 }),
.e({open_n63008,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_001 }),
.f({\FM_HW/_al_u1933_o ,\FM_HW/_al_u1526_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1935|FM_HW/_al_u3108 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_004 }),
.c({\FM_HW/_al_u1934_o ,\FM_HW/_al_u3107_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1935_o ,\FM_HW/_al_u3108_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1937|FM_HW/_al_u809 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_000 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i511_003 }),
.f({\FM_HW/_al_u1937_o ,\FM_HW/_al_u809_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1938|FM_HW/_al_u1522 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_000 ,\FM_HW/_al_u1520_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_000 ,\FM_HW/_al_u1521_o }),
.c({\FM_HW/_al_u1937_o ,\FM_HW/_al_u674_o }),
.d({HADDR[7],HADDR[10]}),
.e({open_n63079,HADDR[6]}),
.f({\FM_HW/_al_u1938_o ,\FM_HW/_al_u1522_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(D*~(0*~(A*~(C*~B))))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(D*~(1*~(A*~(C*~B))))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1939|FM_HW/_al_u1524 (
.a({\FM_HW/_al_u1936_o ,\FM_HW/_al_u1523_o }),
.b({\FM_HW/_al_u1938_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u674_o ,HADDR[7]}),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_001 }),
.e({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_001 }),
.f({\FM_HW/_al_u1939_o ,\FM_HW/_al_u1524_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1941|FM_HW/_al_u1073 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_000 ,\FM_HW/_al_u1072_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_000 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u1940_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_002 }),
.e({open_n63124,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ,\FM_HW/_al_u1073_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u1943|FM_HW/_al_u818 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_003 }),
.c({\FM_HW/_al_u1942_o ,\FM_HW/_al_u817_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~(~A*~(~0*~B))))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(~C*~(D*~(~A*~(~1*~B))))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b0000010000001111),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b0000010100001111),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1944|FM_HW/_al_u3005 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_122 ,\FM_HW/_al_u3002_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_123 ,\FM_HW/_al_u3004_o }),
.c({\FM_HW/_al_u671_o ,HADDR[10]}),
.d({\FM_HW/_al_u674_o ,HADDR[9]}),
.e({open_n63167,HADDR[8]}),
.f({\FM_HW/_al_u1944_o ,\FM_HW/_al_u3005_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000001100000101),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000001100000101),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1949|FM_HW/_al_u1069 (
.a({\FM_HW/_al_u1944_o ,\FM_HW/_al_u1066_o }),
.b({\FM_HW/_al_u1946_o ,\FM_HW/_al_u1068_o }),
.c({\FM_HW/_al_u1948_o ,HADDR[9]}),
.d({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u1949_o ,\FM_HW/_al_u1069_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~A*~(B*~(~D*C)))"),
//.LUT1("(1*~A*~(B*~(~D*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0001000101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1950 (
.a({\FM_HW/_al_u1908_o ,\FM_HW/_al_u1908_o }),
.b({\FM_HW/_al_u1929_o ,\FM_HW/_al_u1929_o }),
.c({\FM_HW/_al_u1939_o ,\FM_HW/_al_u1939_o }),
.d({\FM_HW/_al_u1949_o ,\FM_HW/_al_u1949_o }),
.mi({open_n63224,HADDR[13]}),
.fx({open_n63229,\FM_HW/_al_u1950_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1951|FM_HW/_al_u983 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1951_o ,\FM_HW/_al_u983_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1952|FM_HW/_al_u984 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_003 }),
.c({\FM_HW/_al_u1951_o ,\FM_HW/_al_u983_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1953|FM_HW/_al_u1954 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_000 }),
.f({\FM_HW/_al_u1953_o ,\FM_HW/_al_u1954_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUT1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0001101100000000),
.INIT_LUT1(16'b1010101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1960 (
.a({\FM_HW/_al_u1955_o ,\FM_HW/_al_u1955_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_92 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_93 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n63316,HADDR[9]}),
.fx({open_n63321,\FM_HW/_al_u1960_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1962|FM_HW/_al_u1500 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_000 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_001 }),
.c({\FM_HW/_al_u1961_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 ,\FM_HW/_al_u1500_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1964|FM_HW/_al_u1965 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_000 }),
.f({\FM_HW/_al_u1964_o ,\FM_HW/_al_u1965_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~((~B*~A))*~(C)*~(D)+(~B*~A)*~(C)*~(D)+~((~B*~A))*~(C)*D+~((~B*~A))*C*D+(~B*~A)*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~((~B*~A))*~(C)*~(D)+(~B*~A)*~(C)*~(D)+~((~B*~A))*~(C)*D+~((~B*~A))*C*D+(~B*~A)*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111111000001111),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111111000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1966|FM_HW/_al_u1034 (
.a({\FM_HW/_al_u1964_o ,\FM_HW/_al_u1033_o }),
.b({\FM_HW/_al_u1965_o ,\FM_HW/_al_u668_o }),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_002 }),
.e({open_n63374,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_002 }),
.f({\FM_HW/_al_u1966_o ,\FM_HW/_al_u1034_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~D*~(C)*~((B*~A))+~D*C*~((B*~A))+~(~D)*C*(B*~A)+~D*C*(B*~A)))"),
//.LUT1("(~1*~(~D*~(C)*~((B*~A))+~D*C*~((B*~A))+~(~D)*C*(B*~A)+~D*C*(B*~A)))"),
.INIT_LUT0(16'b1011111100000100),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1971 (
.a({\FM_HW/_al_u1963_o ,\FM_HW/_al_u1963_o }),
.b({\FM_HW/_al_u1966_o ,\FM_HW/_al_u1966_o }),
.c({\FM_HW/_al_u1968_o ,\FM_HW/_al_u1968_o }),
.d({\FM_HW/_al_u1970_o ,\FM_HW/_al_u1970_o }),
.mi({open_n63407,HADDR[10]}),
.fx({open_n63412,\FM_HW/_al_u1971_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1100100001000000),
.INIT_LUTF1(16'b0011001000010000),
.INIT_LUTG0(16'b1100100001000000),
.INIT_LUTG1(16'b0011001000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1974|FM_HW/_al_u1975 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_000 }),
.f({\FM_HW/_al_u1974_o ,\FM_HW/_al_u1975_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*~(D)*~(0)+A*~((~C*~B))*~(D)*~(0)+~(A)*~((~C*~B))*D*~(0)+A*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+A*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0)"),
//.LUT1("(~(A)*~((~C*~B))*~(D)*~(1)+A*~((~C*~B))*~(D)*~(1)+~(A)*~((~C*~B))*D*~(1)+A*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+A*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1)"),
.INIT_LUT0(16'b1111111111111100),
.INIT_LUT1(16'b0000000001010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u1976 (
.a({\FM_HW/_al_u1973_o ,\FM_HW/_al_u1973_o }),
.b({\FM_HW/_al_u1974_o ,\FM_HW/_al_u1974_o }),
.c({\FM_HW/_al_u1975_o ,\FM_HW/_al_u1975_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n63451,HADDR[8]}),
.fx({open_n63456,\FM_HW/_al_u1976_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u1982|FM_HW/_al_u2956 (
.a({open_n63459,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_000 ,\FM_HW/_al_u2955_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u1982_o ,\FM_HW/_al_u2956_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1985|FM_HW/_al_u3335 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_000 ,HADDR[7]}),
.f({\FM_HW/_al_u1985_o ,\FM_HW/_al_u3335_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*~B*A*~(0*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*~B*A*~(1*~D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000001000000010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1991|FM_HW/_al_u1990 (
.a({\FM_HW/_al_u1984_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_000 }),
.b({\FM_HW/_al_u1986_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_000 }),
.c({\FM_HW/_al_u1988_o ,\FM_HW/_al_u1989_o }),
.d({\FM_HW/_al_u1990_o ,HADDR[7]}),
.e({\FM_HW/_al_u671_o ,open_n63506}),
.f({\FM_HW/_al_u1991_o ,\FM_HW/_al_u1990_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~A*~(~D*~(C*~B)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~A*~(~D*~(C*~B)))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0101010100010000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0101010100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u1997|FM_HW/_al_u941 (
.a({\FM_HW/_al_u1994_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_78 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_003 }),
.c({HADDR[9],\FM_HW/_al_u940_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u1997_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2001|FM_HW/_al_u1121 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_000 ,\FM_HW/_al_u1120_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_000 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2000_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_002 }),
.e({open_n63553,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ,\FM_HW/_al_u1121_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(~0*~C)*~(B)*~(A)+~(~0*~C)*B*~(A)+~(~(~0*~C))*B*A+~(~0*~C)*B*A))"),
//.LUT1("(D*(~(~1*~C)*~(B)*~(A)+~(~1*~C)*B*~(A)+~(~(~1*~C))*B*A+~(~1*~C)*B*A))"),
.INIT_LUT0(16'b1101100000000000),
.INIT_LUT1(16'b1101110100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2002 (
.a({\FM_HW/_al_u1997_o ,\FM_HW/_al_u1997_o }),
.b({\FM_HW/_al_u1999_o ,\FM_HW/_al_u1999_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_76 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n63586,HADDR[9]}),
.fx({open_n63591,\FM_HW/_al_u2002_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2004|FM_HW/_al_u951 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_003 }),
.c({\FM_HW/_al_u2003_o ,\FM_HW/_al_u950_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2005|FM_HW/_al_u2006 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_000 }),
.f({\FM_HW/_al_u2005_o ,\FM_HW/_al_u2006_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b1111111111111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2007 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_72 }),
.b({\FM_HW/_al_u2005_o ,\FM_HW/_al_u2005_o }),
.c({\FM_HW/_al_u2006_o ,\FM_HW/_al_u2006_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n63650,HADDR[8]}),
.fx({open_n63655,\FM_HW/_al_u2007_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2009|FM_HW/_al_u954 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_003 }),
.c({\FM_HW/_al_u2008_o ,\FM_HW/_al_u953_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*~B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(D*~C*~B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2015|FM_HW/_al_u2904 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_000 ,HADDR[9]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_000 ,HADDR[7]}),
.c({\FM_HW/_al_u2014_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_005 }),
.f({\FM_HW/_al_u2015_o ,\FM_HW/_al_u2904_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2021|FM_HW/_al_u2018 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_000 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_000 }),
.c({\FM_HW/_al_u2020_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2021_o ,\FM_HW/_al_u2018_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\FM_HW/_al_u2023|FM_HW/_al_u3373 (
.a({\FM_HW/_al_u2015_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_004 }),
.b({\FM_HW/_al_u2017_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_004 }),
.c({\FM_HW/_al_u2022_o ,\FM_HW/_al_u3372_o }),
.d({HADDR[9],HADDR[7]}),
.f({\FM_HW/_al_u2023_o ,\FM_HW/_al_u3373_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1010100000100000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1010100000100000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2024|FM_HW/_al_u1115 (
.a({open_n63750,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_000 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_000 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_002 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_002 }),
.f({\FM_HW/_al_u2024_o ,\FM_HW/_al_u1115_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b0000110000001010),
.MODE("LOGIC"))
\FM_HW/_al_u2026|FM_HW/_al_u2025 (
.a({\FM_HW/_al_u2024_o ,open_n63775}),
.b({\FM_HW/_al_u2025_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_000 }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_000 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2026_o ,\FM_HW/_al_u2025_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2030|FM_HW/_al_u1483 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_000 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_000 ,HADDR[6]}),
.c({\FM_HW/_al_u2029_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_001 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 ,\FM_HW/_al_u1483_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(D*~(0*~C)))"),
//.LUTF1("(~D*(~(~C*~B)*~(A)*~(0)+~(~C*~B)*A*~(0)+~(~(~C*~B))*A*0+~(~C*~B)*A*0))"),
//.LUTG0("(~B*~A*~(D*~(1*~C)))"),
//.LUTG1("(~D*(~(~C*~B)*~(A)*~(1)+~(~C*~B)*A*~(1)+~(~(~C*~B))*A*1+~(~C*~B)*A*1))"),
.INIT_LUTF0(16'b0000000000010001),
.INIT_LUTF1(16'b0000000011111100),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0000000010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2035|FM_HW/_al_u2031 (
.a({\FM_HW/_al_u2023_o ,\FM_HW/_al_u2026_o }),
.b({\FM_HW/_al_u2031_o ,\FM_HW/_al_u2028_o }),
.c({\FM_HW/_al_u2034_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_67 }),
.d({HADDR[11],HADDR[9]}),
.e({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u2035_o ,\FM_HW/_al_u2031_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*B)*~(0*~A))"),
//.LUT1("(~D*~(~C*B)*~(1*~A))"),
.INIT_LUT0(16'b0000000011110011),
.INIT_LUT1(16'b0000000010100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2036 (
.a({\FM_HW/_al_u1992_o ,\FM_HW/_al_u1992_o }),
.b({\FM_HW/_al_u2013_o ,\FM_HW/_al_u2013_o }),
.c({\FM_HW/_al_u2035_o ,\FM_HW/_al_u2035_o }),
.d({HADDR[13],HADDR[13]}),
.mi({open_n63854,HADDR[12]}),
.fx({open_n63859,\FM_HW/_al_u2036_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2038|FM_HW/_al_u2421 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2038_o ,\FM_HW/_al_u2421_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2039|FM_HW/_al_u2422 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_006 }),
.c({\FM_HW/_al_u2038_o ,\FM_HW/_al_u2421_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2040|FM_HW/_al_u2906 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i286_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i287_005 }),
.f({\FM_HW/_al_u2040_o ,\FM_HW/_al_u2906_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2041|FM_HW/_al_u2418 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_007 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_007 ,HADDR[6]}),
.c({\FM_HW/_al_u2040_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 ,\FM_HW/_al_u2418_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2042|FM_HW/_al_u2416 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2042_o ,\FM_HW/_al_u2416_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2043|FM_HW/_al_u2417 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_006 }),
.c({\FM_HW/_al_u2042_o ,\FM_HW/_al_u2416_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1000100010001010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2045|FM_HW/_al_u3359 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_007 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_004 }),
.e({open_n64004,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_004 }),
.f({\FM_HW/_al_u2045_o ,\FM_HW/_al_u3359_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1010001010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0010001000101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2046|FM_HW/_al_u3360 (
.a({\FM_HW/_al_u2045_o ,\FM_HW/_al_u3359_o }),
.b({\FM_HW/_al_u668_o ,HADDR[7]}),
.c(HADDR[7:6]),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_004 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_004 }),
.f({\FM_HW/_al_u2046_o ,\FM_HW/_al_u3360_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2048|FM_HW/_al_u2047 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_007 }),
.c({\FM_HW/_al_u2047_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ,\FM_HW/_al_u2047_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2049|FM_HW/_al_u2391 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_006 }),
.f({\FM_HW/_al_u2049_o ,\FM_HW/_al_u2391_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2050|FM_HW/_al_u2392 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_007 ,\FM_HW/_al_u2391_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_007 ,HADDR[8]}),
.c({\FM_HW/_al_u2049_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_006 }),
.e({open_n64097,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ,\FM_HW/_al_u2392_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTG0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1010100000100000),
.INIT_LUTF1(16'b1111000011101110),
.INIT_LUTG0(16'b1010100000100000),
.INIT_LUTG1(16'b1010000010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2051|FM_HW/_al_u3361 (
.a({\FM_HW/_al_u2046_o ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_64 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_66 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_004 }),
.d({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_004 }),
.e({HADDR[8],open_n64120}),
.f({\FM_HW/_al_u2051_o ,\FM_HW/_al_u3361_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2053|FM_HW/_al_u2426 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_007 ,\FM_HW/_al_u2424_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_007 ,\FM_HW/_al_u2425_o }),
.c({\FM_HW/_al_u2052_o ,HADDR[8]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 ,\FM_HW/_al_u2426_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2055|FM_HW/_al_u2917 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2055_o ,\FM_HW/_al_u2917_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2056|FM_HW/_al_u2388 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_006 }),
.c({\FM_HW/_al_u2055_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 ,\FM_HW/_al_u2388_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u2057 (
.b({open_n64215,HADDR[9]}),
.c({open_n64216,HADDR[8]}),
.d({open_n64219,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_67 }),
.f({open_n64233,\FM_HW/_al_u2057_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~D*~B)*~((~C*A))*~(0)+(~D*~B)*(~C*A)*~(0)+~((~D*~B))*(~C*A)*0+(~D*~B)*(~C*A)*0)"),
//.LUT1("~((~D*~B)*~((~C*A))*~(1)+(~D*~B)*(~C*A)*~(1)+~((~D*~B))*(~C*A)*1+(~D*~B)*(~C*A)*1)"),
.INIT_LUT0(16'b1111111111001100),
.INIT_LUT1(16'b1111010111110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2058 (
.a({\FM_HW/_al_u2044_o ,\FM_HW/_al_u2044_o }),
.b({\FM_HW/_al_u2051_o ,\FM_HW/_al_u2051_o }),
.c({\FM_HW/_al_u2054_o ,\FM_HW/_al_u2054_o }),
.d({\FM_HW/_al_u2057_o ,\FM_HW/_al_u2057_o }),
.mi({open_n64251,HADDR[10]}),
.fx({open_n64256,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2059|FM_HW/_al_u2399 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2059_o ,\FM_HW/_al_u2399_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2060|FM_HW/_al_u2927 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_005 }),
.c({\FM_HW/_al_u2059_o ,\FM_HW/_al_u2926_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2061|FM_HW/_al_u2401 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2061_o ,\FM_HW/_al_u2401_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2062|FM_HW/_al_u2402 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_006 }),
.c({\FM_HW/_al_u2061_o ,\FM_HW/_al_u2401_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111010100000011),
.MODE("LOGIC"))
\FM_HW/_al_u2063|FM_HW/_al_u1449 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_73 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_001 }),
.c({HADDR[9],\FM_HW/_al_u1448_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2063_o ,\FM_HW/_al_u1449_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2064|FM_HW/_al_u2394 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2064_o ,\FM_HW/_al_u2394_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2066|FM_HW/_al_u2396 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2066_o ,\FM_HW/_al_u2396_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2067|FM_HW/_al_u2397 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_006 }),
.c({\FM_HW/_al_u2066_o ,\FM_HW/_al_u2396_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2069|FM_HW/_al_u2407 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2069_o ,\FM_HW/_al_u2407_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2070|FM_HW/_al_u2408 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_006 }),
.c({\FM_HW/_al_u2069_o ,\FM_HW/_al_u2407_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2071|FM_HW/_al_u3401 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_004 }),
.f({\FM_HW/_al_u2071_o ,\FM_HW/_al_u3401_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2072|FM_HW/_al_u2405 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_006 }),
.c({\FM_HW/_al_u2071_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2072_o ,\FM_HW/_al_u2405_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101000000110000),
.INIT_LUTF1(16'b1100111101010000),
.INIT_LUTG0(16'b0101000000110000),
.INIT_LUTG1(16'b1100111101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2073|FM_HW/_al_u2409 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_78 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 }),
.b({\FM_HW/_al_u2072_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_78 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2073_o ,\FM_HW/_al_u2409_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2074|FM_HW/_al_u2413 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_006 }),
.f({\FM_HW/_al_u2074_o ,\FM_HW/_al_u2413_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2076|FM_HW/_al_u2939 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_005 }),
.f({\FM_HW/_al_u2076_o ,\FM_HW/_al_u2939_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(0*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
//.LUTG0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(1*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
.INIT_LUTF0(16'b0000111100110011),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0100111000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2078|FM_HW/_al_u1123 (
.a({\FM_HW/_al_u2073_o ,open_n64603}),
.b({\FM_HW/_al_u2075_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_002 }),
.c({\FM_HW/_al_u2077_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_002 }),
.d({HADDR[11],HADDR[7]}),
.e({HADDR[10],open_n64606}),
.f({\FM_HW/_al_u2078_o ,\FM_HW/_al_u1123_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~B)*~(~0*~A))"),
//.LUT1("(~D*~(C*~B)*~(~1*~A))"),
.INIT_LUT0(16'b0000000010001010),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2079 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_8 }),
.b({\FM_HW/_al_u2068_o ,\FM_HW/_al_u2068_o }),
.c({\FM_HW/_al_u2078_o ,\FM_HW/_al_u2078_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n64639,HADDR[11]}),
.fx({open_n64644,\FM_HW/_al_u2079_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2080|FM_HW/_al_u2969 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_005 }),
.f({\FM_HW/_al_u2080_o ,\FM_HW/_al_u2969_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2081|FM_HW/_al_u2970 (
.a({\FM_HW/_al_u2080_o ,\FM_HW/_al_u2969_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u674_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_005 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_005 }),
.f({\FM_HW/_al_u2081_o ,\FM_HW/_al_u2970_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2082|FM_HW/_al_u2446 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2082_o ,\FM_HW/_al_u2446_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2083|FM_HW/_al_u2967 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_005 }),
.c({\FM_HW/_al_u2082_o ,\FM_HW/_al_u2966_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2083_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((~0*~B*~A))+~C*D*~((~0*~B*~A))+~(~C)*D*(~0*~B*~A)+~C*D*(~0*~B*~A))"),
//.LUTF1("(~A*~(~D*~(C*B)))"),
//.LUTG0("~(~C*~(D)*~((~1*~B*~A))+~C*D*~((~1*~B*~A))+~(~C)*D*(~1*~B*~A)+~C*D*(~1*~B*~A))"),
//.LUTG1("(~A*~(~D*~(C*B)))"),
.INIT_LUTF0(16'b1110000011110001),
.INIT_LUTF1(16'b0101010101000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b0101010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2084|FM_HW/_al_u2975 (
.a({\FM_HW/_al_u2081_o ,\FM_HW/_al_u2968_o }),
.b({\FM_HW/_al_u2083_o ,\FM_HW/_al_u2970_o }),
.c({HADDR[9],\FM_HW/_al_u2972_o }),
.d({HADDR[8],\FM_HW/_al_u2974_o }),
.e({open_n64743,\FM_HW/_al_u678_o }),
.f({\FM_HW/_al_u2084_o ,\FM_HW/_al_u2975_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2085|FM_HW/_al_u3390 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_004 }),
.f({\FM_HW/_al_u2085_o ,\FM_HW/_al_u3390_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2086|FM_HW/_al_u2974 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_007 ,\FM_HW/_al_u2973_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_007 ,HADDR[9]}),
.c({\FM_HW/_al_u2085_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_005 }),
.e({open_n64790,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_005 }),
.f({\FM_HW/_al_u2086_o ,\FM_HW/_al_u2974_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2087|FM_HW/_al_u3388 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_004 }),
.f({\FM_HW/_al_u2087_o ,\FM_HW/_al_u3388_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2088|FM_HW/_al_u2440 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_006 }),
.c({\FM_HW/_al_u2087_o ,\FM_HW/_al_u2439_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2088_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+~(A)*~(B)*~(C)*0+~(A)*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0))"),
//.LUT1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+~(A)*~(B)*~(C)*1+~(A)*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1))"),
.INIT_LUT0(16'b0010011100000000),
.INIT_LUT1(16'b0101010100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2089 (
.a({\FM_HW/_al_u2084_o ,\FM_HW/_al_u2084_o }),
.b({\FM_HW/_al_u2086_o ,\FM_HW/_al_u2086_o }),
.c({\FM_HW/_al_u2088_o ,\FM_HW/_al_u2088_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n64867,HADDR[9]}),
.fx({open_n64872,\FM_HW/_al_u2089_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2091|FM_HW/_al_u2090 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_007 }),
.c({\FM_HW/_al_u2090_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ,\FM_HW/_al_u2090_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2092|FM_HW/_al_u2431 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2092_o ,\FM_HW/_al_u2431_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0101000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u2094|FM_HW/_al_u2984 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_91 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_005 }),
.c({HADDR[9],\FM_HW/_al_u2983_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2094_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2095|FM_HW/_al_u2436 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2095_o ,\FM_HW/_al_u2436_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2096|FM_HW/_al_u2437 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_006 }),
.c({\FM_HW/_al_u2095_o ,\FM_HW/_al_u2436_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ,\FM_HW/_al_u2437_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2097|FM_HW/_al_u2429 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_006 }),
.f({\FM_HW/_al_u2097_o ,\FM_HW/_al_u2429_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2098|FM_HW/_al_u3379 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_007 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_007 ,HADDR[6]}),
.c({\FM_HW/_al_u2097_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ,\FM_HW/_al_u3379_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000111101010101),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111111111001100),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2099|FM_HW/_al_u2982 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_89 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_88 ,\FM_HW/_al_u2979_o }),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 }),
.d({HADDR[8],HADDR[9]}),
.e({open_n65037,HADDR[8]}),
.f({\FM_HW/_al_u2099_o ,\FM_HW/_al_u2982_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~((~C*B)*~(A)*~(0)+(~C*B)*A*~(0)+~((~C*B))*A*0+(~C*B)*A*0))"),
//.LUTF1("(~A*~(~D*~C*~B))"),
//.LUTG0("(D*~((~C*B)*~(A)*~(1)+(~C*B)*A*~(1)+~((~C*B))*A*1+(~C*B)*A*1))"),
//.LUTG1("(~A*~(~D*~C*~B))"),
.INIT_LUTF0(16'b1111001100000000),
.INIT_LUTF1(16'b0101010101010100),
.INIT_LUTG0(16'b0101010100000000),
.INIT_LUTG1(16'b0101010101010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2100|FM_HW/_al_u2986 (
.a({\FM_HW/_al_u2089_o ,\FM_HW/_al_u2975_o }),
.b({\FM_HW/_al_u2094_o ,\FM_HW/_al_u2982_o }),
.c({\FM_HW/_al_u2099_o ,\FM_HW/_al_u2985_o }),
.d({HADDR[10],HADDR[11]}),
.e({open_n65060,HADDR[10]}),
.f({\FM_HW/_al_u2100_o ,\FM_HW/_al_u2986_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2101|FM_HW/_al_u2457 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2101_o ,\FM_HW/_al_u2457_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2102|FM_HW/_al_u2948 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_005 }),
.c({\FM_HW/_al_u2101_o ,\FM_HW/_al_u2947_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2102_o ,\FM_HW/_al_u2948_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2103|FM_HW/_al_u2452 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_006 }),
.f({\FM_HW/_al_u2103_o ,\FM_HW/_al_u2452_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2104|FM_HW/_al_u3351 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_004 }),
.c({\FM_HW/_al_u2103_o ,\FM_HW/_al_u3350_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2104_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b1111110000001010),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111110000001010),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2105|FM_HW/_al_u2949 (
.a({\FM_HW/_al_u2102_o ,\FM_HW/_al_u2946_o }),
.b({\FM_HW/_al_u2104_o ,\FM_HW/_al_u2948_o }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2105_o ,\FM_HW/_al_u2949_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2106|FM_HW/_al_u2450 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_006 }),
.f({\FM_HW/_al_u2106_o ,\FM_HW/_al_u2450_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2107|FM_HW/_al_u2451 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_007 ,\FM_HW/_al_u2450_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_007 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2106_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_006 }),
.e({open_n65223,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_006 }),
.f({\FM_HW/_al_u2107_o ,\FM_HW/_al_u2451_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2109|FM_HW/_al_u2454 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2109_o ,\FM_HW/_al_u2454_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2110|FM_HW/_al_u2951 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_005 }),
.c({\FM_HW/_al_u2109_o ,\FM_HW/_al_u2950_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2110_o ,\FM_HW/_al_u2951_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(B*~A*~(D*~C))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(B*~A*~(D*~C))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0100000001000100),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0100000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2111|FM_HW/_al_u3639 (
.a({\FM_HW/_al_u2105_o ,open_n65292}),
.b({\FM_HW/_al_u2108_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u2110_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/_al_u671_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/_al_u2111_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2112|FM_HW/_al_u2463 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i342_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i343_006 }),
.f({\FM_HW/_al_u2112_o ,\FM_HW/_al_u2463_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2113|FM_HW/_al_u3336 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_004 }),
.c({\FM_HW/_al_u2112_o ,\FM_HW/_al_u3335_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ,\FM_HW/_al_u3336_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2114|FM_HW/_al_u3340 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2114_o ,\FM_HW/_al_u3340_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2115|FM_HW/_al_u2464 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_007 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_007 ,HADDR[6]}),
.c({\FM_HW/_al_u2114_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ,\FM_HW/_al_u2464_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2116|FM_HW/_al_u1984 (
.a({open_n65409,\FM_HW/_al_u1982_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_84 ,\FM_HW/_al_u1983_o }),
.c({HADDR[8],\FM_HW/_al_u674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_85 ,HADDR[10]}),
.e({open_n65412,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ,\FM_HW/_al_u1984_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2117|FM_HW/_al_u2469 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_006 }),
.f({\FM_HW/_al_u2117_o ,\FM_HW/_al_u2469_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2119|FM_HW/_al_u2120 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_007 }),
.f({\FM_HW/_al_u2119_o ,\FM_HW/_al_u2120_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~A*~(D*(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~A*~(D*(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1)))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0100010001010101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2122|FM_HW/_al_u2128 (
.a({\FM_HW/_al_u2111_o ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B2_42 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_007 }),
.c({\FM_HW/_al_u2121_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_007 }),
.d({HADDR[10],HADDR[7]}),
.e({HADDR[9],open_n65483}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 ,\FM_HW/_al_u2128_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2124|FM_HW/_al_u2779 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2124_o ,\FM_HW/_al_u2779_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2125|FM_HW/_al_u2626 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_007 ,\FM_HW/_al_u2625_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_007 ,HADDR[9]}),
.c({\FM_HW/_al_u2124_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_006 }),
.e({open_n65530,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_006 }),
.f({\FM_HW/_al_u2125_o ,\FM_HW/_al_u2626_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2127|FM_HW/_al_u2624 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_007 ,\FM_HW/_al_u2623_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_007 ,HADDR[9]}),
.c({\FM_HW/_al_u2126_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_006 }),
.e({open_n65553,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_006 }),
.f({\FM_HW/_al_u2127_o ,\FM_HW/_al_u2624_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2129|FM_HW/_al_u2778 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_007 ,\FM_HW/_al_u2777_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_007 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2128_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_005 }),
.e({open_n65576,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_005 }),
.f({\FM_HW/_al_u2129_o ,\FM_HW/_al_u2778_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100110010101010),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2130 (
.a({\FM_HW/_al_u2125_o ,\FM_HW/_al_u2125_o }),
.b({\FM_HW/_al_u2127_o ,\FM_HW/_al_u2127_o }),
.c({\FM_HW/_al_u2129_o ,\FM_HW/_al_u2129_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n65609,HADDR[8]}),
.fx({open_n65614,\FM_HW/_al_u2130_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010001010100000),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2131|FM_HW/_al_u3315 (
.a({HADDR[6],HADDR[8]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_007 ,HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_007 ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_004 }),
.e({open_n65619,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_004 }),
.f({\FM_HW/_al_u2131_o ,\FM_HW/_al_u3315_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010101000001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010101010001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2132|FM_HW/_al_u3316 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_007 ,\FM_HW/_al_u3315_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_007 ,HADDR[7]}),
.c({\FM_HW/_al_u2131_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_004 }),
.e({open_n65642,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_004 }),
.f({\FM_HW/_al_u2132_o ,\FM_HW/_al_u3316_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(0*~D*~B))"),
//.LUT1("(C*A*~(1*~D*~B))"),
.INIT_LUT0(16'b1010000010100000),
.INIT_LUT1(16'b1010000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2133 (
.a({\FM_HW/_al_u2130_o ,\FM_HW/_al_u2130_o }),
.b({\FM_HW/_al_u2132_o ,\FM_HW/_al_u2132_o }),
.c({HADDR[11],HADDR[11]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n65675,HADDR[8]}),
.fx({open_n65680,\FM_HW/_al_u2133_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2134|FM_HW/_al_u3326 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2134_o ,\FM_HW/_al_u3326_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2135|FM_HW/_al_u3327 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_004 }),
.c({\FM_HW/_al_u2134_o ,\FM_HW/_al_u3326_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2135_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2137|FM_HW/_al_u2613 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_006 }),
.c({\FM_HW/_al_u2136_o ,\FM_HW/_al_u2612_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2137_o ,\FM_HW/_al_u2613_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101000000110000),
.INIT_LUTF1(16'b1100111110100000),
.INIT_LUTG0(16'b0101000000110000),
.INIT_LUTG1(16'b1100111110100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2138|FM_HW/_al_u2806 (
.a({\FM_HW/_al_u2135_o ,\FM_HW/_al_u2803_o }),
.b({\FM_HW/_al_u2137_o ,\FM_HW/_al_u2805_o }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[6]}),
.f({\FM_HW/_al_u2138_o ,\FM_HW/_al_u2806_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2139|FM_HW/_al_u2141 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2139_o ,\FM_HW/_al_u2141_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2140|FM_HW/_al_u2142 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_007 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_007 }),
.c({\FM_HW/_al_u2139_o ,\FM_HW/_al_u2141_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2140_o ,\FM_HW/_al_u2142_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUT1("(~D*(~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0000000011100100),
.INIT_LUT1(16'b0000000010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2143 (
.a({\FM_HW/_al_u2138_o ,\FM_HW/_al_u2138_o }),
.b({\FM_HW/_al_u2140_o ,\FM_HW/_al_u2140_o }),
.c({\FM_HW/_al_u2142_o ,\FM_HW/_al_u2142_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n65827,HADDR[9]}),
.fx({open_n65832,\FM_HW/_al_u2143_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2144|FM_HW/_al_u2786 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2144_o ,\FM_HW/_al_u2786_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2145|FM_HW/_al_u3262 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i108_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i109_004 }),
.c({\FM_HW/_al_u2144_o ,\FM_HW/_al_u3261_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2145_o ,\FM_HW/_al_u3262_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u2146|FM_HW/_al_u3265 (
.a({open_n65879,\FM_HW/_al_u3262_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u3264_o }),
.c({HADDR[11],HADDR[8]}),
.d({\FM_HW/_al_u2145_o ,HADDR[9]}),
.f({\FM_HW/_al_u2146_o ,\FM_HW/_al_u3265_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2147|FM_HW/_al_u2782 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2147_o ,\FM_HW/_al_u2782_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2149|FM_HW/_al_u3263 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2149_o ,\FM_HW/_al_u3263_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2150|FM_HW/_al_u2789 (
.a({\FM_HW/_al_u2149_o ,HADDR[7]}),
.b({\FM_HW/_al_u671_o ,HADDR[6]}),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i106_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i107_005 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_007 ,open_n65950}),
.f({\FM_HW/_al_u2150_o ,\FM_HW/_al_u2789_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2152|FM_HW/_al_u2634 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_007 ,\FM_HW/_al_u2633_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_007 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2151_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_006 }),
.e({open_n65973,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_006 }),
.f({\FM_HW/_al_u2152_o ,\FM_HW/_al_u2634_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(A*~(~C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1010001010100000),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2156|FM_HW/_al_u2154 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_007 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_007 }),
.e({open_n65996,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_007 }),
.f({\FM_HW/_al_u2156_o ,\FM_HW/_al_u2154_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~A*~(C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0001010100000101),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0101010101000101),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2157|FM_HW/_al_u2155 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_007 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_007 }),
.e({open_n66019,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_007 }),
.f({\FM_HW/_al_u2157_o ,\FM_HW/_al_u2155_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2161|FM_HW/_al_u1356 (
.a({open_n66040,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2161_o ,\FM_HW/_al_u1356_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2162|FM_HW/_al_u724 (
.a({open_n66065,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_007 ,\FM_HW/_al_u723_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2162_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUTF1("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
//.LUTG1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.INIT_LUTF0(16'b0001101100000000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2165|FM_HW/_al_u1386 (
.a({\FM_HW/_al_u2133_o ,\FM_HW/_al_u1381_o }),
.b({\FM_HW/_al_u2143_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_28 }),
.c({\FM_HW/_al_u2153_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_29 }),
.d({\FM_HW/_al_u2164_o ,HADDR[10]}),
.e(HADDR[10:9]),
.f({\FM_HW/_al_u2165_o ,\FM_HW/_al_u1386_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1101100010101010),
.MODE("LOGIC"))
\FM_HW/_al_u2166|FM_HW/_al_u1404 (
.a({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_001 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_001 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_007 ,\FM_HW/_al_u1403_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2166_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2167|FM_HW/_al_u2870 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_005 }),
.c({\FM_HW/_al_u2166_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_005 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2167_o ,\FM_HW/_al_u2870_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1101100010101010),
.MODE("LOGIC"))
\FM_HW/_al_u2168|FM_HW/_al_u2873 (
.a({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_007 ,\FM_HW/_al_u2872_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2168_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_7 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2170|FM_HW/_al_u3306 (
.a({open_n66176,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_004 }),
.b({\FM_HW/_al_u2169_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_004 }),
.c({HADDR[7],\FM_HW/_al_u3305_o }),
.d({\FM_HW/_al_u2167_o ,HADDR[7]}),
.f({\FM_HW/_al_u2170_o ,\FM_HW/_al_u3306_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2171|FM_HW/_al_u2563 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2171_o ,\FM_HW/_al_u2563_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u2172|RAMDATA_Interface/reg0_b0 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_006 }),
.c({\FM_HW/_al_u2171_o ,\FM_HW/_al_u2563_o }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({HADDR[7],HADDR[7]}),
.mi({open_n66228,HADDR[2]}),
.sr(cpuresetn),
.f({\FM_HW/_al_u2172_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_4 }),
.q({open_n66243,RAMDATA_WADDR[0]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2173|FM_HW/_al_u1725 (
.a({open_n66244,HADDR[6]}),
.b({open_n66245,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_000 }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_000 }),
.d({\FM_HW/_al_u2172_o ,HADDR[7]}),
.f({\FM_HW/_al_u2173_o ,\FM_HW/_al_u1725_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2174|FM_HW/_al_u2565 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i22_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i23_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2174_o ,\FM_HW/_al_u2565_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2177|FM_HW/_al_u3289 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2177_o ,\FM_HW/_al_u3289_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2178|FM_HW/_al_u3290 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_004 }),
.c({\FM_HW/_al_u2177_o ,\FM_HW/_al_u3289_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2178_o ,\FM_HW/_al_u3290_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2179|FM_HW/_al_u3295 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2179_o ,\FM_HW/_al_u3295_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2180|FM_HW/_al_u2592 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_007 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_007 ,HADDR[6]}),
.c({\FM_HW/_al_u2179_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_006 }),
.f({\FM_HW/_al_u2180_o ,\FM_HW/_al_u2592_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b1111110011111111),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2181|FM_HW/_al_u2593 (
.a({\FM_HW/_al_u2178_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 }),
.b({\FM_HW/_al_u2180_o ,\FM_HW/_al_u2591_o }),
.c({HADDR[9],\FM_HW/_al_u2592_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n66392,HADDR[8]}),
.f({\FM_HW/_al_u2181_o ,\FM_HW/_al_u2593_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2182|FM_HW/_al_u2596 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2182_o ,\FM_HW/_al_u2596_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2183|FM_HW/_al_u2597 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_006 }),
.c({\FM_HW/_al_u2182_o ,\FM_HW/_al_u2596_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2183_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u2184|FM_HW/_al_u3294 (
.a({open_n66461,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_004 }),
.b({\FM_HW/_al_u668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_004 }),
.c({HADDR[11],\FM_HW/_al_u3293_o }),
.d({\FM_HW/_al_u2183_o ,HADDR[7]}),
.f({\FM_HW/_al_u2184_o ,\FM_HW/_al_u3294_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2185|FM_HW/_al_u2594 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2185_o ,\FM_HW/_al_u2594_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2186|FM_HW/_al_u2595 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_006 }),
.c({\FM_HW/_al_u2185_o ,\FM_HW/_al_u2594_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2186_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100100001000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100100001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2188|FM_HW/_al_u2585 (
.a({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_006 }),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_007 ,\FM_HW/_al_u2584_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2188_o ,\FM_HW/_al_u2585_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100100001000000),
.MODE("LOGIC"))
\FM_HW/_al_u2191|FM_HW/_al_u2892 (
.a({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_005 }),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_007 ,\FM_HW/_al_u2891_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2191_o ,\FM_HW/_al_u2892_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0011001000010000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0011001000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2192|FM_HW/_al_u2587 (
.a({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_006 }),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_007 ,\FM_HW/_al_u2586_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2192_o ,\FM_HW/_al_u2587_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2195|FM_HW/_al_u2194 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_007 }),
.c({\FM_HW/_al_u2194_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 ,\FM_HW/_al_u2194_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2196|FM_HW/_al_u2586 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2196_o ,\FM_HW/_al_u2586_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2199|FM_HW/_al_u3282 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2199_o ,\FM_HW/_al_u3282_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2201|FM_HW/_al_u3280 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2201_o ,\FM_HW/_al_u3280_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000001100000101),
.MODE("LOGIC"))
\FM_HW/_al_u2203|FM_HW/_al_u2202 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_007 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_007 }),
.c({HADDR[9],\FM_HW/_al_u2201_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2203_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2208|FM_HW/_al_u2561 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_3 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_006 }),
.c({HADDR[9],\FM_HW/_al_u2560_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2208_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_3 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2211|FM_HW/_al_u3193 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_004 }),
.f({\FM_HW/_al_u2211_o ,\FM_HW/_al_u3193_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2213|FM_HW/_al_u3195 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_004 }),
.f({\FM_HW/_al_u2213_o ,\FM_HW/_al_u3195_o }));
// ../rtl/demodulation/FM_Demodulation.v(146)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u2214|FM_HW/FM_Demodulation/reg6_b1 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_006 }),
.c({\FM_HW/_al_u2213_o ,\FM_HW/_al_u2500_o }),
.clk(\FM_HW/clk_fm_demo_sampling_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({open_n66786,\FM_HW/FM_Demodulation/dmd_data_filtered [14]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ,\FM_HW/_al_u2501_o }),
.q({open_n66801,\FM_HW/demodulated_signal_downsample [1]})); // ../rtl/demodulation/FM_Demodulation.v(146)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~A*~(D*~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0100010001010101),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b0101000001010101),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2215|FM_HW/_al_u2756 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_58 ,\FM_HW/_al_u2751_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_59 ,\FM_HW/_al_u2753_o }),
.c({HADDR[9],\FM_HW/_al_u2755_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n66804,HADDR[8]}),
.f({\FM_HW/_al_u2215_o ,\FM_HW/_al_u2756_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2217|FM_HW/_al_u2219 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_007 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_007 }),
.c({\FM_HW/_al_u2216_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ,\FM_HW/_al_u2219_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2218|FM_HW/_al_u3197 (
.a({open_n66849,HADDR[6]}),
.b({open_n66850,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_004 }),
.c({\FM_HW/_al_u668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_57 ,HADDR[7]}),
.f({\FM_HW/_al_u2218_o ,\FM_HW/_al_u3197_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2220|FM_HW/_al_u2750 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_007 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_007 ,HADDR[6]}),
.c({\FM_HW/_al_u2219_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ,\FM_HW/_al_u2750_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~B*~A*~(D*~C)))"),
//.LUT1("(1*~(~B*~A*~(D*~C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1110111111101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2221 (
.a({\FM_HW/_al_u2215_o ,\FM_HW/_al_u2215_o }),
.b({\FM_HW/_al_u2218_o ,\FM_HW/_al_u2218_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_56 }),
.d({\FM_HW/_al_u678_o ,\FM_HW/_al_u678_o }),
.mi({open_n66911,HADDR[11]}),
.fx({open_n66916,\FM_HW/_al_u2221_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2223|FM_HW/_al_u2481 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_007 ,\FM_HW/_al_u2480_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_007 ,HADDR[9]}),
.c({\FM_HW/_al_u2222_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_006 }),
.e({open_n66921,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_006 }),
.f({\FM_HW/_al_u2223_o ,\FM_HW/_al_u2481_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2224|FM_HW/_al_u2474 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_006 }),
.f({\FM_HW/_al_u2224_o ,\FM_HW/_al_u2474_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2225|FM_HW/_al_u2475 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_007 ,\FM_HW/_al_u2474_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_007 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2224_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_006 }),
.e({open_n66968,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_006 }),
.f({\FM_HW/_al_u2225_o ,\FM_HW/_al_u2475_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2226|FM_HW/_al_u2478 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_006 }),
.f({\FM_HW/_al_u2226_o ,\FM_HW/_al_u2478_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1010101011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2228 (
.a({\FM_HW/_al_u2223_o ,\FM_HW/_al_u2223_o }),
.b({\FM_HW/_al_u2225_o ,\FM_HW/_al_u2225_o }),
.c({\FM_HW/_al_u2227_o ,\FM_HW/_al_u2227_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n67025,HADDR[8]}),
.fx({open_n67030,\FM_HW/_al_u2228_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2229|FM_HW/_al_u2729 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2229_o ,\FM_HW/_al_u2729_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~A*~(~D*~C*B)))"),
//.LUT1("(~1*~(~A*~(~D*~C*B)))"),
.INIT_LUT0(16'b1010101010101110),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2231 (
.a({\FM_HW/_al_u2221_o ,\FM_HW/_al_u2221_o }),
.b({\FM_HW/_al_u2228_o ,\FM_HW/_al_u2228_o }),
.c({\FM_HW/_al_u2230_o ,\FM_HW/_al_u2230_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n67069,HADDR[10]}),
.fx({open_n67074,\FM_HW/_al_u2231_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2232|FM_HW/_al_u2765 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2232_o ,\FM_HW/_al_u2765_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(D*~(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0011010100000000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b0011010100000000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2234|FM_HW/_al_u1825 (
.a({open_n67101,\FM_HW/_al_u1822_o }),
.b({\FM_HW/_al_u674_o ,\FM_HW/_al_u1824_o }),
.c({HADDR[11],HADDR[9]}),
.d({\FM_HW/_al_u2233_o ,HADDR[8]}),
.f({\FM_HW/_al_u2234_o ,\FM_HW/_al_u1825_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2235|FM_HW/_al_u2509 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2235_o ,\FM_HW/_al_u2509_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2237|FM_HW/_al_u2504 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2237_o ,\FM_HW/_al_u2504_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2239|FM_HW/_al_u2511 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2239_o ,\FM_HW/_al_u2511_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2240|FM_HW/_al_u3186 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_004 }),
.c({\FM_HW/_al_u2239_o ,\FM_HW/_al_u3185_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2240_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*~(B)*~(C)*0+~(A)*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0))"),
//.LUTF1("(~C*~B*A*~(0*~D))"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*~(B)*~(C)*1+~(A)*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1))"),
//.LUTG1("(~C*~B*A*~(1*~D))"),
.INIT_LUTF0(16'b0001101100000000),
.INIT_LUTF1(16'b0000001000000010),
.INIT_LUTG0(16'b0101010100000000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2241|FM_HW/_al_u2513 (
.a({\FM_HW/_al_u2234_o ,\FM_HW/_al_u2508_o }),
.b({\FM_HW/_al_u2236_o ,\FM_HW/_al_u2510_o }),
.c({\FM_HW/_al_u2238_o ,\FM_HW/_al_u2512_o }),
.d({\FM_HW/_al_u2240_o ,HADDR[10]}),
.e({\FM_HW/_al_u668_o ,HADDR[9]}),
.f({\FM_HW/_al_u2241_o ,\FM_HW/_al_u2513_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2242|FM_HW/_al_u3167 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2242_o ,\FM_HW/_al_u3167_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2243|FM_HW/_al_u2487 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_007 ,\FM_HW/_al_u2486_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_007 ,HADDR[9]}),
.c({\FM_HW/_al_u2242_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_006 }),
.e({open_n67266,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_006 }),
.f({\FM_HW/_al_u2243_o ,\FM_HW/_al_u2487_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2244|FM_HW/_al_u2743 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i215_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i214_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2244_o ,\FM_HW/_al_u2743_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2245|FM_HW/_al_u3166 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_004 }),
.c({\FM_HW/_al_u2244_o ,\FM_HW/_al_u3165_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2245_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2247|FM_HW/_al_u2491 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_006 }),
.c({\FM_HW/_al_u2246_o ,\FM_HW/_al_u2490_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2247_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~A*~(~D*~C*B)))"),
//.LUT1("(1*~(~A*~(~D*~C*B)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1010101010101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2251 (
.a({\FM_HW/_al_u2241_o ,\FM_HW/_al_u2241_o }),
.b({\FM_HW/_al_u2248_o ,\FM_HW/_al_u2248_o }),
.c({\FM_HW/_al_u2250_o ,\FM_HW/_al_u2250_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n67367,HADDR[10]}),
.fx({open_n67372,\FM_HW/_al_u2251_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2252|FM_HW/_al_u3218 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2252_o ,\FM_HW/_al_u3218_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2254|FM_HW/_al_u2540 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2254_o ,\FM_HW/_al_u2540_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2256|FM_HW/_al_u2850 (
.a({open_n67423,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_005 }),
.f({\FM_HW/_al_u2256_o ,\FM_HW/_al_u2850_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2257|FM_HW/_al_u3216 (
.a({open_n67448,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i149_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_007 ,\FM_HW/_al_u3215_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2257_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2259|FM_HW/_al_u3220 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2259_o ,\FM_HW/_al_u3220_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0100010101100111),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2260|FM_HW/_al_u2537 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_007 ,\FM_HW/_al_u2536_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_007 ,HADDR[7]}),
.c({\FM_HW/_al_u2259_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_006 }),
.f({\FM_HW/_al_u2260_o ,\FM_HW/_al_u2537_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2262|FM_HW/_al_u2532 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2262_o ,\FM_HW/_al_u2532_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2264|FM_HW/_al_u2265 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_007 }),
.f({\FM_HW/_al_u2264_o ,\FM_HW/_al_u2265_o }));
// ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(~C*B))"),
//.LUTF1("(~D*~(~A*~(~0*~C*~B)))"),
//.LUTG0("~(~D*~(~C*B))"),
//.LUTG1("(~D*~(~A*~(~1*~C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100001100),
.INIT_LUTF1(16'b0000000010101011),
.INIT_LUTG0(16'b1111111100001100),
.INIT_LUTG1(16'b0000000010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u2266|FM_Display/clk_1Hz_reg (
.a({\FM_HW/_al_u2263_o ,open_n67565}),
.b({\FM_HW/_al_u2264_o ,\FM_Display/clk_1Hz }),
.c({\FM_HW/_al_u2265_o ,\FM_Display/n11 }),
.clk(clk_pad),
.d({HADDR[9],\FM_Display/_al_u114_o }),
.e({HADDR[8],open_n67567}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u2266_o ,open_n67582}),
.q({open_n67586,\FM_Display/clk_1Hz })); // ../rtl/peripherals/FM_Display.v(48)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2267|FM_HW/_al_u2527 (
.a({open_n67587,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_006 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2267_o ,\FM_HW/_al_u2527_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2270|FM_HW/_al_u2530 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_006 }),
.f({\FM_HW/_al_u2270_o ,\FM_HW/_al_u2530_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2271|FM_HW/_al_u2531 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_007 ,\FM_HW/_al_u2530_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_007 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2270_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_006 }),
.e({open_n67638,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_006 }),
.f({\FM_HW/_al_u2271_o ,\FM_HW/_al_u2531_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2274|FM_HW/_al_u3225 (
.a({open_n67659,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_007 ,\FM_HW/_al_u3224_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2274_o ,\FM_HW/_al_u3225_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2277|FM_HW/_al_u2517 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2277_o ,\FM_HW/_al_u2517_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2281|FM_HW/_al_u2520 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_006 }),
.f({\FM_HW/_al_u2281_o ,\FM_HW/_al_u2520_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2282|FM_HW/_al_u2521 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_007 ,\FM_HW/_al_u2520_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_007 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2281_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_006 }),
.e({open_n67734,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_006 }),
.f({\FM_HW/_al_u2282_o ,\FM_HW/_al_u2521_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~B*A*~(D*~C)))"),
//.LUTF1("(~C*~B*A*~(0*~D))"),
//.LUTG0("(~1*~(~B*A*~(D*~C)))"),
//.LUTG1("(~C*~B*A*~(1*~D))"),
.INIT_LUTF0(16'b1101111111011101),
.INIT_LUTF1(16'b0000001000000010),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2283|FM_HW/_al_u2524 (
.a({\FM_HW/_al_u2276_o ,\FM_HW/_al_u2519_o }),
.b({\FM_HW/_al_u2278_o ,\FM_HW/_al_u2521_o }),
.c({\FM_HW/_al_u2280_o ,\FM_HW/_al_u2523_o }),
.d({\FM_HW/_al_u2282_o ,\FM_HW/_al_u671_o }),
.e({\FM_HW/_al_u668_o ,HADDR[10]}),
.f({\FM_HW/_al_u2283_o ,\FM_HW/_al_u2524_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1010100000100000),
.INIT_LUTF1(16'b1010100000100000),
.INIT_LUTG0(16'b1010100000100000),
.INIT_LUTG1(16'b1010100000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2284|FM_HW/_al_u2287 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_007 }),
.f({\FM_HW/_al_u2284_o ,\FM_HW/_al_u2287_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2286|FM_HW/_al_u1794 (
.a({\FM_HW/_al_u2284_o ,HADDR[6]}),
.b({\FM_HW/_al_u2285_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_000 }),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_000 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2286_o ,\FM_HW/_al_u1794_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2290|FM_HW/_al_u2551 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2290_o ,\FM_HW/_al_u2551_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2291|FM_HW/_al_u3204 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_004 }),
.c({\FM_HW/_al_u2290_o ,\FM_HW/_al_u3203_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ,\FM_HW/_al_u3204_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2292|FM_HW/_al_u2554 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2292_o ,\FM_HW/_al_u2554_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2293|FM_HW/_al_u3202 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_004 }),
.c({\FM_HW/_al_u2292_o ,\FM_HW/_al_u3201_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ,\FM_HW/_al_u3202_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(~C*~(D*(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b0000010100001111),
.INIT_LUT1(16'b0000001100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2294 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_34 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_35 }),
.c({HADDR[11],HADDR[11]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n67929,HADDR[8]}),
.fx({open_n67934,\FM_HW/_al_u2294_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(~C*~B)*~(A)*~(0)+~(~C*~B)*A*~(0)+~(~(~C*~B))*A*0+~(~C*~B)*A*0))"),
//.LUTF1("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTG0("(D*(~(~C*~B)*~(A)*~(1)+~(~C*~B)*A*~(1)+~(~(~C*~B))*A*1+~(~C*~B)*A*1))"),
//.LUTG1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.INIT_LUTF0(16'b1111110000000000),
.INIT_LUTF1(16'b1111111111110000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2296|FM_HW/_al_u3245 (
.a({\FM_HW/_al_u2231_o ,\FM_HW/_al_u3200_o }),
.b({\FM_HW/_al_u2251_o ,\FM_HW/_al_u3223_o }),
.c({\FM_HW/_al_u2273_o ,\FM_HW/_al_u3244_o }),
.d({\FM_HW/_al_u2295_o ,HADDR[13]}),
.e({HADDR[12],HADDR[12]}),
.f({\FM_HW/_al_u2296_o ,\FM_HW/_al_u3245_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2297|FM_HW/_al_u2687 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2297_o ,\FM_HW/_al_u2687_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2298|FM_HW/_al_u1071 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_007 ,\FM_HW/_al_u1070_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_007 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2297_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_002 }),
.e({open_n67985,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ,\FM_HW/_al_u1071_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~D))"),
//.LUT1("(~C*~(B*~D))"),
.INIT_LUT0(16'b0000111100000011),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"))
\FM_HW/_al_u2299|FM_HW/_al_u2689 (
.b({\FM_HW/_al_u671_o ,\FM_HW/_al_u671_o }),
.c({HADDR[10],HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_122 ,\FM_HW/_al_u2688_o }),
.f({\FM_HW/_al_u2299_o ,\FM_HW/_al_u2689_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2300|FM_HW/_al_u2694 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2300_o ,\FM_HW/_al_u2694_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2302|FM_HW/_al_u1946 (
.a({\FM_HW/_al_u2300_o ,\FM_HW/_al_u1945_o }),
.b({\FM_HW/_al_u2301_o ,\FM_HW/_al_u678_o }),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_000 }),
.e({open_n68054,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_000 }),
.f({\FM_HW/_al_u2302_o ,\FM_HW/_al_u1946_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2303|FM_HW/_al_u2690 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_006 }),
.f({\FM_HW/_al_u2303_o ,\FM_HW/_al_u2690_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2305|FM_HW/_al_u3097 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2305_o ,\FM_HW/_al_u3097_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2306|FM_HW/_al_u2693 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_007 ,\FM_HW/_al_u2692_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_007 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2305_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_006 }),
.e({open_n68125,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ,\FM_HW/_al_u2693_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*~D)*~(~C*B))"),
//.LUT1("(A*~(1*~D)*~(~C*B))"),
.INIT_LUT0(16'b1010001010100010),
.INIT_LUT1(16'b1010001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2307 (
.a({\FM_HW/_al_u2299_o ,\FM_HW/_al_u2299_o }),
.b({\FM_HW/_al_u2302_o ,\FM_HW/_al_u2302_o }),
.c({\FM_HW/_al_u2304_o ,\FM_HW/_al_u2304_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_123 }),
.mi({open_n68158,\FM_HW/_al_u674_o }),
.fx({open_n68163,\FM_HW/_al_u2307_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2308|FM_HW/_al_u2701 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2308_o ,\FM_HW/_al_u2701_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2310|FM_HW/_al_u2704 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_006 }),
.f({\FM_HW/_al_u2310_o ,\FM_HW/_al_u2704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2312|FM_HW/_al_u2697 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2312_o ,\FM_HW/_al_u2697_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2315|FM_HW/_al_u3106 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_004 }),
.c({\FM_HW/_al_u2314_o ,\FM_HW/_al_u3105_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2315_o ,\FM_HW/_al_u3106_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*~B*~A*~(0*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*~B*~A*~(1*~D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000000100000001),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2316|FM_HW/_al_u2702 (
.a({\FM_HW/_al_u2309_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_006 }),
.b({\FM_HW/_al_u2311_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_006 }),
.c({\FM_HW/_al_u2313_o ,\FM_HW/_al_u2701_o }),
.d({\FM_HW/_al_u2315_o ,HADDR[7]}),
.e({\FM_HW/_al_u674_o ,open_n68260}),
.f({\FM_HW/_al_u2316_o ,\FM_HW/_al_u2702_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~(~A*~(0*~B))))"),
//.LUT1("(C*~(D*~(~A*~(1*~B))))"),
.INIT_LUT0(16'b0101000011110000),
.INIT_LUT1(16'b0100000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2317 (
.a({\FM_HW/_al_u2307_o ,\FM_HW/_al_u2307_o }),
.b({\FM_HW/_al_u2316_o ,\FM_HW/_al_u2316_o }),
.c({HADDR[12],HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.mi({open_n68293,HADDR[10]}),
.fx({open_n68298,\FM_HW/_al_u2317_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2319|FM_HW/_al_u2320 (
.a({open_n68301,\FM_HW/_al_u2318_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_007 ,\FM_HW/_al_u2319_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_007 ,\FM_HW/_al_u668_o }),
.d({HADDR[7],HADDR[10]}),
.e({open_n68304,HADDR[6]}),
.f({\FM_HW/_al_u2319_o ,\FM_HW/_al_u2320_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2321|FM_HW/_al_u3051 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2321_o ,\FM_HW/_al_u3051_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2323|FM_HW/_al_u3133 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_004 }),
.f({\FM_HW/_al_u2323_o ,\FM_HW/_al_u3133_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2325|FM_HW/_al_u3131 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_004 }),
.f({\FM_HW/_al_u2325_o ,\FM_HW/_al_u3131_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2326|FM_HW/_al_u3058 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_005 }),
.c({\FM_HW/_al_u2325_o ,\FM_HW/_al_u3057_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2326_o ,\FM_HW/_al_u3058_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2328|FM_HW/_al_u2710 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_006 }),
.f({\FM_HW/_al_u2328_o ,\FM_HW/_al_u2710_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2329|FM_HW/_al_u2711 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_007 ,\FM_HW/_al_u2710_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_007 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2328_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_006 }),
.e({open_n68447,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_006 }),
.f({\FM_HW/_al_u2329_o ,\FM_HW/_al_u2711_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2330|FM_HW/_al_u2712 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_007 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_006 }),
.f({\FM_HW/_al_u2330_o ,\FM_HW/_al_u2712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2335|FM_HW/_al_u2714 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2335_o ,\FM_HW/_al_u2714_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2336|FM_HW/_al_u2715 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_006 }),
.c({\FM_HW/_al_u2335_o ,\FM_HW/_al_u2714_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2336_o ,\FM_HW/_al_u2715_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110011),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u2339|FM_HW/_al_u2340 (
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_007 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2339_o ,\FM_HW/_al_u2340_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2342|FM_HW/_al_u2683 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2342_o ,\FM_HW/_al_u2683_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2344|FM_HW/_al_u2681 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_006 }),
.f({\FM_HW/_al_u2344_o ,\FM_HW/_al_u2681_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2345|FM_HW/_al_u2682 (
.a({\FM_HW/_al_u2344_o ,\FM_HW/_al_u2681_o }),
.b({\FM_HW/_al_u671_o ,\FM_HW/_al_u671_o }),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_006 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_006 }),
.f({\FM_HW/_al_u2345_o ,\FM_HW/_al_u2682_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2346|FM_HW/_al_u2676 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2346_o ,\FM_HW/_al_u2676_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2347|FM_HW/_al_u2677 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_006 }),
.c({\FM_HW/_al_u2346_o ,\FM_HW/_al_u2676_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2347_o ,\FM_HW/_al_u2677_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2348 (
.a({\FM_HW/_al_u2341_o ,\FM_HW/_al_u2341_o }),
.b({\FM_HW/_al_u2343_o ,\FM_HW/_al_u2343_o }),
.c({\FM_HW/_al_u2345_o ,\FM_HW/_al_u2345_o }),
.d({\FM_HW/_al_u2347_o ,\FM_HW/_al_u2347_o }),
.mi({open_n68692,\FM_HW/_al_u678_o }),
.fx({open_n68697,\FM_HW/_al_u2348_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2349|FM_HW/_al_u3084 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2349_o ,\FM_HW/_al_u3084_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2350|FM_HW/_al_u3011 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_007 ,\FM_HW/_al_u3010_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_007 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2349_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_005 }),
.e({open_n68726,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_005 }),
.f({\FM_HW/_al_u2350_o ,\FM_HW/_al_u3011_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2351|FM_HW/_al_u3012 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2351_o ,\FM_HW/_al_u3012_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2352|FM_HW/_al_u3013 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_005 }),
.c({\FM_HW/_al_u2351_o ,\FM_HW/_al_u3012_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2352_o ,\FM_HW/_al_u3013_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2353|FM_HW/_al_u798 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i471_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i470_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2353_o ,\FM_HW/_al_u798_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100110010101010),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2355 (
.a({\FM_HW/_al_u2350_o ,\FM_HW/_al_u2350_o }),
.b({\FM_HW/_al_u2352_o ,\FM_HW/_al_u2352_o }),
.c({\FM_HW/_al_u2354_o ,\FM_HW/_al_u2354_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n68831,HADDR[8]}),
.fx({open_n68836,\FM_HW/_al_u2355_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2359|FM_HW/_al_u2650 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2359_o ,\FM_HW/_al_u2650_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2360|FM_HW/_al_u3046 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i424_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i425_005 }),
.c({\FM_HW/_al_u2359_o ,\FM_HW/_al_u3045_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2360_o ,\FM_HW/_al_u3046_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2361|FM_HW/_al_u2648 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2361_o ,\FM_HW/_al_u2648_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2362|FM_HW/_al_u3042 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i428_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i429_005 }),
.c({\FM_HW/_al_u2361_o ,\FM_HW/_al_u3041_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2362_o ,\FM_HW/_al_u3042_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2364|FM_HW/_al_u1571 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_007 ,\FM_HW/_al_u1570_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_007 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2363_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_001 }),
.e({open_n68933,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_001 }),
.f({\FM_HW/_al_u2364_o ,\FM_HW/_al_u1571_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1010101011111111),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2365 (
.a({\FM_HW/_al_u2360_o ,\FM_HW/_al_u2360_o }),
.b({\FM_HW/_al_u2362_o ,\FM_HW/_al_u2362_o }),
.c({\FM_HW/_al_u2364_o ,\FM_HW/_al_u2364_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n68966,HADDR[8]}),
.fx({open_n68971,\FM_HW/_al_u2365_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2366|FM_HW/_al_u2645 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_007 ,HADDR[7]}),
.f({\FM_HW/_al_u2366_o ,\FM_HW/_al_u2645_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~(~D*~B*A))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~(~D*~B*A))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011010000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2368|FM_HW/_al_u3151 (
.a({\FM_HW/_al_u2365_o ,\FM_HW/_al_u3149_o }),
.b({\FM_HW/_al_u2367_o ,\FM_HW/_al_u3150_o }),
.c({HADDR[11],HADDR[7]}),
.d({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u2368_o ,\FM_HW/_al_u3151_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2371|FM_HW/_al_u3038 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i447_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i446_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2371_o ,\FM_HW/_al_u3038_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2372|FM_HW/_al_u2660 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_006 }),
.c({\FM_HW/_al_u2371_o ,\FM_HW/_al_u2659_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2372_o ,\FM_HW/_al_u2660_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(C*~(D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1100000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2373 (
.a({\FM_HW/_al_u2370_o ,\FM_HW/_al_u2370_o }),
.b({\FM_HW/_al_u2372_o ,\FM_HW/_al_u2372_o }),
.c({HADDR[10],HADDR[10]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n69082,HADDR[8]}),
.fx({open_n69087,\FM_HW/_al_u2373_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2374|FM_HW/_al_u3031 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2374_o ,\FM_HW/_al_u3031_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2375|FM_HW/_al_u3032 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_005 }),
.c({\FM_HW/_al_u2374_o ,\FM_HW/_al_u3031_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2375_o ,\FM_HW/_al_u3032_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2376|FM_HW/_al_u2656 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2376_o ,\FM_HW/_al_u2656_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2377|FM_HW/_al_u2657 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_007 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_006 }),
.c({\FM_HW/_al_u2376_o ,\FM_HW/_al_u2656_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2377_o ,\FM_HW/_al_u2657_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~(~0*D)*B)*~(~C*A))"),
//.LUT1("(~(~(~1*D)*B)*~(~C*A))"),
.INIT_LUT0(16'b1111010100110001),
.INIT_LUT1(16'b0011000100110001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2379 (
.a({\FM_HW/_al_u2317_o ,\FM_HW/_al_u2317_o }),
.b({\FM_HW/_al_u2338_o ,\FM_HW/_al_u2338_o }),
.c({\FM_HW/_al_u2358_o ,\FM_HW/_al_u2358_o }),
.d({\FM_HW/_al_u2368_o ,\FM_HW/_al_u2368_o }),
.mi({open_n69198,\FM_HW/_al_u2378_o }),
.fx({open_n69203,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100010111110000),
.MODE("LOGIC"))
\FM_HW/_al_u2380|FM_HW/_al_u3610 (
.a({\FM_HW/_al_u2296_o ,open_n69206}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_3 ,\FM_HW/_al_u3474_o }),
.c({HADDR[14],\FM_HW/_al_u3437_o }),
.d({HADDR[13],\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/_al_u2380_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2382|FM_HW/_al_u2913 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_005 }),
.f({\FM_HW/_al_u2382_o ,\FM_HW/_al_u2913_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2385|FM_HW/_al_u2915 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i259_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i258_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2385_o ,\FM_HW/_al_u2915_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(~C*~(A*~(~D*B)))"),
//.LUTG0("(~D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(~C*~(A*~(~D*B)))"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b0000010100001101),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b0000010100001101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2387|FM_HW/_al_u2923 (
.a({\FM_HW/_al_u2384_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 ,\FM_HW/_al_u2919_o }),
.c({HADDR[10],\FM_HW/_al_u2922_o }),
.d({HADDR[8],HADDR[11]}),
.e({open_n69277,HADDR[10]}),
.f({\FM_HW/_al_u2387_o ,\FM_HW/_al_u2923_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000100010001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2389|FM_HW/_al_u3354 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_006 ,HADDR[8]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_006 ,HADDR[7]}),
.c({\FM_HW/_al_u2388_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_004 }),
.e({open_n69300,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ,\FM_HW/_al_u3354_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~B*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(~D*~B*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000011100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2390|FM_HW/_al_u3358 (
.a({open_n69321,\FM_HW/_al_u3356_o }),
.b({open_n69322,\FM_HW/_al_u3357_o }),
.c({HADDR[8],HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_67 ,HADDR[8]}),
.f({\FM_HW/_al_u2390_o ,\FM_HW/_al_u3358_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~(0*~C*~B)))"),
//.LUT1("(~D*~(A*~(1*~C*~B)))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001010111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2393 (
.a({\FM_HW/_al_u2387_o ,\FM_HW/_al_u2387_o }),
.b({\FM_HW/_al_u2390_o ,\FM_HW/_al_u2390_o }),
.c({\FM_HW/_al_u2392_o ,\FM_HW/_al_u2392_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n69359,HADDR[9]}),
.fx({open_n69364,\FM_HW/_al_u2393_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2395|FM_HW/_al_u2907 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_006 ,\FM_HW/_al_u2906_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_006 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2394_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i284_005 }),
.e({open_n69369,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i285_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ,\FM_HW/_al_u2907_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0101000000110000),
.MODE("LOGIC"))
\FM_HW/_al_u2398|FM_HW/_al_u2065 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_75 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_007 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_74 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_007 }),
.c({HADDR[9],\FM_HW/_al_u2064_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2398_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2403|FM_HW/_al_u1098 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_002 }),
.c({HADDR[9],\FM_HW/_al_u1097_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2403_o ,\FM_HW/_al_u1098_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2406|FM_HW/_al_u3402 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_006 ,\FM_HW/_al_u3401_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_006 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2405_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_004 }),
.e({open_n69436,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_79 ,\FM_HW/_al_u3402_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2411|FM_HW/_al_u2410 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_006 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_006 }),
.c({\FM_HW/_al_u2410_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 ,\FM_HW/_al_u2410_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2420 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_70 }),
.b({\FM_HW/_al_u2418_o ,\FM_HW/_al_u2418_o }),
.c({\FM_HW/_al_u2419_o ,\FM_HW/_al_u2419_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n69493,HADDR[8]}),
.fx({open_n69498,\FM_HW/_al_u2420_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.MODE("LOGIC"))
\FM_HW/_al_u2423 (
.c({open_n69505,HADDR[8]}),
.d({open_n69508,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_68 }),
.f({open_n69522,\FM_HW/_al_u2423_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2424|FM_HW/_al_u2909 (
.a({open_n69528,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i276_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i277_006 ,\FM_HW/_al_u2908_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2424_o ,\FM_HW/_al_u2909_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2425|FM_HW/_al_u2052 (
.a({open_n69553,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_007 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2425_o ,\FM_HW/_al_u2052_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(~0*~C*~B))"),
//.LUT1("(D*~A*~(~1*~C*~B))"),
.INIT_LUT0(16'b0101010000000000),
.INIT_LUT1(16'b0101010100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2427 (
.a({\FM_HW/_al_u2420_o ,\FM_HW/_al_u2420_o }),
.b({\FM_HW/_al_u2423_o ,\FM_HW/_al_u2423_o }),
.c({\FM_HW/_al_u2426_o ,\FM_HW/_al_u2426_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n69590,HADDR[9]}),
.fx({open_n69595,\FM_HW/_al_u2427_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~C*B)*~(~D*A))"),
//.LUT1("(~1*~(~C*B)*~(~D*A))"),
.INIT_LUT0(16'b1111001101010001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2428 (
.a({\FM_HW/_al_u2393_o ,\FM_HW/_al_u2393_o }),
.b({\FM_HW/_al_u2404_o ,\FM_HW/_al_u2404_o }),
.c({\FM_HW/_al_u2415_o ,\FM_HW/_al_u2415_o }),
.d({\FM_HW/_al_u2427_o ,\FM_HW/_al_u2427_o }),
.mi({open_n69610,HADDR[12]}),
.fx({open_n69615,\FM_HW/_al_u2428_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000010100000011),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000010100000011),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2432|FM_HW/_al_u3386 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_006 ,\FM_HW/_al_u3384_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_006 ,\FM_HW/_al_u3385_o }),
.c({\FM_HW/_al_u2431_o ,HADDR[8]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2432_o ,\FM_HW/_al_u3386_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2433|FM_HW/_al_u2983 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2433_o ,\FM_HW/_al_u2983_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~A*~(D*~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~A*~(D*~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0100010001010101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0101000001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2435|FM_HW/_al_u2093 (
.a({\FM_HW/_al_u2430_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_007 }),
.b({\FM_HW/_al_u2432_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_007 }),
.c({\FM_HW/_al_u2434_o ,\FM_HW/_al_u2092_o }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n69668}),
.f({\FM_HW/_al_u2435_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_90 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2441|FM_HW/_al_u2973 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i374_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i375_005 }),
.f({\FM_HW/_al_u2441_o ,\FM_HW/_al_u2973_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2442|FM_HW/_al_u3391 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_006 ,\FM_HW/_al_u3390_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_006 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2441_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_004 }),
.e({open_n69715,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_004 }),
.f({\FM_HW/_al_u2442_o ,\FM_HW/_al_u3391_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
.INIT_LUT0(16'b0000110000000101),
.MODE("LOGIC"))
\FM_HW/_al_u2443 (
.a({open_n69736,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_92 }),
.b({open_n69737,\FM_HW/_al_u2442_o }),
.c({open_n69738,HADDR[9]}),
.d({open_n69741,HADDR[8]}),
.f({open_n69755,\FM_HW/_al_u2443_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2444|FM_HW/_al_u3392 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2444_o ,\FM_HW/_al_u3392_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2445|FM_HW/_al_u3393 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_004 }),
.c({\FM_HW/_al_u2444_o ,\FM_HW/_al_u3392_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 ,\FM_HW/_al_u3393_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2447|FM_HW/_al_u3396 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_006 ,\FM_HW/_al_u3395_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_006 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2446_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i376_004 }),
.e({open_n69811,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i377_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 ,\FM_HW/_al_u3396_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*~A*~(0*~C*~B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*~A*~(1*~C*~B))"),
.INIT_LUTF0(16'b1100110010101010),
.INIT_LUTF1(16'b0101010100000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b0101010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2449|FM_HW/_al_u987 (
.a({\FM_HW/_al_u2438_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 }),
.b({\FM_HW/_al_u2443_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_94 }),
.c({\FM_HW/_al_u2448_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 }),
.d({HADDR[11],HADDR[9]}),
.e({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u2449_o ,\FM_HW/_al_u987_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~D))"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0000111100000011),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\FM_HW/_al_u2456|FM_HW/_al_u2108 (
.a({\FM_HW/_al_u2451_o ,open_n69854}),
.b({\FM_HW/_al_u2453_o ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2455_o ,HADDR[10]}),
.d({\FM_HW/_al_u671_o ,\FM_HW/_al_u2107_o }),
.f({\FM_HW/_al_u2456_o ,\FM_HW/_al_u2108_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2458|FM_HW/_al_u3349 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i324_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i325_004 }),
.c({\FM_HW/_al_u2457_o ,\FM_HW/_al_u3348_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2458_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2459|FM_HW/_al_u3344 (
.a({\FM_HW/_al_u2456_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_004 }),
.b({\FM_HW/_al_u2458_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_004 }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u3343_o }),
.d({HADDR[10],HADDR[7]}),
.f({\FM_HW/_al_u2459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u2460|FM_HW/_al_u2962 (
.a({open_n69919,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_006 ,\FM_HW/_al_u2961_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2460_o ,\FM_HW/_al_u2962_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2461|FM_HW/_al_u2961 (
.a({open_n69940,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i339_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i338_005 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2461_o ,\FM_HW/_al_u2961_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(0*~C*~B))"),
//.LUT1("(~D*~A*~(1*~C*~B))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001010100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2465 (
.a({\FM_HW/_al_u2462_o ,\FM_HW/_al_u2462_o }),
.b({\FM_HW/_al_u2463_o ,\FM_HW/_al_u2463_o }),
.c({\FM_HW/_al_u2464_o ,\FM_HW/_al_u2464_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n69977,HADDR[8]}),
.fx({open_n69982,\FM_HW/_al_u2465_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2467|FM_HW/_al_u2466 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_006 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_006 }),
.c({\FM_HW/_al_u2466_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ,\FM_HW/_al_u2466_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u2468|FM_HW/_al_u1983 (
.b({open_n70011,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_000 }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_87 ,HADDR[7]}),
.f({\FM_HW/_al_u2468_o ,\FM_HW/_al_u1983_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2476|FM_HW/_al_u3172 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i194_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i195_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2476_o ,\FM_HW/_al_u3172_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("~(~C*~(D)*~((~(~0*~B)*~A))+~C*D*~((~(~0*~B)*~A))+~(~C)*D*(~(~0*~B)*~A)+~C*D*(~(~0*~B)*~A))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("~(~C*~(D)*~((~(~1*~B)*~A))+~C*D*~((~(~1*~B)*~A))+~(~C)*D*(~(~1*~B)*~A)+~C*D*(~(~1*~B)*~A))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1011000011110100),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1010000011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2482|FM_HW/_al_u2733 (
.a({\FM_HW/_al_u2475_o ,\FM_HW/_al_u2732_o }),
.b({\FM_HW/_al_u2477_o ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2479_o ,HADDR[7]}),
.d({\FM_HW/_al_u2481_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_005 }),
.e({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_005 }),
.f({\FM_HW/_al_u2482_o ,\FM_HW/_al_u2733_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2483|FM_HW/_al_u2484 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_006 }),
.f({\FM_HW/_al_u2483_o ,\FM_HW/_al_u2484_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~((~B*~A))*C*~(D)+(~B*~A)*C*~(D)+~((~B*~A))*~(C)*D+(~B*~A)*~(C)*D+~((~B*~A))*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1110111111110000),
.MODE("LOGIC"))
\FM_HW/_al_u2485|FM_HW/_al_u2740 (
.a({\FM_HW/_al_u2483_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_005 }),
.b({\FM_HW/_al_u2484_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_005 }),
.c({HADDR[9],\FM_HW/_al_u2739_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2485_o ,\FM_HW/_al_u2740_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("~(~B*~(C)*~((~(~0*D)*A))+~B*C*~((~(~0*D)*A))+~(~B)*C*(~(~0*D)*A)+~B*C*(~(~0*D)*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("~(~B*~(C)*~((~(~1*D)*A))+~B*C*~((~(~1*D)*A))+~(~B)*C*(~(~1*D)*A)+~B*C*(~(~1*D)*A))"),
.INIT_LUTF0(16'b1100110011111111),
.INIT_LUTF1(16'b1100110001001110),
.INIT_LUTG0(16'b1010101011110000),
.INIT_LUTG1(16'b0100111001001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2492|FM_HW/_al_u2745 (
.a({\FM_HW/_al_u2485_o ,\FM_HW/_al_u2740_o }),
.b({\FM_HW/_al_u2487_o ,\FM_HW/_al_u2742_o }),
.c({\FM_HW/_al_u2489_o ,\FM_HW/_al_u2744_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_54 ,HADDR[9]}),
.e({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2492_o ,\FM_HW/_al_u2745_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2494|FM_HW/_al_u3190 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i226_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i227_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2494_o ,\FM_HW/_al_u3190_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2496|FM_HW/_al_u2757 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2496_o ,\FM_HW/_al_u2757_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2498|FM_HW/_al_u2752 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i235_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2498_o ,\FM_HW/_al_u2752_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2500|FM_HW/_al_u2754 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2500_o ,\FM_HW/_al_u2754_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*~D))"),
//.LUT1("(~C*~B*~A*~(1*~D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2502 (
.a({\FM_HW/_al_u2495_o ,\FM_HW/_al_u2495_o }),
.b({\FM_HW/_al_u2497_o ,\FM_HW/_al_u2497_o }),
.c({\FM_HW/_al_u2499_o ,\FM_HW/_al_u2499_o }),
.d({\FM_HW/_al_u2501_o ,\FM_HW/_al_u2501_o }),
.mi({open_n70252,\FM_HW/_al_u674_o }),
.fx({open_n70257,\FM_HW/_al_u2502_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\FM_HW/_al_u2503|FM_HW/_al_u1840 (
.a({open_n70260,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_56 }),
.b({open_n70261,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_57 }),
.c(HADDR[10:9]),
.d({\FM_HW/_al_u2502_o ,HADDR[8]}),
.f({\FM_HW/_al_u2503_o ,\FM_HW/_al_u1840_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2505|FM_HW/_al_u2768 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_005 }),
.c({\FM_HW/_al_u2504_o ,\FM_HW/_al_u2767_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2505_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2507|FM_HW/_al_u2506 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_006 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_006 }),
.c({\FM_HW/_al_u2506_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2507_o ,\FM_HW/_al_u2506_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0101000000110000),
.INIT_LUT1(16'b1100111110100000),
.MODE("LOGIC"))
\FM_HW/_al_u2508|FM_HW/_al_u2769 (
.a({\FM_HW/_al_u2505_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_63 }),
.b({\FM_HW/_al_u2507_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_62 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2508_o ,\FM_HW/_al_u2769_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2510|FM_HW/_al_u2236 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_006 ,\FM_HW/_al_u2235_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_006 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2509_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_007 }),
.e({open_n70348,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_007 }),
.f({\FM_HW/_al_u2510_o ,\FM_HW/_al_u2236_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2512|FM_HW/_al_u2763 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i244_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i245_005 }),
.c({\FM_HW/_al_u2511_o ,\FM_HW/_al_u2762_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2512_o ,\FM_HW/_al_u2763_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2515|FM_HW/_al_u3226 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2515_o ,\FM_HW/_al_u3226_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2516|FM_HW/_al_u3227 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_004 }),
.c({\FM_HW/_al_u2515_o ,\FM_HW/_al_u3226_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2516_o ,\FM_HW/_al_u3227_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2523|FM_HW/_al_u2276 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_006 ,\FM_HW/_al_u2274_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_006 ,\FM_HW/_al_u2275_o }),
.c({\FM_HW/_al_u2522_o ,\FM_HW/_al_u671_o }),
.d({HADDR[7],HADDR[11]}),
.e({open_n70439,HADDR[6]}),
.f({\FM_HW/_al_u2523_o ,\FM_HW/_al_u2276_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2525|FM_HW/_al_u2263 (
.a({HADDR[7],\FM_HW/_al_u2262_o }),
.b({HADDR[6],HADDR[8]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_006 ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_007 }),
.e({open_n70462,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_007 }),
.f({\FM_HW/_al_u2525_o ,\FM_HW/_al_u2263_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0101000000110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0101000000110000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2528|FM_HW/_al_u2269 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_006 ,\FM_HW/_al_u2267_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_006 ,\FM_HW/_al_u2268_o }),
.c({\FM_HW/_al_u2527_o ,HADDR[8]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2528_o ,\FM_HW/_al_u2269_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2533|FM_HW/_al_u2526 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_006 ,\FM_HW/_al_u2525_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_006 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2532_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_006 }),
.e({open_n70509,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_006 }),
.f({\FM_HW/_al_u2533_o ,\FM_HW/_al_u2526_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(~C*~(D*~B*~A))"),
//.LUTG0("(~D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(~C*~(D*~B*~A))"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b0000111000001111),
.INIT_LUTG0(16'b0000000011111100),
.INIT_LUTG1(16'b0000111000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2535|FM_HW/_al_u1820 (
.a({\FM_HW/_al_u2524_o ,\FM_HW/_al_u1797_o }),
.b({\FM_HW/_al_u2534_o ,\FM_HW/_al_u1809_o }),
.c({HADDR[12],\FM_HW/_al_u1819_o }),
.d({HADDR[11],HADDR[12]}),
.e({open_n70532,HADDR[11]}),
.f({\FM_HW/_al_u2535_o ,\FM_HW/_al_u1820_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2543|FM_HW/_al_u2853 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_006 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_006 ,HADDR[6]}),
.c({\FM_HW/_al_u2542_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ,\FM_HW/_al_u2853_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2544|FM_HW/_al_u2854 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 ,\FM_HW/_al_u2853_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_38 ,HADDR[8]}),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_005 }),
.e({open_n70579,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_005 }),
.f({\FM_HW/_al_u2544_o ,\FM_HW/_al_u2854_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0101110011110000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0101110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2545|FM_HW/_al_u2253 (
.a({\FM_HW/_al_u2537_o ,\FM_HW/_al_u2252_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_37 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2544_o ,HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_007 }),
.e({open_n70602,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ,\FM_HW/_al_u2253_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(0*~C*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~A*~(1*~C*~B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000001010100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2546|FM_HW/_al_u3223 (
.a({open_n70623,\FM_HW/_al_u3212_o }),
.b({open_n70624,\FM_HW/_al_u3217_o }),
.c({HADDR[10],\FM_HW/_al_u3222_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B3_9 ,HADDR[11]}),
.e({open_n70627,HADDR[10]}),
.f({\FM_HW/_al_u2546_o ,\FM_HW/_al_u3223_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2547|FM_HW/_al_u3206 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2547_o ,\FM_HW/_al_u3206_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b0101010000010000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2548|FM_HW/_al_u2285 (
.a({\FM_HW/_al_u2547_o ,HADDR[7]}),
.b({\FM_HW/_al_u678_o ,HADDR[6]}),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_007 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_006 ,open_n70674}),
.f({\FM_HW/_al_u2548_o ,\FM_HW/_al_u2285_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2549|FM_HW/_al_u2819 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i131_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i130_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2549_o ,\FM_HW/_al_u2819_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2552|FM_HW/_al_u2555 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_006 }),
.c({\FM_HW/_al_u2551_o ,\FM_HW/_al_u2554_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2552_o ,\FM_HW/_al_u2555_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*~B))"),
//.LUTF1("(~B*~A*~(D*~C))"),
//.LUTG0("(A*~(D*~C*~B))"),
//.LUTG1("(~B*~A*~(D*~C))"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b0001000000010001),
.INIT_LUTG0(16'b1010100010101010),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2553|FM_HW/_al_u2289 (
.a({\FM_HW/_al_u2548_o ,\FM_HW/_al_u2286_o }),
.b({\FM_HW/_al_u2550_o ,\FM_HW/_al_u2287_o }),
.c({\FM_HW/_al_u2552_o ,\FM_HW/_al_u2288_o }),
.d({\FM_HW/_al_u671_o ,HADDR[8]}),
.f({\FM_HW/_al_u2553_o ,\FM_HW/_al_u2289_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(~0*~C*~B))"),
//.LUTF1("(~D*~(~0*~(A*~(C*~B))))"),
//.LUTG0("(~D*~A*~(~1*~C*~B))"),
//.LUTG1("(~D*~(~1*~(A*~(C*~B))))"),
.INIT_LUTF0(16'b0000000001010100),
.INIT_LUTF1(16'b0000000010001010),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2556|FM_HW/_al_u3212 (
.a({\FM_HW/_al_u2553_o ,\FM_HW/_al_u3205_o }),
.b({\FM_HW/_al_u2555_o ,\FM_HW/_al_u3208_o }),
.c({\FM_HW/_al_u674_o ,\FM_HW/_al_u3211_o }),
.d(HADDR[11:10]),
.e(HADDR[10:9]),
.f({\FM_HW/_al_u2556_o ,\FM_HW/_al_u3212_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2569|FM_HW/_al_u3300 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_004 }),
.c({\FM_HW/_al_u2568_o ,\FM_HW/_al_u3299_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 ,\FM_HW/_al_u3300_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2570|FM_HW/_al_u3305 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i27_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i26_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2570_o ,\FM_HW/_al_u3305_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2571|FM_HW/_al_u2871 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_005 }),
.c({\FM_HW/_al_u2570_o ,\FM_HW/_al_u2870_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2573|FM_HW/_al_u2865 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_005 }),
.f({\FM_HW/_al_u2573_o ,\FM_HW/_al_u2865_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2575|FM_HW/_al_u2576 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_006 }),
.f({\FM_HW/_al_u2575_o ,\FM_HW/_al_u2576_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2582|FM_HW/_al_u2581 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_006 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_006 }),
.c({\FM_HW/_al_u2581_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2582_o ,\FM_HW/_al_u2581_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111110000001010),
.MODE("LOGIC"))
\FM_HW/_al_u2583|FM_HW/_al_u2580 (
.a({\FM_HW/_al_u2580_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_006 }),
.b({\FM_HW/_al_u2582_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_006 }),
.c({HADDR[9],\FM_HW/_al_u2579_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2583_o ,\FM_HW/_al_u2580_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUTF1("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+A*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTG0("(C*~(~D*(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
//.LUTG1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+A*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
.INIT_LUTF0(16'b1111000001010000),
.INIT_LUTF1(16'b0000000010101010),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b0000000011011000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2588|FM_HW/_al_u2198 (
.a({\FM_HW/_al_u2583_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_8 }),
.b({\FM_HW/_al_u2585_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 }),
.c({\FM_HW/_al_u2587_o ,HADDR[11]}),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.f({\FM_HW/_al_u2588_o ,\FM_HW/_al_u2198_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2589|FM_HW/_al_u2881 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i58_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i59_005 }),
.f({\FM_HW/_al_u2589_o ,\FM_HW/_al_u2881_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2591|FM_HW/_al_u2887 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2591_o ,\FM_HW/_al_u2887_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUT1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0001101100000000),
.INIT_LUT1(16'b1010101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2598 (
.a({\FM_HW/_al_u2593_o ,\FM_HW/_al_u2593_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_12 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_13 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n71031,HADDR[9]}),
.fx({open_n71036,\FM_HW/_al_u2598_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2599 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B4_0 }),
.b({\FM_HW/_al_u2588_o ,\FM_HW/_al_u2588_o }),
.c({\FM_HW/_al_u2598_o ,\FM_HW/_al_u2598_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n71051,HADDR[11]}),
.fx({open_n71056,\FM_HW/_al_u2599_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010001010100000),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1010101010101000),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2600|FM_HW/_al_u2159 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_006 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_007 }),
.e({open_n71061,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_007 }),
.f({\FM_HW/_al_u2600_o ,\FM_HW/_al_u2159_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2602|FM_HW/_al_u2792 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i66_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i67_005 }),
.f({\FM_HW/_al_u2602_o ,\FM_HW/_al_u2792_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2603|FM_HW/_al_u3249 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i64_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i65_004 }),
.c({\FM_HW/_al_u2602_o ,\FM_HW/_al_u3248_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2603_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2608|FM_HW/_al_u3252 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_004 }),
.c({\FM_HW/_al_u2607_o ,\FM_HW/_al_u3251_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2608_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*B*~(C)*0+A*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUT1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*B*~(C)*1+A*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000011100100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2609 (
.a({\FM_HW/_al_u2604_o ,\FM_HW/_al_u2604_o }),
.b({\FM_HW/_al_u2606_o ,\FM_HW/_al_u2606_o }),
.c({\FM_HW/_al_u2608_o ,\FM_HW/_al_u2608_o }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n71162,HADDR[9]}),
.fx({open_n71167,\FM_HW/_al_u2609_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2610|FM_HW/_al_u3328 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2610_o ,\FM_HW/_al_u3328_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2611|FM_HW/_al_u3329 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_004 }),
.c({\FM_HW/_al_u2610_o ,\FM_HW/_al_u3328_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2611_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2614|FM_HW/_al_u2616 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2614_o ,\FM_HW/_al_u2616_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2615|FM_HW/_al_u2810 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_005 }),
.c({\FM_HW/_al_u2614_o ,\FM_HW/_al_u2809_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2615_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2617|FM_HW/_al_u2808 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_005 }),
.c({\FM_HW/_al_u2616_o ,\FM_HW/_al_u2807_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2617_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000010100000011),
.INIT_LUT1(16'b1111000010101100),
.MODE("LOGIC"))
\FM_HW/_al_u2618|FM_HW/_al_u2811 (
.a({\FM_HW/_al_u2615_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_21 }),
.b({\FM_HW/_al_u2617_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_20 }),
.c({HADDR[8],HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u2618_o ,\FM_HW/_al_u2811_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1010110011110000),
.MODE("LOGIC"))
\FM_HW/_al_u2619 (
.a({open_n71306,\FM_HW/_al_u2611_o }),
.b({open_n71307,\FM_HW/_al_u2613_o }),
.c({open_n71308,\FM_HW/_al_u2618_o }),
.d({open_n71311,HADDR[9]}),
.f({open_n71325,\FM_HW/_al_u2619_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~((~C*~B))*~(D)+~A*(~C*~B)*~(D)+~(~A)*(~C*~B)*D+~A*(~C*~B)*D)"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("~(~A*~((~C*~B))*~(D)+~A*(~C*~B)*~(D)+~(~A)*(~C*~B)*D+~A*(~C*~B)*D)"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b1111110010101010),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b1111110010101010),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2620|FM_HW/_al_u1326 (
.a({\FM_HW/_al_u2609_o ,\FM_HW/_al_u1323_o }),
.b({\FM_HW/_al_u2619_o ,\FM_HW/_al_u1324_o }),
.c({HADDR[11],\FM_HW/_al_u1325_o }),
.d({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u2620_o ,\FM_HW/_al_u1326_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2621|FM_HW/_al_u2777 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_005 }),
.f({\FM_HW/_al_u2621_o ,\FM_HW/_al_u2777_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2623|FM_HW/_al_u3310 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2623_o ,\FM_HW/_al_u3310_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2627|FM_HW/_al_u2775 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i118_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i119_005 }),
.f({\FM_HW/_al_u2627_o ,\FM_HW/_al_u2775_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2630|FM_HW/_al_u2783 (
.a({open_n71427,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_006 ,\FM_HW/_al_u2782_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2630_o ,\FM_HW/_al_u2783_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/_al_u2631|FM_HW/_al_u1306 (
.a({open_n71452,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_002 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_006 ,\FM_HW/_al_u1305_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2631_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_24 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000110000001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000110000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2632|FM_HW/_al_u1370 (
.a({\FM_HW/_al_u2630_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_001 }),
.b({\FM_HW/_al_u2631_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_001 }),
.c({HADDR[8],\FM_HW/_al_u1369_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2632_o ,\FM_HW/_al_u1370_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2636|FM_HW/_al_u3264 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i104_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i105_004 }),
.c({\FM_HW/_al_u2635_o ,\FM_HW/_al_u3263_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ,\FM_HW/_al_u3264_o }));
EG_PHY_MSLICE #(
//.LUT0("(~((~B*~A))*~(C)*~(D)*~(0)+~((~B*~A))*C*~(D)*~(0)+~((~B*~A))*C*D*~(0)+(~B*~A)*C*D*~(0)+~((~B*~A))*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+~((~B*~A))*C*D*0)"),
//.LUT1("(~((~B*~A))*~(C)*~(D)*~(1)+~((~B*~A))*C*~(D)*~(1)+~((~B*~A))*C*D*~(1)+(~B*~A)*C*D*~(1)+~((~B*~A))*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+~((~B*~A))*C*D*1)"),
.INIT_LUT0(16'b1111000011101110),
.INIT_LUT1(16'b1110000011101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2637 (
.a({\FM_HW/_al_u2632_o ,\FM_HW/_al_u2632_o }),
.b({\FM_HW/_al_u2634_o ,\FM_HW/_al_u2634_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_26 }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n71533,HADDR[8]}),
.fx({open_n71538,\FM_HW/_al_u2637_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2638|FM_HW/_al_u3261 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i111_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i110_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2638_o ,\FM_HW/_al_u3261_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/_al_u2647|FM_HW/_al_u2653 (
.a({open_n71565,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 }),
.c({HADDR[8],HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_52 ,\FM_HW/_al_u2653_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1100110010101010),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111000011111111),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2652|FM_HW/_al_u1569 (
.a({open_n71586,\FM_HW/_al_u1564_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_106 ,\FM_HW/_al_u1566_o }),
.c({HADDR[8],\FM_HW/_al_u1568_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_107 ,HADDR[9]}),
.e({open_n71589,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B2_53 ,\FM_HW/_al_u1569_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2654|FM_HW/_al_u3141 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i439_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i438_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2654_o ,\FM_HW/_al_u3141_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2655|FM_HW/_al_u3142 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i436_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i437_004 }),
.c({\FM_HW/_al_u2654_o ,\FM_HW/_al_u3141_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2655_o ,\FM_HW/_al_u3142_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2658|FM_HW/_al_u3145 (
.a({\FM_HW/_al_u2655_o ,\FM_HW/_al_u3142_o }),
.b({\FM_HW/_al_u2657_o ,\FM_HW/_al_u3144_o }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2658_o ,\FM_HW/_al_u3145_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2661|FM_HW/_al_u1130 (
.a({open_n71678,\FM_HW/_al_u1129_o }),
.b({open_n71679,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u674_o ,HADDR[7]}),
.d({\FM_HW/_al_u2660_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_002 }),
.e({open_n71682,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_002 }),
.f({\FM_HW/_al_u2661_o ,\FM_HW/_al_u1130_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(0*~(~B*~A*~(D*~C)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(1*~(~B*~A*~(D*~C)))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2664|FM_HW/_al_u1901 (
.a({\FM_HW/_al_u2658_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_000 }),
.b({\FM_HW/_al_u2661_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_000 }),
.c({\FM_HW/_al_u2663_o ,\FM_HW/_al_u1900_o }),
.d({\FM_HW/_al_u671_o ,HADDR[7]}),
.e({HADDR[10],open_n71705}),
.f({\FM_HW/_al_u2664_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_111 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2668|FM_HW/_al_u3014 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2668_o ,\FM_HW/_al_u3014_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0011111101010000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0011111101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2670|FM_HW/_al_u2357 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 ,\FM_HW/_al_u2356_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_119 ,\FM_HW/_al_u674_o }),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_007 }),
.e({open_n71752,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_007 }),
.f({\FM_HW/_al_u2670_o ,\FM_HW/_al_u2357_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2671|FM_HW/_al_u3010 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i466_005 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i467_005 }),
.f({\FM_HW/_al_u2671_o ,\FM_HW/_al_u3010_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2672|FM_HW/_al_u3085 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i464_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i465_004 }),
.c({\FM_HW/_al_u2671_o ,\FM_HW/_al_u3084_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_116 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_116 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2674|FM_HW/_al_u799 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_003 }),
.c({\FM_HW/_al_u2673_o ,\FM_HW/_al_u798_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_117 ,\FM_HW/_al_u799_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*~(B*~D))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000111100000011),
.MODE("LOGIC"))
\FM_HW/_al_u2678|FM_HW/_al_u1063 (
.b({\FM_HW/_al_u678_o ,HADDR[9]}),
.c({HADDR[10],HADDR[8]}),
.d({\FM_HW/_al_u2677_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_115 }),
.f({\FM_HW/_al_u2678_o ,\FM_HW/_al_u1063_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2679|FM_HW/_al_u3076 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2679_o ,\FM_HW/_al_u3076_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2684|FM_HW/_al_u3028 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_005 }),
.c({\FM_HW/_al_u2683_o ,\FM_HW/_al_u3027_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2684_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2688|FM_HW/_al_u3004 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_005 }),
.c({\FM_HW/_al_u2687_o ,\FM_HW/_al_u3003_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2688_o ,\FM_HW/_al_u3004_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2691|FM_HW/_al_u2304 (
.a({\FM_HW/_al_u2690_o ,\FM_HW/_al_u2303_o }),
.b({\FM_HW/_al_u668_o ,HADDR[8]}),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i484_007 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i485_007 }),
.f({\FM_HW/_al_u2691_o ,\FM_HW/_al_u2304_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2696 (
.a({\FM_HW/_al_u2689_o ,\FM_HW/_al_u2689_o }),
.b({\FM_HW/_al_u2691_o ,\FM_HW/_al_u2691_o }),
.c({\FM_HW/_al_u2693_o ,\FM_HW/_al_u2693_o }),
.d({\FM_HW/_al_u2695_o ,\FM_HW/_al_u2695_o }),
.mi({open_n71961,\FM_HW/_al_u678_o }),
.fx({open_n71966,\FM_HW/_al_u2696_o }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u2700|FM_HW/FM_Demodulation/reg5_b127 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i509_005 }),
.c({\FM_HW/_al_u2699_o ,\FM_HW/_al_u3006_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({open_n71972,\FM_HW/FM_Demodulation/dmd_data_filter[13] [7]}),
.f({\FM_HW/_al_u2700_o ,\FM_HW/_al_u3007_o }),
.q({open_n71988,\FM_HW/FM_Demodulation/dmd_data_filter[12] [7]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111111110101010),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2703 (
.a({\FM_HW/_al_u2698_o ,\FM_HW/_al_u2698_o }),
.b({\FM_HW/_al_u2700_o ,\FM_HW/_al_u2700_o }),
.c({\FM_HW/_al_u2702_o ,\FM_HW/_al_u2702_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n72001,HADDR[8]}),
.fx({open_n72006,\FM_HW/_al_u2703_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(D*~A*~(0*~C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(D*~A*~(1*~C*B))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101010100000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0101000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2706|FM_HW/_al_u2991 (
.a({\FM_HW/_al_u2696_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_005 }),
.b({\FM_HW/_al_u2703_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_005 }),
.c({\FM_HW/_al_u2705_o ,\FM_HW/_al_u2990_o }),
.d({HADDR[11],HADDR[7]}),
.e({HADDR[10],open_n72011}),
.f({\FM_HW/_al_u2706_o ,\FM_HW/_al_u2991_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2707|FM_HW/_al_u3124 (
.a({open_n72032,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_006 ,\FM_HW/_al_u3123_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2707_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u2708|FM_HW/_al_u3067 (
.a({open_n72057,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_006 ,\FM_HW/_al_u3066_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2708_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2716 (
.a({\FM_HW/_al_u2709_o ,\FM_HW/_al_u2709_o }),
.b({\FM_HW/_al_u2711_o ,\FM_HW/_al_u2711_o }),
.c({\FM_HW/_al_u2713_o ,\FM_HW/_al_u2713_o }),
.d({\FM_HW/_al_u2715_o ,\FM_HW/_al_u2715_o }),
.mi({open_n72090,\FM_HW/_al_u668_o }),
.fx({open_n72095,\FM_HW/_al_u2716_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2717|FM_HW/_al_u3057 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2717_o ,\FM_HW/_al_u3057_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2718|FM_HW/_al_u747 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_006 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_006 ,HADDR[6]}),
.c({\FM_HW/_al_u2717_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i410_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i411_003 }),
.f({\FM_HW/_al_u2718_o ,\FM_HW/_al_u747_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2719|FM_HW/_al_u3129 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i415_004 }),
.f({\FM_HW/_al_u2719_o ,\FM_HW/_al_u3129_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2720|FM_HW/_al_u3130 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_006 ,\FM_HW/_al_u3129_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_006 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2719_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_004 }),
.e({open_n72172,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_004 }),
.f({\FM_HW/_al_u2720_o ,\FM_HW/_al_u3130_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2721|FM_HW/_al_u3127 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_006 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_004 }),
.f({\FM_HW/_al_u2721_o ,\FM_HW/_al_u3127_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2722|FM_HW/_al_u3054 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_005 }),
.c({\FM_HW/_al_u2721_o ,\FM_HW/_al_u3053_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2722_o ,\FM_HW/_al_u3054_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000111100110011),
.INIT_LUTF1(16'b1010101011111111),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2723|FM_HW/_al_u2318 (
.a({\FM_HW/_al_u2718_o ,open_n72237}),
.b({\FM_HW/_al_u2720_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_007 }),
.c({\FM_HW/_al_u2722_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_007 }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n72240}),
.f({\FM_HW/_al_u2723_o ,\FM_HW/_al_u2318_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2724|FM_HW/_al_u3055 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i402_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i403_006 ,HADDR[7]}),
.f({\FM_HW/_al_u2724_o ,\FM_HW/_al_u3055_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(0*~C*B))"),
//.LUT1("(~D*~A*~(1*~C*B))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2726 (
.a({\FM_HW/_al_u2716_o ,\FM_HW/_al_u2716_o }),
.b({\FM_HW/_al_u2723_o ,\FM_HW/_al_u2723_o }),
.c({\FM_HW/_al_u2725_o ,\FM_HW/_al_u2725_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n72297,HADDR[10]}),
.fx({open_n72302,\FM_HW/_al_u2726_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2732|FM_HW/_al_u3177 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i202_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i203_005 ,HADDR[7]}),
.f({\FM_HW/_al_u2732_o ,\FM_HW/_al_u3177_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2734|FM_HW/_al_u3170 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i198_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i199_005 ,HADDR[7]}),
.f({\FM_HW/_al_u2734_o ,\FM_HW/_al_u3170_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2737|FM_HW/_al_u3176 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i204_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i205_004 }),
.c({\FM_HW/_al_u2736_o ,\FM_HW/_al_u3175_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2737_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2739|FM_HW/_al_u3162 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2739_o ,\FM_HW/_al_u3162_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2742|FM_HW/_al_u3161 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i216_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i217_004 }),
.c({\FM_HW/_al_u2741_o ,\FM_HW/_al_u3160_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2742_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2744|FM_HW/_al_u2250 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i212_005 ,\FM_HW/_al_u2249_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i213_005 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u2743_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_007 }),
.e({open_n72423,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_007 }),
.f({\FM_HW/_al_u2744_o ,\FM_HW/_al_u2250_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2747|FM_HW/_al_u2746 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_005 }),
.c({\FM_HW/_al_u2746_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2747_o ,\FM_HW/_al_u2746_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~D*~(~A*~(C*B)))"),
//.LUTG0("(C*~(~D*(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b0000000011101010),
.INIT_LUTG0(16'b1111000001010000),
.INIT_LUTG1(16'b0000000011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2749|FM_HW/_al_u1668 (
.a({\FM_HW/_al_u2738_o ,\FM_HW/_al_u1658_o }),
.b({\FM_HW/_al_u2745_o ,\FM_HW/_al_u1667_o }),
.c({\FM_HW/_al_u2748_o ,HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.e({open_n72470,HADDR[10]}),
.f({\FM_HW/_al_u2749_o ,\FM_HW/_al_u1668_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2753|FM_HW/_al_u2499 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_005 ,\FM_HW/_al_u2498_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_005 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2752_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_006 }),
.e({open_n72493,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i233_006 }),
.f({\FM_HW/_al_u2753_o ,\FM_HW/_al_u2499_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2758|FM_HW/_al_u2497 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_005 ,\FM_HW/_al_u2496_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_005 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2757_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_006 }),
.e({open_n72516,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_006 }),
.f({\FM_HW/_al_u2758_o ,\FM_HW/_al_u2497_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2759|FM_HW/_al_u2495 (
.a({\FM_HW/_al_u2756_o ,\FM_HW/_al_u2494_o }),
.b({\FM_HW/_al_u2758_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u668_o ,HADDR[7]}),
.d({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_006 }),
.e({open_n72539,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_006 }),
.f({\FM_HW/_al_u2759_o ,\FM_HW/_al_u2495_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2760|FM_HW/_al_u3187 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i243_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i242_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2760_o ,\FM_HW/_al_u3187_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2761|FM_HW/_al_u3188 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i240_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i241_004 }),
.c({\FM_HW/_al_u2760_o ,\FM_HW/_al_u3187_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2762|FM_HW/_al_u843 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i247_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i246_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2762_o ,\FM_HW/_al_u843_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2767|FM_HW/_al_u3180 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i251_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i250_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2767_o ,\FM_HW/_al_u3180_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*~(0*~A*~(C*~B)))"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*~(1*~A*~(C*~B)))"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1011101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2771|FM_HW/_al_u829 (
.a({\FM_HW/_al_u2749_o ,HADDR[7]}),
.b({\FM_HW/_al_u2759_o ,HADDR[6]}),
.c({\FM_HW/_al_u2770_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_003 }),
.d({HADDR[13],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_003 }),
.e({HADDR[12],open_n72654}),
.f({\FM_HW/_al_u2771_o ,\FM_HW/_al_u829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2772|FM_HW/_al_u3311 (
.a({open_n72675,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_005 ,\FM_HW/_al_u3310_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2772_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2773|FM_HW/_al_u1339 (
.a({open_n72700,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i120_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i121_002 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_005 ,\FM_HW/_al_u1338_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2773_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_30 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2776|FM_HW/_al_u2628 (
.a({\FM_HW/_al_u2775_o ,\FM_HW/_al_u2627_o }),
.b({\FM_HW/_al_u668_o ,HADDR[9]}),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_006 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_006 }),
.f({\FM_HW/_al_u2776_o ,\FM_HW/_al_u2628_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2784|FM_HW/_al_u3257 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i103_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i102_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2784_o ,\FM_HW/_al_u3257_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111111110101010),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2788 (
.a({\FM_HW/_al_u2783_o ,\FM_HW/_al_u2783_o }),
.b({\FM_HW/_al_u2785_o ,\FM_HW/_al_u2785_o }),
.c({\FM_HW/_al_u2787_o ,\FM_HW/_al_u2787_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n72783,HADDR[8]}),
.fx({open_n72788,\FM_HW/_al_u2788_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(D*~(~A*~(~0*~C*B)))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(D*~(~A*~(~1*~C*B)))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1010111000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1010101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2791|FM_HW/_al_u1772 (
.a({\FM_HW/_al_u2781_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_000 }),
.b({\FM_HW/_al_u2788_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_000 }),
.c({\FM_HW/_al_u2790_o ,\FM_HW/_al_u1771_o }),
.d({HADDR[11],HADDR[7]}),
.e({HADDR[10],open_n72793}),
.f({\FM_HW/_al_u2791_o ,\FM_HW/_al_u1772_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2794|FM_HW/_al_u3253 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2794_o ,\FM_HW/_al_u3253_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2795|FM_HW/_al_u3254 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_004 }),
.c({\FM_HW/_al_u2794_o ,\FM_HW/_al_u3253_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2795_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2797|FM_HW/_al_u2607 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i76_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i77_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i79_006 }),
.c({\FM_HW/_al_u2796_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i78_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2797_o ,\FM_HW/_al_u2607_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)))"),
//.LUT1("(~A*~(D*~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)))"),
.INIT_LUT0(16'b0100010001010101),
.INIT_LUT1(16'b0101000001010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2798 (
.a({\FM_HW/_al_u2793_o ,\FM_HW/_al_u2793_o }),
.b({\FM_HW/_al_u2795_o ,\FM_HW/_al_u2795_o }),
.c({\FM_HW/_al_u2797_o ,\FM_HW/_al_u2797_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n72898,HADDR[8]}),
.fx({open_n72903,\FM_HW/_al_u2798_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2799|FM_HW/_al_u3246 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2799_o ,\FM_HW/_al_u3246_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(C*~(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0010101000001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010101010001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2800|FM_HW/_al_u2160 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_005 ,\FM_HW/_al_u2159_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_005 ,HADDR[7]}),
.c({\FM_HW/_al_u2799_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_007 }),
.e({open_n72932,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_007 }),
.f({\FM_HW/_al_u2800_o ,\FM_HW/_al_u2160_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~B*A*~(D*~C)))"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(~1*~(~B*A*~(D*~C)))"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1101111111011101),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2801|FM_HW/_al_u1751 (
.a({\FM_HW/_al_u2798_o ,\FM_HW/_al_u1746_o }),
.b({\FM_HW/_al_u2800_o ,\FM_HW/_al_u1748_o }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1750_o }),
.d({HADDR[10],\FM_HW/_al_u668_o }),
.e({open_n72955,HADDR[10]}),
.f({\FM_HW/_al_u2801_o ,\FM_HW/_al_u1751_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2802|FM_HW/_al_u2136 (
.a(HADDR[7:6]),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_007 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2802_o ,\FM_HW/_al_u2136_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2803|FM_HW/_al_u2612 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i91_006 }),
.c({\FM_HW/_al_u2802_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_006 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2803_o ,\FM_HW/_al_u2612_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2805|FM_HW/_al_u2804 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i90_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_005 }),
.c({\FM_HW/_al_u2804_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_005 }),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2805_o ,\FM_HW/_al_u2804_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2807|FM_HW/_al_u3323 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2807_o ,\FM_HW/_al_u3323_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2809|FM_HW/_al_u3321 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i83_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i87_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i82_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i86_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2809_o ,\FM_HW/_al_u3321_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(0*~A*~(C*~B)))"),
//.LUT1("(~D*~(1*~A*~(C*~B)))"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000010111010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2813 (
.a({\FM_HW/_al_u2791_o ,\FM_HW/_al_u2791_o }),
.b({\FM_HW/_al_u2801_o ,\FM_HW/_al_u2801_o }),
.c({\FM_HW/_al_u2812_o ,\FM_HW/_al_u2812_o }),
.d({HADDR[13],HADDR[13]}),
.mi({open_n73108,HADDR[12]}),
.fx({open_n73113,\FM_HW/_al_u2813_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2814|FM_HW/_al_u3201 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i138_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i139_005 ,HADDR[7]}),
.f({\FM_HW/_al_u2814_o ,\FM_HW/_al_u3201_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2816|FM_HW/_al_u3203 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i143_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i142_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2816_o ,\FM_HW/_al_u3203_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2817|FM_HW/_al_u2815 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i140_005 ,\FM_HW/_al_u2814_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i141_005 ,HADDR[8]}),
.c({\FM_HW/_al_u2816_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i136_005 }),
.e({open_n73166,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i137_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 ,\FM_HW/_al_u2815_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2820|FM_HW/_al_u3207 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i128_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i129_004 }),
.c({\FM_HW/_al_u2819_o ,\FM_HW/_al_u3206_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 ,\FM_HW/_al_u3207_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101010000010000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2822|FM_HW/_al_u2288 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2821_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_007 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 ,\FM_HW/_al_u2288_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(~C*~(B*~D))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(~C*~(B*~D))"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0000111100000011),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0000111100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2824|FM_HW/_al_u2818 (
.a({open_n73231,\FM_HW/_al_u2815_o }),
.b({\FM_HW/_al_u2823_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_35 }),
.c({HADDR[11],HADDR[9]}),
.d({\FM_HW/_al_u2818_o ,HADDR[8]}),
.f({\FM_HW/_al_u2824_o ,\FM_HW/_al_u2818_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2826|FM_HW/_al_u2279 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2825_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_007 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ,\FM_HW/_al_u2279_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2827|FM_HW/_al_u3224 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2827_o ,\FM_HW/_al_u3224_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2828|FM_HW/_al_u2522 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i169_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i171_006 }),
.c({\FM_HW/_al_u2827_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ,\FM_HW/_al_u2522_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000111100110011),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2829|FM_HW/_al_u2275 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_43 ,open_n73328}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_42 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i168_007 }),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i170_007 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2829_o ,\FM_HW/_al_u2275_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2830|FM_HW/_al_u3230 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i167_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i166_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2830_o ,\FM_HW/_al_u3230_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2831|FM_HW/_al_u3231 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_004 }),
.c({\FM_HW/_al_u2830_o ,\FM_HW/_al_u3230_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ,\FM_HW/_al_u3231_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2832|FM_HW/_al_u3228 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i163_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i162_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2832_o ,\FM_HW/_al_u3228_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2833|FM_HW/_al_u3229 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_004 }),
.c({\FM_HW/_al_u2832_o ,\FM_HW/_al_u3228_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ,\FM_HW/_al_u3229_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2834|FM_HW/_al_u3232 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_41 ,\FM_HW/_al_u3229_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_40 ,\FM_HW/_al_u3231_o }),
.c(HADDR[9:8]),
.d({HADDR[8],HADDR[9]}),
.f({\FM_HW/_al_u2834_o ,\FM_HW/_al_u3232_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2836|FM_HW/_al_u3236 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i191_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i190_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2836_o ,\FM_HW/_al_u3236_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2837|FM_HW/_al_u3237 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i188_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i189_004 }),
.c({\FM_HW/_al_u2836_o ,\FM_HW/_al_u3236_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2838|FM_HW/_al_u3234 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i187_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i186_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2838_o ,\FM_HW/_al_u3234_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2839|FM_HW/_al_u3235 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i184_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i185_004 }),
.c({\FM_HW/_al_u2838_o ,\FM_HW/_al_u3234_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2840|FM_HW/_al_u3238 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_47 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_46 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_46 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_47 }),
.c({HADDR[9],HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u2840_o ,\FM_HW/_al_u3238_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2841|FM_HW/_al_u3239 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i182_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i183_004 }),
.f({\FM_HW/_al_u2841_o ,\FM_HW/_al_u3239_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2842|FM_HW/_al_u3240 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_005 ,\FM_HW/_al_u3239_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_005 ,HADDR[8]}),
.c({\FM_HW/_al_u2841_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i180_004 }),
.e({open_n73611,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i181_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 ,\FM_HW/_al_u3240_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(~A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0100010101000100),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b0101010101010100),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2843|FM_HW/_al_u3241 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_005 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_004 }),
.e({open_n73634,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_004 }),
.f({\FM_HW/_al_u2843_o ,\FM_HW/_al_u3241_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0000000101000101),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000000101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2844|FM_HW/_al_u3242 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i176_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i178_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i177_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i179_004 }),
.f({\FM_HW/_al_u2844_o ,\FM_HW/_al_u3242_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b0000000101000101),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b0000000101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2847|FM_HW/_al_u2848 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_005 }),
.f({\FM_HW/_al_u2847_o ,\FM_HW/_al_u2848_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~B*~A))"),
//.LUTG0("(C*~(D*~B*~A))"),
.INIT_LUTF0(16'b1110000011110000),
.INIT_LUTG0(16'b1110000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2849 (
.a({open_n73703,\FM_HW/_al_u2847_o }),
.b({open_n73704,\FM_HW/_al_u2848_o }),
.c({open_n73705,HADDR[9]}),
.d({open_n73708,HADDR[8]}),
.f({open_n73726,\FM_HW/_al_u2849_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000001010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000001010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2851|FM_HW/_al_u3215 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_005 ,HADDR[7]}),
.f({\FM_HW/_al_u2851_o ,\FM_HW/_al_u3215_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2855|FM_HW/_al_u2541 (
.a({open_n73756,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_005 ,\FM_HW/_al_u2540_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2855_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_36 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2856|FM_HW/_al_u3213 (
.a({open_n73781,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_004 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u2856_o ,\FM_HW/_al_u3213_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000001100000101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000001100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2857|FM_HW/_al_u2255 (
.a({\FM_HW/_al_u2855_o ,\FM_HW/_al_u2254_o }),
.b({\FM_HW/_al_u2856_o ,\FM_HW/_al_u678_o }),
.c(HADDR[8:7]),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_007 }),
.e({open_n73808,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_007 }),
.f({\FM_HW/_al_u2857_o ,\FM_HW/_al_u2255_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~C*B)*~(~D*A))"),
//.LUT1("(~1*~(~C*B)*~(~D*A))"),
.INIT_LUT0(16'b1111001101010001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2859 (
.a({\FM_HW/_al_u2824_o ,\FM_HW/_al_u2824_o }),
.b({\FM_HW/_al_u2835_o ,\FM_HW/_al_u2835_o }),
.c({\FM_HW/_al_u2846_o ,\FM_HW/_al_u2846_o }),
.d({\FM_HW/_al_u2858_o ,\FM_HW/_al_u2858_o }),
.mi({open_n73841,HADDR[12]}),
.fx({open_n73846,\FM_HW/_al_u2859_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2861|FM_HW/_al_u2560 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i12_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i13_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i15_006 }),
.c({\FM_HW/_al_u2860_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i14_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2861_o ,\FM_HW/_al_u2560_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010101011011000),
.INIT_LUTF1(16'b1010101011011000),
.INIT_LUTG0(16'b1010101011011000),
.INIT_LUTG1(16'b1010101011011000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2862|FM_HW/_al_u3284 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2862_o ,\FM_HW/_al_u3284_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b0011010100001111),
.INIT_LUTF1(16'b0011010100001111),
.INIT_LUTG0(16'b0011010100001111),
.INIT_LUTG1(16'b0011010100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2863|FM_HW/_al_u3285 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_004 }),
.c({\FM_HW/_al_u2862_o ,\FM_HW/_al_u3284_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2863_o ,\FM_HW/_al_u3285_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2868|FM_HW/_al_u1186 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_002 }),
.c({\FM_HW/_al_u2867_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_002 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2868_o ,\FM_HW/_al_u1186_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~B*~A*~(D*~C))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~B*~A*~(D*~C))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0001000000010001),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2869|FM_HW/_al_u2200 (
.a({\FM_HW/_al_u2864_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_007 }),
.b({\FM_HW/_al_u2866_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_007 }),
.c({\FM_HW/_al_u2868_o ,\FM_HW/_al_u2199_o }),
.d({\FM_HW/_al_u668_o ,HADDR[7]}),
.f({\FM_HW/_al_u2869_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2872|FM_HW/_al_u3299 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2872_o ,\FM_HW/_al_u3299_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2875|FM_HW/_al_u2874 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_005 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_005 }),
.c({\FM_HW/_al_u2874_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_4 ,\FM_HW/_al_u2874_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u2879|FM_HW/_al_u2886 (
.a({open_n74017,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i52_005 }),
.b({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i53_005 }),
.c({HADDR[8],\FM_HW/_al_u2885_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_5 ,HADDR[7]}),
.f({\FM_HW/_al_u2879_o ,\FM_HW/_al_u2886_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~((~C*B))*~(0)+A*(~C*B)*~(0)+~(A)*(~C*B)*0+A*(~C*B)*0))"),
//.LUT1("(~D*(A*~((~C*B))*~(1)+A*(~C*B)*~(1)+~(A)*(~C*B)*1+A*(~C*B)*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2880 (
.a({\FM_HW/_al_u2869_o ,\FM_HW/_al_u2869_o }),
.b({\FM_HW/_al_u2876_o ,\FM_HW/_al_u2876_o }),
.c({\FM_HW/_al_u2879_o ,\FM_HW/_al_u2879_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n74050,HADDR[10]}),
.fx({open_n74055,\FM_HW/_al_u2880_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2883|FM_HW/_al_u3291 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i51_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i50_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2883_o ,\FM_HW/_al_u3291_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2885|FM_HW/_al_u3293 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i55_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i54_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2885_o ,\FM_HW/_al_u3293_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2888|FM_HW/_al_u3296 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i60_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i61_004 }),
.c({\FM_HW/_al_u2887_o ,\FM_HW/_al_u3295_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2888_o ,\FM_HW/_al_u3296_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~B*~(0*~D)))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~B*~(1*~D)))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100010101000101),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0100010101010101),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2889|FM_HW/_al_u2187 (
.a({\FM_HW/_al_u2886_o ,\FM_HW/_al_u2176_o }),
.b({\FM_HW/_al_u2888_o ,\FM_HW/_al_u2181_o }),
.c({HADDR[9],\FM_HW/_al_u2184_o }),
.d({HADDR[8],\FM_HW/_al_u2186_o }),
.e({open_n74132,\FM_HW/_al_u678_o }),
.f({\FM_HW/_al_u2889_o ,\FM_HW/_al_u2187_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2890|_al_u418 (
.a({\FM_HW/_al_u2882_o ,_al_u228_o}),
.b({\FM_HW/_al_u2884_o ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\FM_HW/_al_u2889_o ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({HADDR[8],RAMCODE_RDATA[5]}),
.e({open_n74155,RAMDATA_RDATA[5]}),
.f({\FM_HW/_al_u2890_o ,_al_u418_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2891|FM_HW/_al_u3274 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2891_o ,\FM_HW/_al_u3274_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2894|FM_HW/_al_u3271 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_004 }),
.c({\FM_HW/_al_u2893_o ,\FM_HW/_al_u3270_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2894_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2895|FM_HW/_al_u3268 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2895_o ,\FM_HW/_al_u3268_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2897|FM_HW/_al_u3272 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i43_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2897_o ,\FM_HW/_al_u3272_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2898|FM_HW/_al_u3273 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i40_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i41_004 }),
.c({\FM_HW/_al_u2897_o ,\FM_HW/_al_u3272_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2898_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*~B))"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1010101010101000),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2899|FM_HW/_al_u2193 (
.a({\FM_HW/_al_u2896_o ,\FM_HW/_al_u2190_o }),
.b({\FM_HW/_al_u2898_o ,\FM_HW/_al_u2191_o }),
.c({HADDR[9],\FM_HW/_al_u2192_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2899_o ,\FM_HW/_al_u2193_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2900|FM_HW/_al_u2896 (
.a({\FM_HW/_al_u2892_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_005 }),
.b({\FM_HW/_al_u2894_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_005 }),
.c({\FM_HW/_al_u2899_o ,\FM_HW/_al_u2895_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2900_o ,\FM_HW/_al_u2896_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~(0*~D)*B)*~(~C*A))"),
//.LUT1("(~(~(1*~D)*B)*~(~C*A))"),
.INIT_LUT0(16'b0011000100110001),
.INIT_LUT1(16'b0011000111110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2902 (
.a({\FM_HW/_al_u2771_o ,\FM_HW/_al_u2771_o }),
.b({\FM_HW/_al_u2813_o ,\FM_HW/_al_u2813_o }),
.c({\FM_HW/_al_u2859_o ,\FM_HW/_al_u2859_o }),
.d({\FM_HW/_al_u2880_o ,\FM_HW/_al_u2880_o }),
.mi({open_n74348,\FM_HW/_al_u2901_o }),
.fx({open_n74353,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*D))"),
//.LUTG0("(~C*~(B*D))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTG0(16'b0000001100001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2905 (
.b({open_n74358,\FM_HW/_al_u2904_o }),
.c({open_n74359,HADDR[8]}),
.d({open_n74362,\FM_HW/_al_u2903_o }),
.f({open_n74380,\FM_HW/_al_u2905_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2908|FM_HW/_al_u3372 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i279_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i278_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2908_o ,\FM_HW/_al_u3372_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2910|FM_HW/_al_u3369 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i275_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i274_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2910_o ,\FM_HW/_al_u3369_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2911|FM_HW/_al_u3370 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i272_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i273_004 }),
.c({\FM_HW/_al_u2910_o ,\FM_HW/_al_u3369_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2911_o ,\FM_HW/_al_u3370_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~((~B*~A))*~(C)*~(D)*~(0)+(~B*~A)*~(C)*~(D)*~(0)+~((~B*~A))*C*~(D)*~(0)+(~B*~A)*~(C)*D*~(0)+~((~B*~A))*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+~((~B*~A))*~(C)*D*0+~((~B*~A))*C*D*0)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~((~B*~A))*~(C)*~(D)*~(1)+(~B*~A)*~(C)*~(D)*~(1)+~((~B*~A))*C*~(D)*~(1)+(~B*~A)*~(C)*D*~(1)+~((~B*~A))*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+~((~B*~A))*~(C)*D*1+~((~B*~A))*C*D*1)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000000111101111),
.INIT_LUTG0(16'b1010101011111111),
.INIT_LUTG1(16'b1110111011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2912|FM_HW/_al_u3371 (
.a({\FM_HW/_al_u2905_o ,\FM_HW/_al_u3366_o }),
.b({\FM_HW/_al_u2907_o ,\FM_HW/_al_u3368_o }),
.c({\FM_HW/_al_u2909_o ,\FM_HW/_al_u3370_o }),
.d({\FM_HW/_al_u2911_o ,HADDR[9]}),
.e(HADDR[9:8]),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B3_17 ,\FM_HW/_al_u3371_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2914|FM_HW/_al_u2383 (
.a({\FM_HW/_al_u2913_o ,HADDR[7]}),
.b({\FM_HW/_al_u668_o ,HADDR[6]}),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_006 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_005 ,open_n74478}),
.f({\FM_HW/_al_u2914_o ,\FM_HW/_al_u2383_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101010000010000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2916|FM_HW/_al_u3362 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2915_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ,\FM_HW/_al_u3362_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010001010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001000101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2918|FM_HW/_al_u3355 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_005 ,\FM_HW/_al_u3354_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_005 ,HADDR[7]}),
.c({\FM_HW/_al_u2917_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i270_004 }),
.e({open_n74525,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i271_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ,\FM_HW/_al_u3355_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0))"),
//.LUT1("(~A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1))"),
.INIT_LUT0(16'b0000000000010001),
.INIT_LUT1(16'b0000010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2919 (
.a({\FM_HW/_al_u2914_o ,\FM_HW/_al_u2914_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_64 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_67 }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n74558,HADDR[8]}),
.fx({open_n74563,\FM_HW/_al_u2919_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010100000100000),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1010100000100000),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2920|FM_HW/_al_u3356 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i266_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i267_004 }),
.f({\FM_HW/_al_u2920_o ,\FM_HW/_al_u3356_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0101010000010000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2921|FM_HW/_al_u3357 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2920_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i264_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i265_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ,\FM_HW/_al_u3357_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~B*~A))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~C*~(~D*~B*~A))"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000111100001110),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000111100001110),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2922|FM_HW/_al_u3363 (
.a({open_n74614,\FM_HW/_al_u3361_o }),
.b({HADDR[9],\FM_HW/_al_u3362_o }),
.c({HADDR[8],HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_66 ,HADDR[8]}),
.f({\FM_HW/_al_u2922_o ,\FM_HW/_al_u3363_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2924|FM_HW/_al_u3412 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i291_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i290_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2924_o ,\FM_HW/_al_u3412_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2925|FM_HW/_al_u3413 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_004 }),
.c({\FM_HW/_al_u2924_o ,\FM_HW/_al_u3412_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ,\FM_HW/_al_u3413_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111001100000101),
.MODE("LOGIC"))
\FM_HW/_al_u2928|FM_HW/_al_u2011 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_72 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_73 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_000 }),
.c({HADDR[9],\FM_HW/_al_u2010_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u2928_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2929|FM_HW/_al_u3410 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i299_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i298_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2929_o ,\FM_HW/_al_u3410_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u2930|FM_HW/_al_u3411 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_004 }),
.c({\FM_HW/_al_u2929_o ,\FM_HW/_al_u3410_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ,\FM_HW/_al_u3411_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2931|FM_HW/_al_u3408 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i303_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i302_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2931_o ,\FM_HW/_al_u3408_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2932|FM_HW/_al_u3409 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i300_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i301_004 }),
.c({\FM_HW/_al_u2931_o ,\FM_HW/_al_u3408_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ,\FM_HW/_al_u3409_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+~(A)*B*~(C)*0+A*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+~(A)*B*~(C)*1+A*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000000001010101),
.INIT_LUTG0(16'b1010101011111111),
.INIT_LUTG1(16'b0000000011100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2933|FM_HW/_al_u3414 (
.a({\FM_HW/_al_u2928_o ,\FM_HW/_al_u3409_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_74 ,\FM_HW/_al_u3411_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_75 ,\FM_HW/_al_u3413_o }),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.f({\FM_HW/_al_u2933_o ,\FM_HW/_al_u3414_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2934|FM_HW/_al_u3403 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i314_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i315_004 }),
.f({\FM_HW/_al_u2934_o ,\FM_HW/_al_u3403_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2936|FM_HW/_al_u2937 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i317_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i316_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i319_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i318_005 }),
.f({\FM_HW/_al_u2936_o ,\FM_HW/_al_u2937_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2938 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 }),
.b({\FM_HW/_al_u2936_o ,\FM_HW/_al_u2936_o }),
.c({\FM_HW/_al_u2937_o ,\FM_HW/_al_u2937_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n74877,HADDR[8]}),
.fx({open_n74882,\FM_HW/_al_u2938_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b0100010101100111),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0100010101100111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2940|FM_HW/_al_u2077 (
.a({\FM_HW/_al_u2939_o ,\FM_HW/_al_u2076_o }),
.b({HADDR[7],HADDR[9]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_005 ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_007 }),
.e({open_n74887,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_007 }),
.f({\FM_HW/_al_u2940_o ,\FM_HW/_al_u2077_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2941|FM_HW/_al_u3405 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i307_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i306_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2941_o ,\FM_HW/_al_u3405_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2942|FM_HW/_al_u1998 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2941_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 ,\FM_HW/_al_u1998_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(0*~C*~B))"),
//.LUT1("(~D*~A*~(1*~C*~B))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001010100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u2944 (
.a({\FM_HW/_al_u2923_o ,\FM_HW/_al_u2923_o }),
.b({\FM_HW/_al_u2933_o ,\FM_HW/_al_u2933_o }),
.c({\FM_HW/_al_u2943_o ,\FM_HW/_al_u2943_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n74968,HADDR[11]}),
.fx({open_n74973,\FM_HW/_al_u2944_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2945|FM_HW/_al_u3350 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i323_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i322_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2945_o ,\FM_HW/_al_u3350_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2946|FM_HW/_al_u2453 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_005 ,\FM_HW/_al_u2452_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_005 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2945_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i320_006 }),
.e({open_n75002,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i321_006 }),
.f({\FM_HW/_al_u2946_o ,\FM_HW/_al_u2453_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2947|FM_HW/_al_u3348 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i327_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i326_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2947_o ,\FM_HW/_al_u3348_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2950|FM_HW/_al_u3343 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i331_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i330_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2950_o ,\FM_HW/_al_u3343_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2952|FM_HW/_al_u3345 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i335_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i334_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2952_o ,\FM_HW/_al_u3345_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2953|FM_HW/_al_u3346 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_004 }),
.c({\FM_HW/_al_u2952_o ,\FM_HW/_al_u3345_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2953_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*B*~(C)*0+A*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*B*~(C)*1+A*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000000010101010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000011100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2954|FM_HW/_al_u2455 (
.a({\FM_HW/_al_u2949_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_006 }),
.b({\FM_HW/_al_u2951_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_006 }),
.c({\FM_HW/_al_u2953_o ,\FM_HW/_al_u2454_o }),
.d({HADDR[10],HADDR[7]}),
.e({HADDR[9],open_n75121}),
.f({\FM_HW/_al_u2954_o ,\FM_HW/_al_u2455_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2955|FM_HW/_al_u3337 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2955_o ,\FM_HW/_al_u3337_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2957|FM_HW/_al_u3333 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2957_o ,\FM_HW/_al_u3333_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2958|FM_HW/_al_u3334 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_004 }),
.c({\FM_HW/_al_u2957_o ,\FM_HW/_al_u3333_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2958_o ,\FM_HW/_al_u3334_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110101),
.MODE("LOGIC"))
\FM_HW/_al_u2960|FM_HW/_al_u3341 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_004 }),
.c({\FM_HW/_al_u2959_o ,\FM_HW/_al_u3340_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2960_o ,\FM_HW/_al_u3341_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTG0(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2963 (
.a({open_n75234,\FM_HW/_al_u2960_o }),
.b({open_n75235,\FM_HW/_al_u2962_o }),
.c({open_n75236,HADDR[8]}),
.d({open_n75239,HADDR[9]}),
.f({open_n75257,\FM_HW/_al_u2963_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2964|FM_HW/_al_u2470 (
.a({\FM_HW/_al_u2956_o ,\FM_HW/_al_u2469_o }),
.b({\FM_HW/_al_u2958_o ,HADDR[8]}),
.c({\FM_HW/_al_u2963_o ,HADDR[7]}),
.d({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_006 }),
.e({open_n75265,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_006 }),
.f({\FM_HW/_al_u2964_o ,\FM_HW/_al_u2470_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~A*~(~0*~B)))"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(C*~(~D*~A*~(~1*~B)))"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b1111000010110000),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b1111000010100000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2965|FM_HW/_al_u1474 (
.a({\FM_HW/_al_u2954_o ,\FM_HW/_al_u1463_o }),
.b({\FM_HW/_al_u2964_o ,\FM_HW/_al_u1473_o }),
.c({HADDR[11],HADDR[12]}),
.d({HADDR[10],HADDR[11]}),
.e({open_n75288,HADDR[10]}),
.f({\FM_HW/_al_u2965_o ,\FM_HW/_al_u1474_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2966|FM_HW/_al_u3395 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i378_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i379_004 }),
.f({\FM_HW/_al_u2966_o ,\FM_HW/_al_u3395_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2976|FM_HW/_al_u3378 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i354_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i355_004 }),
.f({\FM_HW/_al_u2976_o ,\FM_HW/_al_u3378_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2977|FM_HW/_al_u2430 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_005 ,\FM_HW/_al_u2429_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_005 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u2976_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i352_006 }),
.e({open_n75359,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i353_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_88 ,\FM_HW/_al_u2430_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2978|FM_HW/_al_u3377 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i358_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i359_004 }),
.f({\FM_HW/_al_u2978_o ,\FM_HW/_al_u3377_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2979|FM_HW/_al_u3376 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_005 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_005 ,HADDR[6]}),
.c({\FM_HW/_al_u2978_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i356_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i357_004 }),
.f({\FM_HW/_al_u2979_o ,\FM_HW/_al_u3376_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2988|FM_HW/_al_u3107 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i499_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i498_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2988_o ,\FM_HW/_al_u3107_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2990|FM_HW/_al_u3110 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i502_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i503_004 }),
.f({\FM_HW/_al_u2990_o ,\FM_HW/_al_u3110_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2992|FM_HW/_al_u3112 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i506_004 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i507_004 }),
.f({\FM_HW/_al_u2992_o ,\FM_HW/_al_u3112_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2993|FM_HW/_al_u3113 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_005 ,\FM_HW/_al_u3112_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_005 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u2992_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_004 }),
.e({open_n75502,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_004 }),
.f({\FM_HW/_al_u2993_o ,\FM_HW/_al_u3113_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000010101010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111111111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2994|FM_HW/_al_u3111 (
.a({\FM_HW/_al_u2989_o ,\FM_HW/_al_u3110_o }),
.b({\FM_HW/_al_u2991_o ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u2993_o ,HADDR[7]}),
.d({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_004 }),
.e({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_004 }),
.f({\FM_HW/_al_u2994_o ,\FM_HW/_al_u3111_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2995|FM_HW/_al_u3102 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i487_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i486_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2995_o ,\FM_HW/_al_u3102_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u2997|FM_HW/_al_u3099 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i483_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i482_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u2997_o ,\FM_HW/_al_u3099_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3000|FM_HW/_al_u2692 (
.a({open_n75593,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_005 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_006 }),
.d({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_006 }),
.f({\FM_HW/_al_u3000_o ,\FM_HW/_al_u2692_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u3001|FM_HW/_al_u3098 (
.a({open_n75618,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i494_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i495_005 ,\FM_HW/_al_u3097_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3001_o ,\FM_HW/_al_u3098_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3003|FM_HW/_al_u3095 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i491_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i490_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3003_o ,\FM_HW/_al_u3095_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~(~B*~A)))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(~C*~(D*~(~B*~A)))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0000000100001111),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b0000000100001111),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3019|FM_HW/_al_u1551 (
.a({\FM_HW/_al_u3016_o ,\FM_HW/_al_u1545_o }),
.b({\FM_HW/_al_u3018_o ,\FM_HW/_al_u1550_o }),
.c({\FM_HW/_al_u668_o ,HADDR[11]}),
.d({HADDR[10],HADDR[10]}),
.f({\FM_HW/_al_u3019_o ,\FM_HW/_al_u1551_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3022|FM_HW/_al_u3023 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i463_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i462_005 }),
.f({\FM_HW/_al_u3022_o ,\FM_HW/_al_u3023_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3024 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_114 }),
.b({\FM_HW/_al_u3022_o ,\FM_HW/_al_u3022_o }),
.c({\FM_HW/_al_u3023_o ,\FM_HW/_al_u3023_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n75723,HADDR[8]}),
.fx({open_n75728,\FM_HW/_al_u3024_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3025|FM_HW/_al_u3080 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i450_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i451_005 ,HADDR[7]}),
.f({\FM_HW/_al_u3025_o ,\FM_HW/_al_u3080_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~B*~A*~(D*~C))"),
//.LUT1("(~1*~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0001000000010001),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3029 (
.a({\FM_HW/_al_u3024_o ,\FM_HW/_al_u3024_o }),
.b({\FM_HW/_al_u3026_o ,\FM_HW/_al_u3026_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_113 }),
.d({\FM_HW/_al_u668_o ,\FM_HW/_al_u668_o }),
.mi({open_n75767,HADDR[10]}),
.fx({open_n75772,\FM_HW/_al_u3029_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTG0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
.INIT_LUTF0(16'b1010101011111100),
.INIT_LUTG0(16'b1010101011111100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3030 (
.a({open_n75775,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B4_15 }),
.b({open_n75776,\FM_HW/_al_u3019_o }),
.c({open_n75777,\FM_HW/_al_u3029_o }),
.d({open_n75780,HADDR[11]}),
.f({open_n75798,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B5_7 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3033|FM_HW/_al_u3139 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i435_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i434_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3033_o ,\FM_HW/_al_u3139_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3034|FM_HW/_al_u3140 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i432_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i433_004 }),
.c({\FM_HW/_al_u3033_o ,\FM_HW/_al_u3139_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3034_o ,\FM_HW/_al_u3140_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111111110101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3037 (
.a({\FM_HW/_al_u3032_o ,\FM_HW/_al_u3032_o }),
.b({\FM_HW/_al_u3034_o ,\FM_HW/_al_u3034_o }),
.c({\FM_HW/_al_u3036_o ,\FM_HW/_al_u3036_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n75864,HADDR[8]}),
.fx({open_n75869,\FM_HW/_al_u3037_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3039|FM_HW/_al_u773 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i444_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i445_003 }),
.c({\FM_HW/_al_u3038_o ,\FM_HW/_al_u772_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3039_o ,\FM_HW/_al_u773_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(A*~(C*~B))))"),
//.LUT1("(D*~(1*~(A*~(C*~B))))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1000101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3040 (
.a({\FM_HW/_al_u3037_o ,\FM_HW/_al_u3037_o }),
.b({\FM_HW/_al_u3039_o ,\FM_HW/_al_u3039_o }),
.c({\FM_HW/_al_u674_o ,\FM_HW/_al_u674_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n75908,HADDR[10]}),
.fx({open_n75913,\FM_HW/_al_u3040_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3041|FM_HW/_al_u3153 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i431_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i430_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3041_o ,\FM_HW/_al_u3153_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3045|FM_HW/_al_u3155 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i427_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i426_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3045_o ,\FM_HW/_al_u3155_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3049|FM_HW/_al_u1892 (
.a({\FM_HW/_al_u3048_o ,HADDR[6]}),
.b({\FM_HW/_al_u668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_000 }),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_005 ,HADDR[7]}),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_005 ,open_n75966}),
.f({\FM_HW/_al_u3049_o ,\FM_HW/_al_u1892_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3059|FM_HW/_al_u748 (
.a({\FM_HW/_al_u3056_o ,\FM_HW/_al_u747_o }),
.b({\FM_HW/_al_u3058_o ,\FM_HW/_al_u671_o }),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i408_003 }),
.e({open_n75989,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i409_003 }),
.f({\FM_HW/_al_u3059_o ,\FM_HW/_al_u748_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*A*~(0*~D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~C*~B*A*~(1*~D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3060|FM_HW/_al_u2327 (
.a({\FM_HW/_al_u3052_o ,\FM_HW/_al_u2320_o }),
.b({\FM_HW/_al_u3054_o ,\FM_HW/_al_u2322_o }),
.c({\FM_HW/_al_u3059_o ,\FM_HW/_al_u2324_o }),
.d({HADDR[8],\FM_HW/_al_u2326_o }),
.e({open_n76012,\FM_HW/_al_u671_o }),
.f({\FM_HW/_al_u3060_o ,\FM_HW/_al_u2327_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3061|FM_HW/_al_u3118 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3061_o ,\FM_HW/_al_u3118_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3062|FM_HW/_al_u3119 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_004 }),
.c({\FM_HW/_al_u3061_o ,\FM_HW/_al_u3118_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3063|FM_HW/_al_u3116 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i399_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i398_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3063_o ,\FM_HW/_al_u3116_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3064|FM_HW/_al_u3117 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_004 }),
.c({\FM_HW/_al_u3063_o ,\FM_HW/_al_u3116_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3064_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
.INIT_LUTF0(16'b1010101011110000),
.INIT_LUTF1(16'b1100000001010000),
.INIT_LUTG0(16'b1100110011111111),
.INIT_LUTG1(16'b1100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3065|FM_HW/_al_u2334 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_98 ,\FM_HW/_al_u2329_o }),
.b({\FM_HW/_al_u3064_o ,\FM_HW/_al_u2331_o }),
.c({HADDR[9],\FM_HW/_al_u2333_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n76127,HADDR[8]}),
.f({\FM_HW/_al_u3065_o ,\FM_HW/_al_u2334_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3066|FM_HW/_al_u3123 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i387_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i386_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3066_o ,\FM_HW/_al_u3123_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3068|FM_HW/_al_u3121 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i391_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3068_o ,\FM_HW/_al_u3121_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3069|FM_HW/_al_u3122 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_005 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_004 }),
.c({\FM_HW/_al_u3068_o ,\FM_HW/_al_u3121_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(~C*~B)*~(A)*~(0)+~(~C*~B)*A*~(0)+~(~(~C*~B))*A*0+~(~C*~B)*A*0))"),
//.LUT1("(~D*(~(~C*~B)*~(A)*~(1)+~(~C*~B)*A*~(1)+~(~(~C*~B))*A*1+~(~C*~B)*A*1))"),
.INIT_LUT0(16'b0000000011111100),
.INIT_LUT1(16'b0000000010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3071 (
.a({\FM_HW/_al_u3060_o ,\FM_HW/_al_u3060_o }),
.b({\FM_HW/_al_u3065_o ,\FM_HW/_al_u3065_o }),
.c({\FM_HW/_al_u3070_o ,\FM_HW/_al_u3070_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n76228,HADDR[10]}),
.fx({open_n76233,\FM_HW/_al_u3071_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3074|FM_HW/_al_u788 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i459_003 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i458_003 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3074_o ,\FM_HW/_al_u788_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3075|FM_HW/_al_u789 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i456_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i457_003 }),
.c({\FM_HW/_al_u3074_o ,\FM_HW/_al_u788_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ,\FM_HW/_al_u789_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3077|FM_HW/_al_u2680 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_004 ,\FM_HW/_al_u2679_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_004 ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u3076_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i460_006 }),
.e({open_n76282,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i461_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ,\FM_HW/_al_u2680_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3079|FM_HW/_al_u2343 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_004 ,\FM_HW/_al_u2342_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_004 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3078_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i452_007 }),
.e({open_n76305,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i453_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ,\FM_HW/_al_u2343_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3081|FM_HW/_al_u3026 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_004 ,\FM_HW/_al_u3025_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_004 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3080_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i448_005 }),
.e({open_n76328,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i449_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ,\FM_HW/_al_u3026_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((~D*B)*~((~C*A))*~(0)+(~D*B)*(~C*A)*~(0)+~((~D*B))*(~C*A)*0+(~D*B)*(~C*A)*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("~((~D*B)*~((~C*A))*~(1)+(~D*B)*(~C*A)*~(1)+~((~D*B))*(~C*A)*1+(~D*B)*(~C*A)*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111111100110011),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b1111010111110101),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3082|FM_HW/_al_u1064 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_113 ,\FM_HW/_al_u1050_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_112 ,\FM_HW/_al_u1057_o }),
.c({HADDR[8],\FM_HW/_al_u1060_o }),
.d({HADDR[9],\FM_HW/_al_u1063_o }),
.e({open_n76351,HADDR[10]}),
.f({\FM_HW/_al_u3082_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*A*~(0*~D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~B*A*~(1*~D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3083|FM_HW/_al_u2685 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_114 ,\FM_HW/_al_u2678_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_115 ,\FM_HW/_al_u2680_o }),
.c({\FM_HW/_al_u3082_o ,\FM_HW/_al_u2682_o }),
.d({HADDR[9],\FM_HW/_al_u2684_o }),
.e({open_n76374,\FM_HW/_al_u668_o }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ,\FM_HW/_al_u2685_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3089|FM_HW/_al_u3091 (
.a({HADDR[7],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i479_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_004 }),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u3089_o ,\FM_HW/_al_u3091_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3090|FM_HW/_al_u2667 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_006 }),
.c({\FM_HW/_al_u3089_o ,\FM_HW/_al_u2666_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3090_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_118 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3092|FM_HW/_al_u1045 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_002 }),
.c({\FM_HW/_al_u3091_o ,\FM_HW/_al_u1044_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3092_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_118 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(~D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000011111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3094 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_28 }),
.b({\FM_HW/_al_u3088_o ,\FM_HW/_al_u3088_o }),
.c({\FM_HW/_al_u3093_o ,\FM_HW/_al_u3093_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n76471,HADDR[10]}),
.fx({open_n76476,\FM_HW/_al_u3094_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3100|FM_HW/_al_u2301 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_004 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_004 ,HADDR[6]}),
.c({\FM_HW/_al_u3099_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_007 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_007 }),
.f({\FM_HW/_al_u3100_o ,\FM_HW/_al_u2301_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1010101011110000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1100110011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3101|FM_HW/_al_u3002 (
.a({\FM_HW/_al_u3096_o ,\FM_HW/_al_u3000_o }),
.b({\FM_HW/_al_u3098_o ,\FM_HW/_al_u3001_o }),
.c({\FM_HW/_al_u3100_o ,HADDR[8]}),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n76505}),
.f({\FM_HW/_al_u3101_o ,\FM_HW/_al_u3002_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3104|FM_HW/_al_u2999 (
.a({\FM_HW/_al_u3101_o ,open_n76526}),
.b({\FM_HW/_al_u3103_o ,\FM_HW/_al_u2998_o }),
.c({\FM_HW/_al_u668_o ,HADDR[8]}),
.d({HADDR[10],\FM_HW/_al_u2996_o }),
.f({\FM_HW/_al_u3104_o ,\FM_HW/_al_u2999_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(0*~C*~B))"),
//.LUTF1("(D*~(~C*~B*A))"),
//.LUTG0("(D*~A*~(1*~C*~B))"),
//.LUTG1("(D*~(~C*~B*A))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1111110100000000),
.INIT_LUTG0(16'b0101010000000000),
.INIT_LUTG1(16'b1111110100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3114|FM_HW/_al_u3115 (
.a({\FM_HW/_al_u3109_o ,\FM_HW/_al_u3094_o }),
.b({\FM_HW/_al_u3111_o ,\FM_HW/_al_u3104_o }),
.c({\FM_HW/_al_u3113_o ,\FM_HW/_al_u3114_o }),
.d({HADDR[10],HADDR[12]}),
.e({open_n76553,HADDR[11]}),
.f({\FM_HW/_al_u3114_o ,\FM_HW/_al_u3115_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3128|FM_HW/_al_u3053 (
.a({\FM_HW/_al_u3127_o ,HADDR[6]}),
.b({\FM_HW/_al_u668_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_005 }),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_004 ,HADDR[7]}),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_004 ,open_n76576}),
.f({\FM_HW/_al_u3128_o ,\FM_HW/_al_u3053_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*~C*~B*~A))"),
//.LUT1("(1*~(~D*~C*~B*~A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111111111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3135 (
.a({\FM_HW/_al_u3128_o ,\FM_HW/_al_u3128_o }),
.b({\FM_HW/_al_u3130_o ,\FM_HW/_al_u3130_o }),
.c({\FM_HW/_al_u3132_o ,\FM_HW/_al_u3132_o }),
.d({\FM_HW/_al_u3134_o ,\FM_HW/_al_u3134_o }),
.mi({open_n76609,HADDR[10]}),
.fx({open_n76614,\FM_HW/_al_u3135_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3136|FM_HW/_al_u2322 (
.a({\FM_HW/_al_u3126_o ,\FM_HW/_al_u2321_o }),
.b({\FM_HW/_al_u3135_o ,\FM_HW/_al_u674_o }),
.c({HADDR[12],HADDR[7]}),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_007 }),
.e({open_n76619,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_007 }),
.f({\FM_HW/_al_u3136_o ,\FM_HW/_al_u2322_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3138|FM_HW/_al_u1898 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_004 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_000 }),
.c({\FM_HW/_al_u3137_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3138_o ,\FM_HW/_al_u1898_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001101100000000),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3146|FM_HW/_al_u1907 (
.a({\FM_HW/_al_u3138_o ,\FM_HW/_al_u1902_o }),
.b({\FM_HW/_al_u3140_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_108 }),
.c({\FM_HW/_al_u3145_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_109 }),
.d({HADDR[8],HADDR[10]}),
.e({open_n76666,HADDR[9]}),
.f({\FM_HW/_al_u3146_o ,\FM_HW/_al_u1907_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u3147|FM_HW/_al_u1893 (
.a({open_n76687,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_000 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_000 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_004 ,\FM_HW/_al_u1892_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3147_o ,\FM_HW/_al_u1893_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3148|FM_HW/_al_u3048 (
.a({open_n76708,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_004 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_005 }),
.d({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_005 }),
.f({\FM_HW/_al_u3148_o ,\FM_HW/_al_u3048_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3149|FM_HW/_al_u3044 (
.a({open_n76733,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_004 ,\FM_HW/_al_u3043_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3149_o ,\FM_HW/_al_u3044_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3150|FM_HW/_al_u3043 (
.a({open_n76758,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i419_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i418_005 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3150_o ,\FM_HW/_al_u3043_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3152|FM_HW/_al_u2644 (
.a({\FM_HW/_al_u3147_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i420_006 }),
.b({\FM_HW/_al_u3148_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i421_006 }),
.c({\FM_HW/_al_u3151_o ,\FM_HW/_al_u2643_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3152_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_105 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~(~C*B)*~(~D*A)))"),
//.LUTF1("(D*~A*~(~C*B))"),
//.LUTG0("(1*~(~(~C*B)*~(~D*A)))"),
//.LUTG1("(D*~A*~(~C*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0101000100000000),
.INIT_LUTG0(16'b0000110010101110),
.INIT_LUTG1(16'b0101000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3159|FM_HW/_al_u2727 (
.a({\FM_HW/_al_u3115_o ,\FM_HW/_al_u2665_o }),
.b({\FM_HW/_al_u3136_o ,\FM_HW/_al_u2686_o }),
.c({\FM_HW/_al_u3158_o ,\FM_HW/_al_u2706_o }),
.d({HADDR[13],\FM_HW/_al_u2726_o }),
.e({open_n76809,HADDR[13]}),
.f({\FM_HW/_al_u3159_o ,\FM_HW/_al_u2727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3163|FM_HW/_al_u2249 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i220_004 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i221_004 ,HADDR[6]}),
.c({\FM_HW/_al_u3162_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i222_007 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i223_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 ,\FM_HW/_al_u2249_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0011111101010000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\FM_HW/_al_u3164 (
.a({open_n76854,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_54 }),
.b({open_n76855,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_55 }),
.c({open_n76856,HADDR[9]}),
.d({open_n76859,HADDR[8]}),
.f({open_n76873,\FM_HW/_al_u3164_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3168|FM_HW/_al_u2486 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i208_004 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i209_004 ,HADDR[6]}),
.c({\FM_HW/_al_u3167_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i210_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i211_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ,\FM_HW/_al_u2486_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUTF0(16'b1111000010101010),
.INIT_LUTF1(16'b0010011100000000),
.INIT_LUTG0(16'b1111111111001100),
.INIT_LUTG1(16'b1010101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3169|FM_HW/_al_u2248 (
.a({\FM_HW/_al_u3164_o ,\FM_HW/_al_u2243_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_53 ,\FM_HW/_al_u2245_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_52 ,\FM_HW/_al_u2247_o }),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.f({\FM_HW/_al_u3169_o ,\FM_HW/_al_u2248_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3171|FM_HW/_al_u2735 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_004 ,\FM_HW/_al_u2734_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_004 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3170_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i196_005 }),
.e({open_n76927,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i197_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ,\FM_HW/_al_u2735_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3173|FM_HW/_al_u2477 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_004 ,\FM_HW/_al_u2476_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_004 ,HADDR[9]}),
.c({\FM_HW/_al_u3172_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_006 }),
.e({open_n76950,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ,\FM_HW/_al_u2477_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*A*~(0*~D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~B*A*~(1*~D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b1111010100000011),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1111010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3174|FM_HW/_al_u2738 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_49 ,\FM_HW/_al_u2731_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_48 ,\FM_HW/_al_u2733_o }),
.c({HADDR[9],\FM_HW/_al_u2735_o }),
.d({HADDR[8],\FM_HW/_al_u2737_o }),
.e({open_n76973,\FM_HW/_al_u674_o }),
.f({\FM_HW/_al_u3174_o ,\FM_HW/_al_u2738_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3178|FM_HW/_al_u2479 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_004 ,\FM_HW/_al_u2478_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_004 ,HADDR[9]}),
.c({\FM_HW/_al_u3177_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_006 }),
.e({open_n76996,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ,\FM_HW/_al_u2479_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*~(B)*C*0))"),
//.LUT1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000000010101010),
.INIT_LUT1(16'b0000000000100111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3179 (
.a({\FM_HW/_al_u3174_o ,\FM_HW/_al_u3174_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_51 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_50 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n77029,HADDR[9]}),
.fx({open_n77034,\FM_HW/_al_u3179_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3182|FM_HW/_al_u3183 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i254_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i255_004 }),
.f({\FM_HW/_al_u3182_o ,\FM_HW/_al_u3183_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101010100000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111110011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3184|FM_HW/_al_u2233 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_62 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i252_007 }),
.b({\FM_HW/_al_u3182_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i253_007 }),
.c({\FM_HW/_al_u3183_o ,\FM_HW/_al_u2232_o }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n77063}),
.f({\FM_HW/_al_u3184_o ,\FM_HW/_al_u2233_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUT1("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
.INIT_LUT0(16'b0010011100000000),
.INIT_LUT1(16'b1010101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3189 (
.a({\FM_HW/_al_u3184_o ,\FM_HW/_al_u3184_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_61 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_60 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n77096,HADDR[9]}),
.fx({open_n77101,\FM_HW/_al_u3189_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3191|FM_HW/_al_u2751 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_004 ,\FM_HW/_al_u2750_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_004 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3190_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i224_005 }),
.e({open_n77106,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i225_005 }),
.f({\FM_HW/_al_u3191_o ,\FM_HW/_al_u2751_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3199 (
.a({\FM_HW/_al_u3192_o ,\FM_HW/_al_u3192_o }),
.b({\FM_HW/_al_u3194_o ,\FM_HW/_al_u3194_o }),
.c({\FM_HW/_al_u3196_o ,\FM_HW/_al_u3196_o }),
.d({\FM_HW/_al_u3198_o ,\FM_HW/_al_u3198_o }),
.mi({open_n77139,\FM_HW/_al_u668_o }),
.fx({open_n77144,\FM_HW/_al_u3199_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~B*~A)*~((~D*~C))*~(0)+(~B*~A)*(~D*~C)*~(0)+~((~B*~A))*(~D*~C)*0+(~B*~A)*(~D*~C)*0)"),
//.LUT1("~((~B*~A)*~((~D*~C))*~(1)+(~B*~A)*(~D*~C)*~(1)+~((~B*~A))*(~D*~C)*1+(~B*~A)*(~D*~C)*1)"),
.INIT_LUT0(16'b1110111011101110),
.INIT_LUT1(16'b1111111111110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3200 (
.a({\FM_HW/_al_u3169_o ,\FM_HW/_al_u3169_o }),
.b({\FM_HW/_al_u3179_o ,\FM_HW/_al_u3179_o }),
.c({\FM_HW/_al_u3189_o ,\FM_HW/_al_u3189_o }),
.d({\FM_HW/_al_u3199_o ,\FM_HW/_al_u3199_o }),
.mi({open_n77159,HADDR[11]}),
.fx({open_n77164,\FM_HW/_al_u3200_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000101000000011),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\FM_HW/_al_u3208|FM_HW/_al_u860 (
.a({open_n77167,\FM_HW/_al_u857_o }),
.b({open_n77168,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_32 }),
.c({HADDR[8],HADDR[9]}),
.d({\FM_HW/_al_u3207_o ,HADDR[8]}),
.f({\FM_HW/_al_u3208_o ,\FM_HW/_al_u860_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~C*~(~D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100001100),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3209|FM_HW/_al_u2823 (
.a({open_n77189,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_32 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_33 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_004 ,HADDR[10]}),
.d({HADDR[6],HADDR[9]}),
.e({open_n77192,HADDR[8]}),
.f({\FM_HW/_al_u3209_o ,\FM_HW/_al_u2823_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3210|FM_HW/_al_u2821 (
.a({open_n77213,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i135_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i134_005 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3210_o ,\FM_HW/_al_u2821_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3211|FM_HW/_al_u2550 (
.a({\FM_HW/_al_u3209_o ,\FM_HW/_al_u2549_o }),
.b({\FM_HW/_al_u3210_o ,\FM_HW/_al_u668_o }),
.c(HADDR[8:7]),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i132_006 }),
.e({open_n77240,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i133_006 }),
.f({\FM_HW/_al_u3211_o ,\FM_HW/_al_u2550_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001100000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000001100000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3214|FM_HW/_al_u1785 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_004 ,\FM_HW/_al_u1783_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i145_004 ,\FM_HW/_al_u1784_o }),
.c({\FM_HW/_al_u3213_o ,HADDR[8]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ,\FM_HW/_al_u1785_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*B)*~(~C*A))"),
//.LUTF1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(1*~(~D*B)*~(~C*A))"),
//.LUTG1("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000001100000101),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b0000001100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3217|FM_HW/_al_u2858 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_36 ,\FM_HW/_al_u2849_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_37 ,\FM_HW/_al_u2852_o }),
.c({HADDR[9],\FM_HW/_al_u2854_o }),
.d({HADDR[8],\FM_HW/_al_u2857_o }),
.e({open_n77287,HADDR[10]}),
.f({\FM_HW/_al_u3217_o ,\FM_HW/_al_u2858_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3219|FM_HW/_al_u2542 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_004 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i155_006 }),
.c({\FM_HW/_al_u3218_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i154_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ,\FM_HW/_al_u2542_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3221|FM_HW/_al_u2536 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_004 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_004 ,HADDR[6]}),
.c({\FM_HW/_al_u3220_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_006 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ,\FM_HW/_al_u2536_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0011000001010000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0011000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3222|FM_HW/_al_u1782 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_38 ,\FM_HW/_al_u1781_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_39 ,HADDR[8]}),
.c({HADDR[9],HADDR[7]}),
.d({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i156_000 }),
.e({open_n77358,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i157_000 }),
.f({\FM_HW/_al_u3222_o ,\FM_HW/_al_u1782_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010111111111100),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1010111111111100),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3233|FM_HW/_al_u2519 (
.a({\FM_HW/_al_u3225_o ,\FM_HW/_al_u2516_o }),
.b({\FM_HW/_al_u3227_o ,\FM_HW/_al_u2518_o }),
.c({\FM_HW/_al_u3232_o ,HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u3233_o ,\FM_HW/_al_u2519_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(~D*~A*~(~C*B))"),
//.LUTG0("(~D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(~D*~A*~(~C*B))"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b0000000001010001),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b0000000001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3243|FM_HW/_al_u2845 (
.a({\FM_HW/_al_u3240_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_45 }),
.b({\FM_HW/_al_u3241_o ,\FM_HW/_al_u2843_o }),
.c({\FM_HW/_al_u3242_o ,\FM_HW/_al_u2844_o }),
.d({HADDR[9],HADDR[9]}),
.e({open_n77405,HADDR[8]}),
.f({\FM_HW/_al_u3243_o ,\FM_HW/_al_u2845_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3247|FM_HW/_al_u2601 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_004 ,\FM_HW/_al_u2600_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_004 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3246_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_006 }),
.e({open_n77428,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ,\FM_HW/_al_u2601_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111111111111100),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3250|FM_HW/_al_u727 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_17 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_16 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_16 ,\FM_HW/_al_u725_o }),
.c({HADDR[9],\FM_HW/_al_u726_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n77451,HADDR[8]}),
.f({\FM_HW/_al_u3250_o ,\FM_HW/_al_u727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0101000000110000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0101000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3255|FM_HW/_al_u2606 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_19 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_18 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_006 }),
.c({HADDR[9],\FM_HW/_al_u2605_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3255_o ,\FM_HW/_al_u2606_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b1100000010100000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1100000010100000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3256|FM_HW/_al_u1350 (
.a({open_n77496,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 }),
.b({\FM_HW/_al_u3255_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_19 }),
.c({HADDR[11],HADDR[9]}),
.d({\FM_HW/_al_u3250_o ,HADDR[8]}),
.f({\FM_HW/_al_u3256_o ,\FM_HW/_al_u1350_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3259|FM_HW/_al_u707 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_004 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i98_003 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i99_003 }),
.f({\FM_HW/_al_u3259_o ,\FM_HW/_al_u707_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3260|FM_HW/_al_u708 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_004 ,\FM_HW/_al_u707_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_004 ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3259_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i96_003 }),
.e({open_n77547,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i97_003 }),
.f({\FM_HW/_al_u3260_o ,\FM_HW/_al_u708_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3269|FM_HW/_al_u2579 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_004 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i35_006 }),
.c({\FM_HW/_al_u3268_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i34_006 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 ,\FM_HW/_al_u2579_o }));
// ../rtl/peripherals/KeyScan.v(16)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3270|scan_unit/reg0_b3 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i39_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i38_005 }),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({HADDR[7],HADDR[7]}),
.mi({open_n77596,row_pad[2]}),
.f({\FM_HW/_al_u3270_o ,\FM_HW/_al_u2893_o }),
.q({open_n77612,row_pad[3]})); // ../rtl/peripherals/KeyScan.v(16)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~B*~A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(C*~(D*~B*~A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1110000011110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1110000011110000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3275|FM_HW/_al_u2190 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_004 ,\FM_HW/_al_u2188_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_004 ,\FM_HW/_al_u2189_o }),
.c({\FM_HW/_al_u3274_o ,HADDR[9]}),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3275_o ,\FM_HW/_al_u2190_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0011101011110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0011101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3276|FM_HW/_al_u2197 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_10 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i36_007 }),
.b({\FM_HW/_al_u3275_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i37_007 }),
.c({HADDR[8],\FM_HW/_al_u2196_o }),
.d({HADDR[9],HADDR[7]}),
.f({\FM_HW/_al_u3276_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_9 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTG0(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3277 (
.a({open_n77661,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_8 }),
.b({open_n77662,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_9 }),
.c({open_n77663,\FM_HW/_al_u3276_o }),
.d({open_n77666,HADDR[9]}),
.f({open_n77684,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3281|FM_HW/_al_u2867 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_004 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_005 }),
.c({\FM_HW/_al_u3280_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_005 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3281_o ,\FM_HW/_al_u2867_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3286|FM_HW/_al_u1398 (
.a({\FM_HW/_al_u3283_o ,HADDR[6]}),
.b({\FM_HW/_al_u3285_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i3_001 }),
.c({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i2_001 }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3286_o ,\FM_HW/_al_u1398_o }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0010101000001010),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3287|FM_HW/FM_Demodulation/reg5_b153 (
.a({\FM_HW/_al_u3279_o ,_al_u228_o}),
.b({\FM_HW/_al_u3281_o ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\FM_HW/_al_u3286_o ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({HADDR[8],RAMCODE_RDATA[7]}),
.e({open_n77738,RAMDATA_RDATA[7]}),
.mi({open_n77740,\FM_HW/FM_Demodulation/dmd_data_filter[16] [3]}),
.f({\FM_HW/_al_u3287_o ,_al_u412_o}),
.q({open_n77756,\FM_HW/FM_Demodulation/dmd_data_filter[15] [3]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
//.LUTG0("(~C*~(D*(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0000101000000011),
.INIT_LUTG0(16'b0000010100001111),
.INIT_LUTG1(16'b0000101000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3288|FM_HW/_al_u2901 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_2 ,\FM_HW/_al_u2890_o }),
.b({\FM_HW/_al_u3287_o ,\FM_HW/_al_u2900_o }),
.c({HADDR[12],HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.e({open_n77759,HADDR[10]}),
.f({\FM_HW/_al_u3288_o ,\FM_HW/_al_u2901_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3307|FM_HW/_al_u1729 (
.a({\FM_HW/_al_u3304_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_000 }),
.b({\FM_HW/_al_u3306_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_000 }),
.c({HADDR[9],\FM_HW/_al_u1728_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3307_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1010000011000000),
.INIT_LUT1(16'b1010110011110000),
.MODE("LOGIC"))
\FM_HW/_al_u3308|FM_HW/_al_u2572 (
.a({\FM_HW/_al_u3300_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_7 }),
.b({\FM_HW/_al_u3302_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_6 }),
.c({\FM_HW/_al_u3307_o ,HADDR[9]}),
.d({HADDR[8],HADDR[8]}),
.f({\FM_HW/_al_u3308_o ,\FM_HW/_al_u2572_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D)))"),
//.LUT1("(1*~(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111101011111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3309 (
.a({\FM_HW/_al_u3298_o ,\FM_HW/_al_u3298_o }),
.b({\FM_HW/_al_u3308_o ,\FM_HW/_al_u3308_o }),
.c({HADDR[12],HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.mi({open_n77832,HADDR[10]}),
.fx({open_n77837,\FM_HW/_al_u3309_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3312|FM_HW/_al_u3313 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i126_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i127_004 }),
.f({\FM_HW/_al_u3312_o ,\FM_HW/_al_u3313_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUT1("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3314 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_30 }),
.b({\FM_HW/_al_u3312_o ,\FM_HW/_al_u3312_o }),
.c({\FM_HW/_al_u3313_o ,\FM_HW/_al_u3313_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n77876,HADDR[8]}),
.fx({open_n77881,\FM_HW/_al_u3314_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000010010001100),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000010010001100),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3317|FM_HW/_al_u3318 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_004 }),
.f({\FM_HW/_al_u3317_o ,\FM_HW/_al_u3318_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~C*~(~D*~B*~A))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000111100001110),
.MODE("LOGIC"))
\FM_HW/_al_u3319|FM_HW/_al_u2780 (
.a({\FM_HW/_al_u3317_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_005 }),
.b({\FM_HW/_al_u3318_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_005 }),
.c({HADDR[9],\FM_HW/_al_u2779_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u3319_o ,\FM_HW/_al_u2780_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000011001010),
.MODE("LOGIC"))
\FM_HW/_al_u3322|FM_HW/_al_u3324 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_004 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_004 }),
.c({\FM_HW/_al_u3321_o ,\FM_HW/_al_u3323_o }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(~C*~B)*~(A)*~(0)+~(~C*~B)*A*~(0)+~(~(~C*~B))*A*0+~(~C*~B)*A*0))"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~D*(~(~C*~B)*~(A)*~(1)+~(~C*~B)*A*~(1)+~(~(~C*~B))*A*1+~(~C*~B)*A*1))"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b0000000010101010),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3325|FM_HW/_al_u1043 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_21 ,\FM_HW/_al_u1022_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_20 ,\FM_HW/_al_u1032_o }),
.c({HADDR[9],\FM_HW/_al_u1042_o }),
.d({HADDR[8],HADDR[13]}),
.e({open_n77950,HADDR[10]}),
.f({\FM_HW/_al_u3325_o ,\FM_HW/_al_u1043_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(~C*~(D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b0000101000001111),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3330 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_22 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_23 }),
.c({HADDR[11],HADDR[11]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n77983,HADDR[8]}),
.fx({open_n77988,\FM_HW/_al_u3330_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*~(~A*~(C*~B)))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1011101000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3331|FM_HW/_al_u3893 (
.a({\FM_HW/_al_u3320_o ,open_n77991}),
.b({\FM_HW/_al_u3325_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3330_o ,\FM_HW/_al_u3437_o }),
.d({HADDR[12],\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/_al_u3331_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~(~D*C)*~(~B*A)))"),
//.LUT1("(~1*~(~(~D*C)*~(~B*A)))"),
.INIT_LUT0(16'b0010001011110010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3332 (
.a({\FM_HW/_al_u3267_o ,\FM_HW/_al_u3267_o }),
.b({\FM_HW/_al_u3288_o ,\FM_HW/_al_u3288_o }),
.c({\FM_HW/_al_u3309_o ,\FM_HW/_al_u3309_o }),
.d({\FM_HW/_al_u3331_o ,\FM_HW/_al_u3331_o }),
.mi({open_n78024,HADDR[13]}),
.fx({open_n78029,\FM_HW/_al_u3332_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3338|FM_HW/_al_u1457 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_004 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i351_001 }),
.c({\FM_HW/_al_u3337_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i350_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3338_o ,\FM_HW/_al_u1457_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1010101011111111),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3339|FM_HW/_al_u1006 (
.a({\FM_HW/_al_u3334_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i348_002 }),
.b({\FM_HW/_al_u3336_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i349_002 }),
.c({\FM_HW/_al_u3338_o ,\FM_HW/_al_u1005_o }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n78058}),
.f({\FM_HW/_al_u3339_o ,\FM_HW/_al_u1006_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(0*~C*~B))"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(D*~A*~(1*~C*~B))"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b0101010000000000),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3342|FM_HW/_al_u2471 (
.a({\FM_HW/_al_u3339_o ,\FM_HW/_al_u2465_o }),
.b({\FM_HW/_al_u3341_o ,\FM_HW/_al_u2468_o }),
.c({\FM_HW/_al_u678_o ,\FM_HW/_al_u2470_o }),
.d({HADDR[10],HADDR[10]}),
.e({open_n78081,HADDR[9]}),
.f({\FM_HW/_al_u3342_o ,\FM_HW/_al_u2471_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(~C*~(D*~(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b0000101000001111),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3347 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_82 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_83 }),
.c({HADDR[10],HADDR[10]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n78114,HADDR[8]}),
.fx({open_n78119,\FM_HW/_al_u3347_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)))"),
//.LUT1("(A*~(~D*~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)))"),
.INIT_LUT0(16'b1010101010100000),
.INIT_LUT1(16'b1010101010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3352 (
.a({\FM_HW/_al_u3347_o ,\FM_HW/_al_u3347_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_81 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_80 }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n78134,HADDR[8]}),
.fx({open_n78139,\FM_HW/_al_u3352_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(D*~C)*~(B*~A))"),
//.LUT1("(~1*~(D*~C)*~(B*~A))"),
.INIT_LUT0(16'b1011000010111011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3364 (
.a({\FM_HW/_al_u3355_o ,\FM_HW/_al_u3355_o }),
.b({\FM_HW/_al_u3358_o ,\FM_HW/_al_u3358_o }),
.c({\FM_HW/_al_u3360_o ,\FM_HW/_al_u3360_o }),
.d({\FM_HW/_al_u3363_o ,\FM_HW/_al_u3363_o }),
.mi({open_n78154,HADDR[10]}),
.fx({open_n78159,\FM_HW/_al_u3364_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUT1("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
.INIT_LUT0(16'b1111111111110000),
.INIT_LUT1(16'b1110111011101110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3380 (
.a({\FM_HW/_al_u3376_o ,\FM_HW/_al_u3376_o }),
.b({\FM_HW/_al_u3377_o ,\FM_HW/_al_u3377_o }),
.c({\FM_HW/_al_u3378_o ,\FM_HW/_al_u3378_o }),
.d({\FM_HW/_al_u3379_o ,\FM_HW/_al_u3379_o }),
.mi({open_n78174,HADDR[8]}),
.fx({open_n78179,\FM_HW/_al_u3380_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3381|FM_HW/_al_u3383 (
.a({open_n78182,\FM_HW/_al_u3381_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_004 ,\FM_HW/_al_u3382_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_004 ,HADDR[8]}),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3381_o ,\FM_HW/_al_u3383_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3382|FM_HW/_al_u2434 (
.a({open_n78207,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_006 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i366_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_006 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i367_004 ,\FM_HW/_al_u2433_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3382_o ,\FM_HW/_al_u2434_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3384|FM_HW/_al_u2980 (
.a({open_n78232,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i363_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i362_005 }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3384_o ,\FM_HW/_al_u2980_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3385|FM_HW/_al_u2981 (
.a({open_n78257,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_005 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_004 ,\FM_HW/_al_u2980_o }),
.d({HADDR[6],HADDR[7]}),
.f({\FM_HW/_al_u3385_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_90 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3389|FM_HW/_al_u2972 (
.a({\FM_HW/_al_u3388_o ,\FM_HW/_al_u2971_o }),
.b({\FM_HW/_al_u678_o ,HADDR[9]}),
.c({HADDR[7],HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_005 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_005 }),
.f({\FM_HW/_al_u3389_o ,\FM_HW/_al_u2972_o }));
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_MSLICE #(
//.LUT0("(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
//.LUT1("(~B*~A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001110101010),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3394|FM_HW/FM_Dump_Data_IQ/reg0_b5 (
.a({\FM_HW/_al_u3389_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B7_0 }),
.b({\FM_HW/_al_u3391_o ,\FM_HW/_al_u2987_o }),
.c({\FM_HW/_al_u3393_o ,\FM_HW/_al_u3072_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({\FM_HW/_al_u674_o ,HADDR[14]}),
.f({\FM_HW/_al_u3394_o ,open_n78317}),
.q({open_n78321,\FM_HW/rd_DUMP [5]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(0*~C*B))"),
//.LUT1("(D*~A*~(1*~C*B))"),
.INIT_LUT0(16'b0101010100000000),
.INIT_LUT1(16'b0101000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3397 (
.a({\FM_HW/_al_u3387_o ,\FM_HW/_al_u3387_o }),
.b({\FM_HW/_al_u3394_o ,\FM_HW/_al_u3394_o }),
.c({\FM_HW/_al_u3396_o ,\FM_HW/_al_u3396_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n78334,HADDR[10]}),
.fx({open_n78339,\FM_HW/_al_u3397_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100110011),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\FM_HW/_al_u3398|FM_HW/_al_u3399 (
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_004 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u3398_o ,\FM_HW/_al_u3399_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1100111100000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1010111100000000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3406|FM_HW/_al_u3400 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_004 ,\FM_HW/_al_u3398_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_004 ,\FM_HW/_al_u3399_o }),
.c({\FM_HW/_al_u3405_o ,\FM_HW/_al_u668_o }),
.d({HADDR[7],HADDR[10]}),
.e({open_n78366,HADDR[6]}),
.f({\FM_HW/_al_u3406_o ,\FM_HW/_al_u3400_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~C*~B*A*~(0*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~C*~B*A*~(1*~D))"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000001000000010),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3407|FM_HW/_al_u1436 (
.a({\FM_HW/_al_u3400_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_001 }),
.b({\FM_HW/_al_u3402_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_001 }),
.c({\FM_HW/_al_u3404_o ,\FM_HW/_al_u1435_o }),
.d({\FM_HW/_al_u3406_o ,HADDR[7]}),
.e({\FM_HW/_al_u678_o ,open_n78389}),
.f({\FM_HW/_al_u3407_o ,\FM_HW/_al_u1436_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(~0*~C*B))"),
//.LUT1("(D*~A*~(~1*~C*B))"),
.INIT_LUT0(16'b0101000100000000),
.INIT_LUT1(16'b0101010100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3417 (
.a({\FM_HW/_al_u3407_o ,\FM_HW/_al_u3407_o }),
.b({\FM_HW/_al_u3414_o ,\FM_HW/_al_u3414_o }),
.c({\FM_HW/_al_u3416_o ,\FM_HW/_al_u3416_o }),
.d({HADDR[11],HADDR[11]}),
.mi({open_n78422,HADDR[10]}),
.fx({open_n78427,\FM_HW/_al_u3417_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~(~D*B)*~(~C*A)))"),
//.LUT1("(~1*~(~(~D*B)*~(~C*A)))"),
.INIT_LUT0(16'b0000101011001110),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u3418 (
.a({\FM_HW/_al_u3353_o ,\FM_HW/_al_u3353_o }),
.b({\FM_HW/_al_u3375_o ,\FM_HW/_al_u3375_o }),
.c({\FM_HW/_al_u3397_o ,\FM_HW/_al_u3397_o }),
.d({\FM_HW/_al_u3417_o ,\FM_HW/_al_u3417_o }),
.mi({open_n78442,HADDR[13]}),
.fx({open_n78447,\FM_HW/_al_u3418_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3434|FM_HW/_al_u3916 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57 ,\FM_HW/_al_u3716_o }),
.f({\FM_HW/_al_u3434_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3435|FM_HW/_al_u1506 (
.a({open_n78476,\FM_HW/_al_u1503_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u1505_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],HADDR[9]}),
.d({\FM_HW/_al_u3434_o ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/_al_u1506_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3437|FM_HW/_al_u653 (
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4]}),
.f({\FM_HW/_al_u3437_o ,\FM_HW/_al_u653_o }));
// ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101000111000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u3438|FM_HW/FM_Dump_Data_IQ/reg2_b4 (
.a({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3420_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/n38 }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/n39 [4]}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u3438_o ,open_n78542}),
.q({open_n78546,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4]})); // ../rtl/demodulation/FM_Dump_Data.v(69)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3439|FM_HW/_al_u3436 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3440|FM_HW/_al_u3443 (
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [5]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [4]}),
.f({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3441|FM_HW/_al_u654 (
.a({\FM_HW/_al_u3440_o ,\FM_HW/_al_u653_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.f({\FM_HW/_al_u3441_o ,\FM_HW/_al_u654_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3442|FM_HW/_al_u678 (
.c({\FM_HW/_al_u3441_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_1 ,\FM_HW/_al_u678_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3444|FM_HW/_al_u3452 (
.a({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3443_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.f({\FM_HW/_al_u3444_o ,\FM_HW/_al_u3452_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3445 (
.c({open_n78679,\FM_HW/_al_u3444_o }),
.d({open_n78682,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({open_n78696,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(D*C*~B*A)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3446|FM_HW/_al_u3450 (
.a({\FM_HW/_al_u653_o ,\FM_HW/_al_u3440_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.f({\FM_HW/_al_u3446_o ,\FM_HW/_al_u3450_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3447|FM_HW/_al_u2705 (
.a({open_n78726,\FM_HW/_al_u2704_o }),
.b({open_n78727,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u3446_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_006 }),
.e({open_n78730,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_1 ,\FM_HW/_al_u2705_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3449|FM_HW/_al_u2311 (
.a({open_n78751,\FM_HW/_al_u2310_o }),
.b({open_n78752,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u3448_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i504_007 }),
.e({open_n78755,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i505_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_1_0 ,\FM_HW/_al_u2311_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3451|FM_HW/_al_u3453 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3454|FM_HW/_al_u3448 (
.a({open_n78800,\FM_HW/_al_u3437_o }),
.b(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7:6]),
.c(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8:7]),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.f({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3448_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3457|FM_HW/_al_u815 (
.a({open_n78821,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_003 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_003 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u814_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_1 ,\FM_HW/_al_u815_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010111111111100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010111111111100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3458|FM_HW/_al_u3109 (
.a({open_n78846,\FM_HW/_al_u3106_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3108_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_1_0_0 ,\FM_HW/_al_u3109_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3459|FM_HW/_al_u3479 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.f({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3479_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3460|FM_HW/_al_u1521 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i508_001 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i510_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_1 ,\FM_HW/_al_u1521_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+A*~(B)*~(C)*0+A*B*~(C)*0+A*~(B)*C*0+A*B*C*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+A*~(B)*~(C)*1+A*B*~(C)*1+A*~(B)*C*1+A*B*C*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0010011100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3461|FM_HW/_al_u1084 (
.a({open_n78923,\FM_HW/_al_u1079_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_124 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[10]}),
.e({open_n78926,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_1_0 ,\FM_HW/_al_u1084_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3462|FM_HW/_al_u2313 (
.a({open_n78947,\FM_HW/_al_u2312_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_007 }),
.e({open_n78950,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_1 ,\FM_HW/_al_u2313_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3463|FM_HW/_al_u2989 (
.a({open_n78971,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_005 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_005 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u2988_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_1_0_0_0_0 ,\FM_HW/_al_u2989_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3464|FM_HW/_al_u3474 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.f({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3474_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3465|FM_HW/_al_u3466 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3467|FM_HW/_al_u1531 (
.a({open_n79040,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i492_001 }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i493_001 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1530_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_1 ,\FM_HW/_al_u1531_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3468|FM_HW/_al_u3473 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3470|FM_HW/_al_u3471 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3472|FM_HW/_al_u820 (
.a({open_n79105,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i488_003 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i489_003 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u819_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3480|FM_HW/_al_u2998 (
.a({open_n79126,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i480_005 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i481_005 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u2997_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_1 ,\FM_HW/_al_u2998_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u3481 (
.b({open_n79149,\FM_HW/_al_u3479_o }),
.c({open_n79150,\FM_HW/_al_u3437_o }),
.d({open_n79153,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({open_n79171,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3482|FM_HW/_al_u3483 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_1_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
.INIT_LUT0(16'b0000110000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3484 (
.b({open_n79205,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12]}),
.c({open_n79206,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9]}),
.d({open_n79209,\FM_HW/FM_Dump_Data_IQ/n57 }),
.f({open_n79223,\FM_HW/_al_u3484_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3487|FM_HW/_al_u3486 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3488 (
.c({open_n79261,\FM_HW/_al_u3441_o }),
.d({open_n79264,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79282,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_1 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3489|FM_HW/_al_u804 (
.a({open_n79288,\FM_HW/_al_u801_o }),
.b({open_n79289,\FM_HW/_al_u803_o }),
.c({\FM_HW/_al_u3444_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_1_0_0 ,\FM_HW/_al_u804_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3490|FM_HW/_al_u2666 (
.a({open_n79310,HADDR[6]}),
.b({open_n79311,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_006 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_1 ,\FM_HW/_al_u2666_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u3491 (
.c({open_n79340,\FM_HW/_al_u3448_o }),
.d({open_n79343,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79361,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3492|FM_HW/_al_u3493 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3494|FM_HW/_al_u1544 (
.a({open_n79391,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_001 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_001 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u1543_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3495 (
.b({open_n79418,\FM_HW/_al_u3454_o }),
.c({open_n79419,\FM_HW/_al_u3437_o }),
.d({open_n79422,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79436,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3496|FM_HW/_al_u2354 (
.a({open_n79442,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i468_007 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i469_007 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2353_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_1 ,\FM_HW/_al_u2354_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3497|FM_HW/_al_u1545 (
.a({open_n79467,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_116 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_117 }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_1_0_0 ,\FM_HW/_al_u1545_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3498|FM_HW/_al_u3499 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3500|FM_HW/_al_u3501 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_1_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3502|FM_HW/_al_u3505 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u3503 (
.b({open_n79560,\FM_HW/_al_u3464_o }),
.c({open_n79561,\FM_HW/_al_u3437_o }),
.d({open_n79564,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79582,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010101111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3504|FM_HW/_al_u2341 (
.a({open_n79588,\FM_HW/_al_u2339_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u2340_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[10]}),
.e({open_n79591,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_1_0_1 ,\FM_HW/_al_u2341_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3506|FM_HW/_al_u3507 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3508|FM_HW/_al_u3509 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(0*~C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~A*~(1*~C*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000001010001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3510|FM_HW/_al_u2358 (
.a({open_n79660,\FM_HW/_al_u2348_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u2355_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u2357_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[11]}),
.e({open_n79663,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_1 ,\FM_HW/_al_u2358_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3511 (
.b({open_n79686,\FM_HW/_al_u3474_o }),
.c({open_n79687,\FM_HW/_al_u3437_o }),
.d({open_n79690,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79708,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3512|FM_HW/_al_u3078 (
.a({open_n79714,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_004 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_004 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_1 ,\FM_HW/_al_u3078_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3513|FM_HW/_al_u3027 (
.a({open_n79739,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i455_005 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i454_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_1_0_0 ,\FM_HW/_al_u3027_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0101000000110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3514|FM_HW/_al_u3093 (
.a({open_n79764,\FM_HW/_al_u3090_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3092_o }),
.c({\FM_HW/_al_u653_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_1 ,\FM_HW/_al_u3093_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3515 (
.b({open_n79787,\FM_HW/_al_u3479_o }),
.c({open_n79788,\FM_HW/_al_u3437_o }),
.d({open_n79791,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({open_n79805,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3516|FM_HW/_al_u3517 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
.INIT_LUT0(16'b0011000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3518 (
.b({open_n79835,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({open_n79836,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.d({open_n79839,\FM_HW/_al_u3434_o }),
.f({open_n79853,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3520|FM_HW/_al_u3519 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3521|FM_HW/_al_u3522 (
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(C*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*A*~(C*~B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000101000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000101000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3523|FM_HW/_al_u774 (
.a({open_n79907,\FM_HW/_al_u771_o }),
.b({open_n79908,\FM_HW/_al_u773_o }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_1 ,\FM_HW/_al_u774_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3524 (
.c({open_n79937,\FM_HW/_al_u3448_o }),
.d({open_n79940,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({open_n79958,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3525|FM_HW/_al_u3526 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3527|FM_HW/_al_u3528 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3529|FM_HW/_al_u3530 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3531|FM_HW/_al_u3035 (
.a({open_n80044,HADDR[6]}),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i443_005 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i442_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_1 ,\FM_HW/_al_u3035_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3532|FM_HW/_al_u3036 (
.a({open_n80069,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_005 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_005 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3035_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_1_0 ,\FM_HW/_al_u3036_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3533|FM_HW/_al_u2370 (
.a({open_n80090,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i440_007 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i441_007 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2369_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_1 ,\FM_HW/_al_u2370_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3534 (
.b({open_n80117,\FM_HW/_al_u3459_o }),
.c({open_n80118,\FM_HW/_al_u3443_o }),
.d({open_n80121,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({open_n80135,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3535|FM_HW/_al_u3538 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3536 (
.b({open_n80169,\FM_HW/_al_u3464_o }),
.c({open_n80170,\FM_HW/_al_u3437_o }),
.d({open_n80173,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({open_n80187,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*A*~(C*~B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000010001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3537|FM_HW/_al_u1897 (
.a({open_n80193,\FM_HW/_al_u1894_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u1896_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_1_0_1 ,\FM_HW/_al_u1897_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3539|FM_HW/_al_u3542 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3540 (
.b({open_n80238,\FM_HW/_al_u3469_o }),
.c({open_n80239,\FM_HW/_al_u3437_o }),
.d({open_n80242,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({open_n80260,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~((C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0))*~(D)+~A*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*~(D)+~(~A)*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*D+~A*(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~((C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1))*~(D)+~A*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*~(D)+~(~A)*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*D+~A*(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000001010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100110001010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3541|FM_HW/_al_u3157 (
.a({open_n80266,\FM_HW/_al_u3152_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_107 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_106 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[9]}),
.e({open_n80269,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(~C*B)))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1010101000001000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3543|FM_HW/_al_u3050 (
.a({open_n80290,\FM_HW/_al_u3040_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3047_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3049_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_1 ,\FM_HW/_al_u3050_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~(~B*~A)))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000100001111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3544|FM_HW/_al_u2665 (
.a({open_n80311,\FM_HW/_al_u2653_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u2664_o }),
.c({\FM_HW/_al_u3437_o ,HADDR[12]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[11]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_1_0 ,\FM_HW/_al_u2665_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~B*~(A)*~(D)+~B*A*~(D)+~(~B)*A*D+~B*A*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1010000000110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3545|FM_HW/_al_u3158 (
.a({open_n80332,\FM_HW/_al_u3146_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B3_26 }),
.c({\FM_HW/_al_u3440_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_1 ,\FM_HW/_al_u3158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3546|FM_HW/_al_u2363 (
.a({open_n80353,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i423_007 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i422_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_1_0_0 ,\FM_HW/_al_u2363_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3547|FM_HW/_al_u3548 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3549|FM_HW/_al_u2367 (
.a({open_n80400,\FM_HW/_al_u2366_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_007 }),
.e({open_n80403,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_1 ,\FM_HW/_al_u2367_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3550|FM_HW/_al_u2646 (
.a({open_n80424,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i416_006 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i417_006 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u2645_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_1_0_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_104 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3553|FM_HW/_al_u3552 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3554|FM_HW/_al_u3555 (
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3556|FM_HW/_al_u1588 (
.a({open_n80497,\FM_HW/_al_u1585_o }),
.b({open_n80498,\FM_HW/_al_u1587_o }),
.c({\FM_HW/_al_u3446_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_1 ,\FM_HW/_al_u1588_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3557|FM_HW/_al_u3559 (
.c({\FM_HW/_al_u3448_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("~((~B*~A)*~((~D*~C))*~(0)+(~B*~A)*(~D*~C)*~(0)+~((~B*~A))*(~D*~C)*0+(~B*~A)*(~D*~C)*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("~((~B*~A)*~((~D*~C))*~(1)+(~B*~A)*(~D*~C)*~(1)+~((~B*~A))*(~D*~C)*1+(~B*~A)*(~D*~C)*1)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1110111011101110),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3558|FM_HW/_al_u1163 (
.a({open_n80547,\FM_HW/_al_u1159_o }),
.b({open_n80548,\FM_HW/_al_u1160_o }),
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u1161_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/_al_u1162_o }),
.e({open_n80551,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B2_51 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~0*~A*~(C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(~1*~A*~(C*B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3560|FM_HW/_al_u2338 (
.a({open_n80572,\FM_HW/_al_u2327_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2334_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u2337_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[12]}),
.e({open_n80575,HADDR[11]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_1 ,\FM_HW/_al_u2338_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3561|FM_HW/_al_u3563 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3562|FM_HW/_al_u3052 (
.a({open_n80622,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_005 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_005 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3051_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_1_0_1 ,\FM_HW/_al_u3052_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000101000001100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000101000001100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3564|FM_HW/_al_u3126 (
.a({open_n80643,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 }),
.c({\FM_HW/_al_u653_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_1 ,\FM_HW/_al_u3126_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~(B*~D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100000011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3565|FM_HW/_al_u2337 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3437_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/_al_u2336_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_1_0 ,\FM_HW/_al_u2337_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3566|FM_HW/_al_u2324 (
.a({open_n80694,\FM_HW/_al_u2323_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_007 }),
.e({open_n80697,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_1 ,\FM_HW/_al_u2324_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3567|FM_HW/_al_u3134 (
.a({open_n80718,\FM_HW/_al_u3133_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i400_004 }),
.e({open_n80721,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i401_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_1_0_0_0_0 ,\FM_HW/_al_u3134_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3568|FM_HW/_al_u2713 (
.a({open_n80742,\FM_HW/_al_u2712_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u653_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_006 }),
.e({open_n80745,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_1 ,\FM_HW/_al_u2713_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*~B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(D*~C*~B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3569|FM_HW/_al_u1870 (
.a({open_n80766,\FM_HW/_al_u1867_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u1868_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u1869_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_1_0 ,\FM_HW/_al_u1870_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3570|FM_HW/_al_u3120 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_98 }),
.c({\FM_HW/_al_u3440_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_99 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_49 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3571 (
.b({open_n80815,\FM_HW/_al_u3464_o }),
.c({open_n80816,\FM_HW/_al_u3443_o }),
.d({open_n80819,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({open_n80837,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3572|FM_HW/_al_u3573 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3574|FM_HW/_al_u3575 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("~((~C*~B)*~((~D*~A))*~(0)+(~C*~B)*(~D*~A)*~(0)+~((~C*~B))*(~D*~A)*0+(~C*~B)*(~D*~A)*0)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~((~C*~B)*~((~D*~A))*~(1)+(~C*~B)*(~D*~A)*~(1)+~((~C*~B))*(~D*~A)*1+(~C*~B)*(~D*~A)*1)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111110011111100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111111110101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3576|FM_HW/_al_u1887 (
.a({open_n80887,\FM_HW/_al_u1870_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u1876_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u1881_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/_al_u1886_o }),
.e({open_n80890,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B4_12 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3577 (
.b({open_n80913,\FM_HW/_al_u3474_o }),
.c({open_n80914,\FM_HW/_al_u3437_o }),
.d({open_n80917,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({open_n80935,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3578|FM_HW/_al_u3070 (
.a({open_n80941,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_96 }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_97 }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_1 ,\FM_HW/_al_u3070_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3579 (
.b({open_n80964,\FM_HW/_al_u3474_o }),
.c({open_n80965,\FM_HW/_al_u3443_o }),
.d({open_n80968,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({open_n80986,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010101111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3580|FM_HW/_al_u2709 (
.a({open_n80992,\FM_HW/_al_u2707_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u2708_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u678_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,HADDR[10]}),
.e({open_n80995,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_1 ,\FM_HW/_al_u2709_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3581|FM_HW/_al_u3125 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_96 }),
.c({\FM_HW/_al_u3437_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B1_97 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b4/B2_48 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3582|FM_HW/_al_u3583 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0_0_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3584|FM_HW/_al_u3387 (
.a({open_n81060,\FM_HW/_al_u3380_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u3383_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u3386_o }),
.d({\FM_HW/_al_u3434_o ,HADDR[10]}),
.e({open_n81063,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/_al_u3387_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3585|FM_HW/_al_u1955 (
.a({open_n81084,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_94 }),
.b({open_n81085,\FM_HW/_al_u1953_o }),
.c({\FM_HW/_al_u654_o ,\FM_HW/_al_u1954_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[9]}),
.e({open_n81088,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_1 ,\FM_HW/_al_u1955_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3586 (
.c({open_n81113,\FM_HW/_al_u3438_o }),
.d({open_n81116,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({open_n81134,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3587|FM_HW/_al_u3588 (
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_1_0_0 }));
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("~(~(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0)*~(A)*~(D)+~(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0)*A*~(D)+~(~(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0))*A*D+~(~B*~(C)*~(0)+~B*C*~(0)+~(~B)*C*0+~B*C*0)*A*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1)*~(A)*~(D)+~(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1)*A*~(D)+~(~(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1))*A*D+~(~B*~(C)*~(1)+~B*C*~(1)+~(~B)*C*1+~B*C*1)*A*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101010111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3589|FM_HW/FM_Dump_Data_IQ/reg0_b2 (
.a({open_n81168,\FM_HW/_al_u1171_o }),
.b({open_n81169,\FM_HW/_al_u1257_o }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u1344_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[14]}),
.e({open_n81170,HADDR[12]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_1 ,open_n81186}),
.q({open_n81190,\FM_HW/rd_DUMP [2]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3590|FM_HW/_al_u2985 (
.b({open_n81193,HADDR[9]}),
.c({\FM_HW/_al_u3448_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_91 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_1_0 ,\FM_HW/_al_u2985_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0101000000110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3591|FM_HW/_al_u2448 (
.a({open_n81218,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_95 }),
.b({open_n81219,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_94 }),
.c({\FM_HW/_al_u3450_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_1 ,\FM_HW/_al_u2448_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3592|FM_HW/_al_u2968 (
.c({\FM_HW/_al_u3452_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_94 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_1_0_0_0 ,\FM_HW/_al_u2968_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3593|FM_HW/_al_u3596 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_0 }));
// ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000001011100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3595|FM_HW/FM_Dump_Data_IQ/reg0_b7 (
.a({open_n81290,\FM_HW/_al_u2123_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2380_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/n61 ),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[13]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_0_1 ,open_n81304}),
.q({open_n81308,\FM_HW/rd_DUMP [7]})); // ../rtl/demodulation/FM_Dump_Data.v(160)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3597|FM_HW/_al_u3598 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3599|FM_HW/_al_u2971 (
.a({open_n81335,HADDR[7]}),
.b({\FM_HW/_al_u3459_o ,HADDR[6]}),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_1 ,\FM_HW/_al_u2971_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3600|FM_HW/_al_u2439 (
.a({open_n81360,HADDR[6]}),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i371_006 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i370_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_0_0_0 ,\FM_HW/_al_u2439_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3601|FM_HW/_al_u3602 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3603|FM_HW/_al_u3604 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3605|FM_HW/_al_u3606 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3607|FM_HW/_al_u3608 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3609|FM_HW/_al_u1963 (
.b({\FM_HW/_al_u3474_o ,open_n81483}),
.c({\FM_HW/_al_u653_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_88 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_1_1 ,\FM_HW/_al_u1963_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010111111111100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010111111111100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3611|FM_HW/_al_u995 (
.a({open_n81508,\FM_HW/_al_u992_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u994_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_1 ,\FM_HW/_al_u995_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3612|FM_HW/_al_u1507 (
.a({open_n81533,\FM_HW/_al_u1499_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u1501_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1506_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_1_0_0 ,\FM_HW/_al_u1507_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3613|FM_HW/_al_u3614 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3615|FM_HW/_al_u3616 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_0_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1110111011101110),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3617|FM_HW/_al_u1171 (
.a({open_n81602,\FM_HW/_al_u1043_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u1085_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u1128_o }),
.d({\FM_HW/_al_u3484_o ,\FM_HW/_al_u1170_o }),
.e({open_n81605,HADDR[12]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/_al_u1171_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3618|FM_HW/_al_u2118 (
.a({open_n81626,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_007 }),
.b({open_n81627,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_007 }),
.c({\FM_HW/_al_u654_o ,\FM_HW/_al_u2117_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((~C*~B))*~(D)+A*(~C*~B)*~(D)+~(A)*(~C*~B)*D+A*(~C*~B)*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111110001010101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3619|FM_HW/_al_u2121 (
.a({open_n81652,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_86 }),
.b({open_n81653,\FM_HW/_al_u2119_o }),
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u2120_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_1_0 ,\FM_HW/_al_u2121_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3620|FM_HW/_al_u1459 (
.c({\FM_HW/_al_u3441_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/_al_u1458_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_1 ,\FM_HW/_al_u1459_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3621 (
.c({open_n81706,\FM_HW/_al_u3444_o }),
.d({open_n81709,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({open_n81723,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3622|FM_HW/_al_u3623 (
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u3448_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3624|FM_HW/_al_u3625 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3626|FM_HW/_al_u1456 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u1455_o }),
.c({\FM_HW/_al_u653_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/_al_u1453_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_1 ,\FM_HW/_al_u1456_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3627 (
.b({open_n81809,\FM_HW/_al_u3454_o }),
.c({open_n81810,\FM_HW/_al_u3437_o }),
.d({open_n81813,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({open_n81831,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3628|FM_HW/_al_u978 (
.a({open_n81837,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_003 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_003 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u977_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_85 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000010101100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3629|FM_HW/_al_u1011 (
.a({open_n81862,\FM_HW/_al_u1008_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u1010_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_1_0_0 ,\FM_HW/_al_u1011_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3630|FM_HW/_al_u3631 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3632|FM_HW/_al_u971 (
.a({open_n81905,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i336_003 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i337_003 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u970_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3633|FM_HW/_al_u1453 (
.a({open_n81926,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i340_001 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i341_001 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_1_0_0_0_0 ,\FM_HW/_al_u1453_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3634|FM_HW/_al_u3635 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3636|FM_HW/_al_u3637 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~(~B*~A)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(~D*~(~B*~A)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000000010000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000010000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3638|FM_HW/_al_u3353 (
.a({open_n81999,\FM_HW/_al_u3342_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3352_o }),
.c({\FM_HW/_al_u653_o ,HADDR[12]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[11]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_1_1 ,\FM_HW/_al_u3353_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100101011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100101011110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3640|FM_HW/_al_u1473 (
.a({open_n82024,\FM_HW/_al_u1465_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u1467_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1472_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_1 ,\FM_HW/_al_u1473_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111111000101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3641|FM_HW/_al_u969 (
.a({open_n82049,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_80 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u963_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u968_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_1_0_0_0 ,\FM_HW/_al_u969_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3642|FM_HW/_al_u3643 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3644|FM_HW/_al_u3645 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3646|FM_HW/_al_u3647 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3648|FM_HW/_al_u3649 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\FM_HW/_al_u3650|FM_HW/_al_u3594 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u3454_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u3437_o }),
.d({\FM_HW/_al_u3434_o ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_1_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3651|FM_HW/_al_u3404 (
.a({open_n82188,\FM_HW/_al_u3403_o }),
.b({open_n82189,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u654_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_004 }),
.e({open_n82192,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_1 ,\FM_HW/_al_u3404_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3652|FM_HW/_al_u2935 (
.a({open_n82213,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_005 }),
.b({open_n82214,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_005 }),
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u2934_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_78 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3653|FM_HW/_al_u1126 (
.a({open_n82235,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i312_002 }),
.b({open_n82236,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i313_002 }),
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u1125_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_1 ,\FM_HW/_al_u1126_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3654|FM_HW/_al_u3658 (
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3655|FM_HW/_al_u3656 (
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u3448_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010000011000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010000011000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3657|FM_HW/_al_u1451 (
.a({open_n82313,\FM_HW/_al_u1440_o }),
.b({open_n82314,\FM_HW/_al_u1450_o }),
.c({\FM_HW/_al_u3450_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_1_0_0_1 ,\FM_HW/_al_u1451_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~(B)*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*C*~(0)+~(A)*B*C*~(0)+~(A)*~(B)*~(C)*0+~(A)*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*(A*~(B)*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*C*~(1)+~(A)*B*C*~(1)+~(A)*~(B)*~(C)*1+~(A)*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0111001000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0101010100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3659|FM_HW/_al_u2943 (
.a({open_n82339,\FM_HW/_al_u2938_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2940_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_76 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[10]}),
.e({open_n82342,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_1_1 ,\FM_HW/_al_u2943_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3661|FM_HW/_al_u3662 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3663|FM_HW/_al_u1444 (
.a({open_n82385,\FM_HW/_al_u1443_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u653_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i296_001 }),
.e({open_n82388,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i297_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_1 ,\FM_HW/_al_u1444_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3664|FM_HW/_al_u2414 (
.a({open_n82409,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_77 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u2412_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u2413_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[9]}),
.e({open_n82412,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_1_0 ,\FM_HW/_al_u2414_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3665|FM_HW/_al_u3666 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_1_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000000110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3667|FM_HW/_al_u3374 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u653_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/_al_u3373_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_1 ,\FM_HW/_al_u3374_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3668 (
.b({open_n82483,\FM_HW/_al_u3464_o }),
.c({open_n82484,\FM_HW/_al_u3437_o }),
.d({open_n82487,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({open_n82505,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011011000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3669|FM_HW/_al_u2068 (
.a({open_n82511,\FM_HW/_al_u2063_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_75 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_74 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[10]}),
.e({open_n82514,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_1 ,\FM_HW/_al_u2068_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B*~A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011100000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3670|FM_HW/_al_u2404 (
.a({open_n82535,\FM_HW/_al_u2398_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u2403_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_1_0_0 ,\FM_HW/_al_u2404_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3671|FM_HW/_al_u3674 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3672 (
.b({open_n82580,\FM_HW/_al_u3469_o }),
.c({open_n82581,\FM_HW/_al_u3437_o }),
.d({open_n82584,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({open_n82598,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111010100000011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111010100000011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3673|FM_HW/_al_u952 (
.a({open_n82604,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_73 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_72 }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_1_0_0_1 ,\FM_HW/_al_u952_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3675|FM_HW/_al_u3676 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3677|FM_HW/_al_u1441 (
.a({open_n82655,HADDR[7]}),
.b({\FM_HW/_al_u3474_o ,HADDR[6]}),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_1 ,\FM_HW/_al_u1441_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3678|FM_HW/_al_u1111 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/_al_u1110_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_1_0_0 ,\FM_HW/_al_u1111_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3679|FM_HW/_al_u3680 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3681|FM_HW/_al_u1102 (
.a({open_n82732,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i288_002 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i289_002 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1101_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_1 ,\FM_HW/_al_u1102_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3682 (
.b({open_n82755,\FM_HW/_al_u3479_o }),
.c({open_n82756,\FM_HW/_al_u3443_o }),
.d({open_n82759,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1 }),
.f({open_n82773,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_1_0_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*~(A)*~(D)+~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*A*~(D)+~(~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0))*A*D+~(C*~(B)*~(0)+C*B*~(0)+~(C)*B*0+C*B*0)*A*D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("~(~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*~(A)*~(D)+~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*A*~(D)+~(~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1))*A*D+~(C*~(B)*~(1)+C*B*~(1)+~(C)*B*1+C*B*1)*A*D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0101010111110000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0101010111001100),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3683|FM_HW/_al_u2210 (
.a({open_n82779,\FM_HW/_al_u2165_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u2187_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u2209_o }),
.d({\FM_HW/_al_u3484_o ,HADDR[12]}),
.e({open_n82782,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B6_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3685|FM_HW/_al_u3684 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3686|FM_HW/_al_u3687 (
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3688|FM_HW/_al_u2400 (
.a({open_n82855,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_006 }),
.b({open_n82856,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_006 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u2399_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_73 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~D*C*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011001100100011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3689|FM_HW/_al_u955 (
.a({open_n82881,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_74 }),
.b({open_n82882,HADDR[10]}),
.c({\FM_HW/_al_u3448_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_1_0 ,\FM_HW/_al_u955_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+~(A)*B*~(C)*0+A*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+~(A)*B*~(C)*1+A*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011100100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3690|FM_HW/_al_u2012 (
.a({open_n82903,\FM_HW/_al_u2007_o }),
.b({open_n82904,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_74 }),
.c({\FM_HW/_al_u3450_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_75 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[10]}),
.e({open_n82907,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_1 ,\FM_HW/_al_u2012_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3691|FM_HW/_al_u3416 (
.a({open_n82928,\FM_HW/_al_u3415_o }),
.b({open_n82929,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u3452_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i292_004 }),
.e({open_n82932,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i293_004 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_1_0_0_0 ,\FM_HW/_al_u3416_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3692|FM_HW/_al_u3695 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3693 (
.b({open_n82981,\FM_HW/_al_u3454_o }),
.c({open_n82982,\FM_HW/_al_u3437_o }),
.d({open_n82985,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({open_n82999,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3694|FM_HW/_al_u2386 (
.a({open_n83005,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_006 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_006 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2385_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_64 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3696|FM_HW/_al_u2054 (
.b({\FM_HW/_al_u3459_o ,HADDR[9]}),
.c({\FM_HW/_al_u653_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_69 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_1_1 ,\FM_HW/_al_u2054_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3698|FM_HW/_al_u3699 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_1_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3700|FM_HW/_al_u1107 (
.a({open_n83074,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i268_002 }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i269_002 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u1106_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3701|FM_HW/_al_u3703 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3702|FM_HW/_al_u2034 (
.b({\FM_HW/_al_u3464_o ,HADDR[9]}),
.c({\FM_HW/_al_u3440_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_66 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_1_0_1 ,\FM_HW/_al_u2034_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3704|FM_HW/_al_u3705 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~(D*A)))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111000001100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3706|FM_HW/_al_u937 (
.a({open_n83169,\FM_HW/_al_u926_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u936_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_1 ,\FM_HW/_al_u937_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3707|FM_HW/_al_u1479 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_65 }),
.c({\FM_HW/_al_u3443_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_64 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_1_0_0_0 ,\FM_HW/_al_u1479_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3708|FM_HW/_al_u3709 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3710|FM_HW/_al_u3711 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(~B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0101010001010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0100010001000101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3712|FM_HW/_al_u1113 (
.a({open_n83264,HADDR[8]}),
.b({\FM_HW/_al_u3479_o ,HADDR[7]}),
.c({\FM_HW/_al_u653_o ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i256_002 }),
.e({open_n83267,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i257_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_1 ,\FM_HW/_al_u1113_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3713 (
.b({open_n83290,\FM_HW/_al_u3479_o }),
.c({open_n83291,\FM_HW/_al_u3437_o }),
.d({open_n83294,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 }),
.f({open_n83308,\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~0*~A*~(C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(~1*~A*~(C*B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3714|FM_HW/_al_u3375 (
.a({open_n83314,\FM_HW/_al_u3364_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3371_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3374_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[12]}),
.e({open_n83317,HADDR[11]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_1 ,\FM_HW/_al_u3375_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~(D*~B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111000001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111000001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3715|FM_HW/_al_u2384 (
.a({open_n83338,\FM_HW/_al_u2382_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u2383_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_1_0_0_0_0_0_0_0_0 ,\FM_HW/_al_u2384_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3716|FM_HW/_al_u3750 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57 ,\FM_HW/FM_Dump_Data_IQ/n57 }),
.f({\FM_HW/_al_u3716_o ,\FM_HW/_al_u3750_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3719|FM_HW/_al_u2238 (
.a({open_n83389,\FM_HW/_al_u2237_o }),
.b({open_n83390,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u3438_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_007 }),
.e({open_n83393,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_0 ,\FM_HW/_al_u2238_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011000001010000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000001010000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3720|FM_HW/_al_u1288 (
.a({open_n83414,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_62 }),
.b({open_n83415,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_63 }),
.c({\FM_HW/_al_u3441_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_1 ,\FM_HW/_al_u1288_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3721|FM_HW/_al_u3718 (
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3722|FM_HW/_al_u1684 (
.a({open_n83468,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_001 }),
.b({open_n83469,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_001 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u1683_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_62 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\FM_HW/_al_u3723 (
.c({open_n83498,\FM_HW/_al_u3448_o }),
.d({open_n83501,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({open_n83519,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~(~C*~B*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111111000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3724|FM_HW/_al_u1830 (
.a({open_n83525,\FM_HW/_al_u1825_o }),
.b({open_n83526,\FM_HW/_al_u1827_o }),
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u1829_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_1 ,\FM_HW/_al_u1830_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3725|FM_HW/_al_u1827 (
.a({open_n83551,\FM_HW/_al_u1826_o }),
.b({open_n83552,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u3452_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i248_000 }),
.e({open_n83555,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i249_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_1_0_0_0 ,\FM_HW/_al_u1827_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3726|FM_HW/_al_u3727 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000110000000101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000110000000101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3728|FM_HW/_al_u2764 (
.a({open_n83602,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b5/B1_60 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2763_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_1 ,\FM_HW/_al_u2764_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(~A*~(B)*~(D)+~A*B*~(D)+~(~A)*B*D+~A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000110000000101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3729|FM_HW/_al_u1283 (
.a({open_n83627,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_60 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u1282_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_1_0_0 ,\FM_HW/_al_u1283_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(D*~B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110000011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110000011110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3730|FM_HW/_al_u2770 (
.a({open_n83648,\FM_HW/_al_u2764_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u2769_o }),
.c({\FM_HW/_al_u653_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_1 ,\FM_HW/_al_u2770_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3731|FM_HW/_al_u3733 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3732 (
.b({open_n83697,\FM_HW/_al_u3459_o }),
.c({open_n83698,\FM_HW/_al_u3440_o }),
.d({open_n83701,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({open_n83719,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_1_0_0_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3734|FM_HW/_al_u1292 (
.a({open_n83725,HADDR[6]}),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i239_002 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i238_002 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_1 ,\FM_HW/_al_u1292_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3735|FM_HW/_al_u1293 (
.a({open_n83750,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i236_002 }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i237_002 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u1292_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_1_0 ,\FM_HW/_al_u1293_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3736|FM_HW/_al_u3737 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*~D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~B*~A*~(1*~D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3738|FM_HW/_al_u1677 (
.a({open_n83797,\FM_HW/_al_u1670_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u1672_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u1674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/_al_u1676_o }),
.e({open_n83800,\FM_HW/_al_u674_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_1 ,\FM_HW/_al_u1677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3739|FM_HW/_al_u1834 (
.a({open_n83821,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i232_000 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i234_000 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u1833_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_1_0 ,\FM_HW/_al_u1834_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3740|FM_HW/_al_u3741 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3744|FM_HW/_al_u1669 (
.a({open_n83872,HADDR[7]}),
.b({\FM_HW/_al_u3474_o ,HADDR[6]}),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_1 ,\FM_HW/_al_u1669_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3745|FM_HW/_al_u3198 (
.a({open_n83897,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i228_004 }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i229_004 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3197_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_0_0 ,\FM_HW/_al_u3198_o }));
// ../rtl/peripherals/KeyScan.v(16)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3746|scan_unit/reg0_b2 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u653_o }),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.mi({open_n83935,row_pad[1]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_1 }),
.q({open_n83940,row_pad[2]})); // ../rtl/peripherals/KeyScan.v(16)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3747 (
.b({open_n83943,\FM_HW/_al_u3479_o }),
.c({open_n83944,\FM_HW/_al_u3437_o }),
.d({open_n83947,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({open_n83965,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3748|FM_HW/_al_u3749 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3751|FM_HW/_al_u3469 (
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [7]}),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/FM_Dump_Data_IQ/dump_data_addr [8]}),
.d({\FM_HW/_al_u3750_o ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/_al_u3469_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1010110011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3752|FM_HW/_al_u1657 (
.a({open_n84015,\FM_HW/_al_u1654_o }),
.b({open_n84016,\FM_HW/_al_u1656_o }),
.c({\FM_HW/_al_u654_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_1 ,\FM_HW/_al_u1657_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3753 (
.c({open_n84041,\FM_HW/_al_u3438_o }),
.d({open_n84044,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({open_n84062,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3754|FM_HW/_al_u3755 (
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3756|FM_HW/_al_u2730 (
.a({open_n84092,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i192_005 }),
.b({open_n84093,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i193_005 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u2729_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_1 ,\FM_HW/_al_u2730_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3757 (
.c({open_n84118,\FM_HW/_al_u3448_o }),
.d({open_n84121,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({open_n84135,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3758|FM_HW/_al_u3759 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3760|FM_HW/_al_u3761 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3762|FM_HW/_al_u2493 (
.a({open_n84191,\FM_HW/_al_u2482_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2492_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_1 ,\FM_HW/_al_u2493_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3763|FM_HW/_al_u1658 (
.a({open_n84212,\FM_HW/_al_u1650_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u1652_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1657_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_1_0_0 ,\FM_HW/_al_u1658_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3764|FM_HW/_al_u3767 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(B*~D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000000110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3766|FM_HW/_al_u2748 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/_al_u2747_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_1_0_0_0_1 ,\FM_HW/_al_u2748_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3770|FM_HW/_al_u3771 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3772|FM_HW/_al_u2227 (
.a({open_n84311,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i200_007 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i201_007 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u2226_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_1 ,\FM_HW/_al_u2227_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3773 (
.b({open_n84338,\FM_HW/_al_u3469_o }),
.c({open_n84339,\FM_HW/_al_u3437_o }),
.d({open_n84342,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({open_n84356,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3774|FM_HW/_al_u3775 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3778|FM_HW/_al_u3779 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3780|FM_HW/_al_u3783 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3781 (
.b({open_n84438,\FM_HW/_al_u3479_o }),
.c({open_n84439,\FM_HW/_al_u3437_o }),
.d({open_n84442,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.f({open_n84456,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100000011),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3782|FM_HW/_al_u2731 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/_al_u2730_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_0_0_1 ,\FM_HW/_al_u2731_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3785|FM_HW/_al_u2846 (
.b({open_n84486,\FM_HW/_al_u2845_o }),
.c({\FM_HW/_al_u654_o ,HADDR[10]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/_al_u2840_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_1 ,\FM_HW/_al_u2846_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3786|FM_HW/_al_u2529 (
.b({open_n84509,\FM_HW/_al_u2528_o }),
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u674_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/_al_u2526_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_1_0 ,\FM_HW/_al_u2529_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3787 (
.c({open_n84534,\FM_HW/_al_u3441_o }),
.d({open_n84537,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({open_n84555,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*A*~(D*~C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*~(~B*A*~(D*~C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1101111111011101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3788|FM_HW/_al_u2534 (
.a({open_n84561,\FM_HW/_al_u2529_o }),
.b({open_n84562,\FM_HW/_al_u2531_o }),
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u2533_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/_al_u668_o }),
.e({open_n84565,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_1_0_0 ,\FM_HW/_al_u2534_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3789|FM_HW/_al_u3790 (
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u3448_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3791|FM_HW/_al_u3792 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3793|FM_HW/_al_u3794 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3795|FM_HW/_al_u3796 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3797|FM_HW/_al_u3798 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3799|FM_HW/_al_u3800 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3801|FM_HW/_al_u3802 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3803|FM_HW/_al_u2825 (
.a({open_n84756,HADDR[6]}),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i175_005 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i174_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_1 ,\FM_HW/_al_u2825_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3804|FM_HW/_al_u3805 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u653_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3806|FM_HW/_al_u2280 (
.a({open_n84807,\FM_HW/_al_u2279_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u3437_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i172_007 }),
.e({open_n84810,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i173_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_1_0 ,\FM_HW/_al_u2280_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3807|FM_HW/_al_u3808 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010101000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111110000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3809|FM_HW/_al_u3244 (
.a({open_n84853,\FM_HW/_al_u3233_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3238_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3243_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,HADDR[11]}),
.e({open_n84856,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_1 ,\FM_HW/_al_u3244_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3810|FM_HW/_al_u668 (
.b({\FM_HW/_al_u3474_o ,open_n84879}),
.c({\FM_HW/_al_u3437_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_1_0 ,\FM_HW/_al_u668_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B*~A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011100000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3811|FM_HW/_al_u2835 (
.a({open_n84904,\FM_HW/_al_u2829_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u2834_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_1 ,\FM_HW/_al_u2835_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3812 (
.b({open_n84927,\FM_HW/_al_u3474_o }),
.c({open_n84928,\FM_HW/_al_u3443_o }),
.d({open_n84931,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({open_n84945,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3813|FM_HW/_al_u3814 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3815|FM_HW/_al_u2278 (
.a({open_n84977,\FM_HW/_al_u2277_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u678_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_007 }),
.e({open_n84980,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_1 ,\FM_HW/_al_u2278_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*((~C*B)*~(A)*~(0)+(~C*B)*A*~(0)+~((~C*B))*A*0+(~C*B)*A*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*((~C*B)*~(A)*~(1)+(~C*B)*A*~(1)+~((~C*B))*A*1+(~C*B)*A*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3816|FM_HW/_al_u1235 (
.a({open_n85001,\FM_HW/_al_u1225_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u1232_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1234_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1 ,HADDR[11]}),
.e({open_n85004,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_1_0_0_0_0_0 ,\FM_HW/_al_u1235_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101000000110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101000000110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3818|FM_HW/_al_u1255 (
.a({open_n85025,\FM_HW/_al_u1252_o }),
.b({open_n85026,\FM_HW/_al_u1254_o }),
.c({\FM_HW/_al_u654_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_1 ,\FM_HW/_al_u1255_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3819|FM_HW/_al_u3821 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u3444_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3820|FM_HW/_al_u1776 (
.a({open_n85079,HADDR[7]}),
.b({open_n85080,HADDR[6]}),
.c({\FM_HW/_al_u3441_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i152_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i153_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_1_0_1 ,\FM_HW/_al_u1776_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3822|FM_HW/_al_u3823 (
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u3448_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~B*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100001110),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3824|FM_HW/_al_u861 (
.a({open_n85133,\FM_HW/_al_u855_o }),
.b({open_n85134,\FM_HW/_al_u860_o }),
.c({\FM_HW/_al_u3450_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_1 ,\FM_HW/_al_u861_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(A*~(0*~C*~B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(A*~(1*~C*~B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000001010111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3825|FM_HW/_al_u873 (
.a({open_n85155,\FM_HW/_al_u861_o }),
.b({open_n85156,\FM_HW/_al_u867_o }),
.c({\FM_HW/_al_u3452_o ,\FM_HW/_al_u872_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[12]}),
.e({open_n85159,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_1_0_0_0 ,\FM_HW/_al_u873_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*~B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~(~A*~(C*~B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1011101000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1011101000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3826|FM_HW/_al_u2273 (
.a({open_n85180,\FM_HW/_al_u2261_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2266_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u2272_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_1 ,\FM_HW/_al_u2273_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3827 (
.b({open_n85207,\FM_HW/_al_u3454_o }),
.c({open_n85208,\FM_HW/_al_u3437_o }),
.d({open_n85211,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({open_n85229,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(C*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010101111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3828|FM_HW/_al_u2258 (
.a({open_n85235,\FM_HW/_al_u2256_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2257_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u668_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[11]}),
.e({open_n85238,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_1 ,\FM_HW/_al_u2258_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~B*~A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111000001111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3829|FM_HW/_al_u2852 (
.a({open_n85259,\FM_HW/_al_u2850_o }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u2851_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_1_0_0 ,\FM_HW/_al_u2852_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3830|FM_HW/_al_u3833 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3831 (
.b({open_n85304,\FM_HW/_al_u3459_o }),
.c({open_n85305,\FM_HW/_al_u3437_o }),
.d({open_n85308,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({open_n85322,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*~A*~(0*~D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~B*~A*~(1*~D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001000000010000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3832|FM_HW/_al_u2261 (
.a({open_n85328,\FM_HW/_al_u2253_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u2255_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2258_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/_al_u2260_o }),
.e({open_n85331,\FM_HW/_al_u674_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_1_0_0_0_1 ,\FM_HW/_al_u2261_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3834|FM_HW/_al_u3835 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000010100000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3836|FM_HW/_al_u3205 (
.a({open_n85378,\FM_HW/_al_u3202_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3204_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_1 ,\FM_HW/_al_u3205_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3837|FM_HW/_al_u3845 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3838|FM_HW/_al_u3839 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~A*~(~C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~A*~(~C*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0101000100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0101000100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3840|FM_HW/_al_u855 (
.a({open_n85451,\FM_HW/_al_u852_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u853_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u854_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_1 ,\FM_HW/_al_u855_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3841 (
.b({open_n85478,\FM_HW/_al_u3469_o }),
.c({open_n85479,\FM_HW/_al_u3443_o }),
.d({open_n85482,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({open_n85496,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3842|FM_HW/_al_u3843 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*~B)))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000010111010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3844|FM_HW/_al_u2295 (
.a({open_n85524,\FM_HW/_al_u2283_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u2289_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2294_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_1_0_1 ,\FM_HW/_al_u2295_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3846|FM_HW/_al_u3847 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~A*~(B*~(D*~C)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*~A*~(B*~(D*~C)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010100010001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3848|FM_HW/_al_u2557 (
.a({open_n85571,\FM_HW/_al_u2514_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u2535_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2546_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 ,\FM_HW/_al_u2556_o }),
.e({open_n85574,HADDR[13]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_1 ,\FM_HW/_al_u2557_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3849 (
.b({open_n85597,\FM_HW/_al_u3479_o }),
.c({open_n85598,\FM_HW/_al_u3443_o }),
.d({open_n85601,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0 }),
.f({open_n85615,\FM_HW/FM_Dump_Data_IQ/n57_0_1_0_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3850|FM_HW/_al_u1041 (
.a({open_n85621,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i364_002 }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i365_002 }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u1040_o }),
.d({\FM_HW/_al_u3716_o ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/_al_u1041_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~((~C*~B)*~(A)*~(0)+(~C*~B)*A*~(0)+~((~C*~B))*A*0+(~C*~B)*A*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~((~C*~B)*~(A)*~(1)+(~C*~B)*A*~(1)+~((~C*~B))*A*1+(~C*~B)*A*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101010100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3851|FM_HW/_al_u2641 (
.a({open_n85642,\FM_HW/_al_u2629_o }),
.b({open_n85643,\FM_HW/_al_u2637_o }),
.c({\FM_HW/_al_u654_o ,\FM_HW/_al_u2640_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[11]}),
.e({open_n85646,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_1 ,\FM_HW/_al_u2641_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3852|FM_HW/_al_u2472 (
.b({open_n85669,\FM_HW/_al_u2471_o }),
.c({\FM_HW/_al_u3438_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/_al_u2459_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_1_0 ,\FM_HW/_al_u2472_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((~(~0*~B)*~A))+~C*D*~((~(~0*~B)*~A))+~(~C)*D*(~(~0*~B)*~A)+~C*D*(~(~0*~B)*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((~(~1*~B)*~A))+~C*D*~((~(~1*~B)*~A))+~(~C)*D*(~(~1*~B)*~A)+~C*D*(~(~1*~B)*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1011000011110100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010000011110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3853|FM_HW/_al_u2629 (
.a({open_n85694,\FM_HW/_al_u2622_o }),
.b({open_n85695,\FM_HW/_al_u2624_o }),
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u2626_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/_al_u2628_o }),
.e({open_n85698,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_1 ,\FM_HW/_al_u2629_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3854|FM_HW/_al_u3857 (
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u3450_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*A*~(0*~D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*A*~(1*~D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3855|FM_HW/_al_u2153 (
.a({open_n85743,\FM_HW/_al_u2146_o }),
.b({open_n85744,\FM_HW/_al_u2148_o }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u2150_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/_al_u2152_o }),
.e({open_n85747,\FM_HW/_al_u668_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_1 ,\FM_HW/_al_u2153_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3856 (
.c({open_n85772,\FM_HW/_al_u3448_o }),
.d({open_n85775,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({open_n85789,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3858|FM_HW/_al_u2622 (
.a({open_n85795,\FM_HW/_al_u2621_o }),
.b({open_n85796,\FM_HW/_al_u674_o }),
.c({\FM_HW/_al_u3452_o ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i124_006 }),
.e({open_n85799,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i125_006 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_1_0_0_0 ,\FM_HW/_al_u2622_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3859|FM_HW/_al_u3860 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3861|FM_HW/_al_u3862 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_1_0_0 }));
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\FM_HW/_al_u3863|scan_unit/reg2_b10 (
.a({open_n85868,HADDR[7]}),
.b({\FM_HW/_al_u3459_o ,HADDR[6]}),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_000 }),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_000 }),
.mi({open_n85873,\scan_unit/n2 [10]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_1 ,\FM_HW/_al_u1769_o }),
.q({open_n85888,\scan_unit/cnt [10]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3864|FM_HW/_al_u719 (
.a({open_n85889,HADDR[6]}),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i115_003 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i114_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_1_0 ,\FM_HW/_al_u719_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3865|FM_HW/_al_u720 (
.a({open_n85914,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i112_003 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i113_003 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u719_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_1 ,\FM_HW/_al_u720_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~C*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100110011000100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3866|FM_HW/_al_u721 (
.a({open_n85939,\FM_HW/_al_u720_o }),
.b({\FM_HW/_al_u3459_o ,HADDR[10]}),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_1_0_0_0_0 ,\FM_HW/_al_u721_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3867|FM_HW/_al_u3868 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3869|FM_HW/_al_u3870 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3871|FM_HW/_al_u2640 (
.b({\FM_HW/_al_u3469_o ,HADDR[9]}),
.c({\FM_HW/_al_u653_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_27 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_1 ,\FM_HW/_al_u2640_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3872 (
.b({open_n86032,\FM_HW/_al_u3469_o }),
.c({open_n86033,\FM_HW/_al_u3437_o }),
.d({open_n86036,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({open_n86050,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3873|FM_HW/_al_u3874 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3875|FM_HW/_al_u3876 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3877|FM_HW/_al_u1377 (
.a({open_n86108,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i123_001 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i122_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_1 ,\FM_HW/_al_u1377_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000010101100),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3878|FM_HW/_al_u3266 (
.a({open_n86133,\FM_HW/_al_u3258_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3260_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3265_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_1_0_0 ,\FM_HW/_al_u3266_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3879|FM_HW/_al_u3258 (
.a({open_n86154,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_004 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_004 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3257_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_1 ,\FM_HW/_al_u3258_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3880|FM_HW/_al_u2785 (
.a({open_n86175,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i100_005 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i101_005 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u2784_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_1_0 ,\FM_HW/_al_u2785_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3881|FM_HW/_al_u3882 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_1_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(A*~(C*~B)))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000001110101),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3883|FM_HW/_al_u2438 (
.a({open_n86222,\FM_HW/_al_u2435_o }),
.b({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],\FM_HW/_al_u2437_o }),
.c({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11],\FM_HW/_al_u668_o }),
.d({\FM_HW/_al_u3750_o ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/_al_u2438_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3885|FM_HW/_al_u3884 (
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000010100000011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000010100000011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3886|FM_HW/_al_u1329 (
.a({open_n86267,\FM_HW/_al_u1327_o }),
.b({open_n86268,\FM_HW/_al_u1328_o }),
.c({\FM_HW/_al_u3441_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_1 ,\FM_HW/_al_u1329_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*D*~(0)+~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~((~C*~B))*D*~(1)+~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3887|FM_HW/_al_u737 (
.a({open_n86293,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 }),
.b({open_n86294,\FM_HW/_al_u735_o }),
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u736_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[9]}),
.e({open_n86297,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_1_0_0 ,\FM_HW/_al_u737_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3888|FM_HW/_al_u3551 (
.b({open_n86320,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({\FM_HW/_al_u3446_o ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/_al_u3484_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3889|FM_HW/_al_u3485 (
.b({open_n86343,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10]}),
.c({\FM_HW/_al_u3448_o ,\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/_al_u3484_o }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3890|FM_HW/_al_u3891 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3892|FM_HW/_al_u3897 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3894|FM_HW/_al_u3895 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~(D*~B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111000001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111000001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3896|FM_HW/_al_u2812 (
.a({open_n86448,\FM_HW/_al_u2806_o }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u2811_o }),
.c({\FM_HW/_al_u653_o ,HADDR[11]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_1_1 ,\FM_HW/_al_u2812_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3898|FM_HW/_al_u3899 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3900|FM_HW/_al_u2605 (
.a({open_n86495,HADDR[6]}),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i75_006 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i74_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_1 ,\FM_HW/_al_u2605_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3901|FM_HW/_al_u3903 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*B)*~(~C*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*~(~D*B)*~(~C*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3902|FM_HW/_al_u2158 (
.a({open_n86542,\FM_HW/_al_u2154_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u2155_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u2156_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/_al_u2157_o }),
.e({open_n86545,HADDR[9]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_1_0_1 ,\FM_HW/_al_u2158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3904|FM_HW/_al_u3905 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3906|FM_HW/_al_u1316 (
.a({open_n86592,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_002 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_002 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1315_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_1 ,\FM_HW/_al_u1316_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3907|FM_HW/_al_u1347 (
.a({open_n86613,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_001 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_001 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u1346_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_18 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3908|FM_HW/_al_u3911 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*~(~C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(~D*~(~C*B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0101010100000100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0101010100000100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3910|FM_HW/_al_u2604 (
.a({open_n86656,\FM_HW/_al_u2601_o }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u2603_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_1_0_1 ,\FM_HW/_al_u2604_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3912|FM_HW/_al_u1360 (
.a({open_n86681,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_16 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u1358_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u1359_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[9]}),
.e({open_n86684,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_1 ,\FM_HW/_al_u1360_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000110000001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3913|FM_HW/_al_u2163 (
.a({open_n86705,\FM_HW/_al_u2161_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u2162_o }),
.c({\FM_HW/_al_u3437_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[6]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_1_0 ,\FM_HW/_al_u2163_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3914|FM_HW/_al_u1320 (
.a({open_n86726,\FM_HW/_al_u1312_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u1314_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1319_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_1 ,\FM_HW/_al_u1320_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3915 (
.b({open_n86749,\FM_HW/_al_u3479_o }),
.c({open_n86750,\FM_HW/_al_u3443_o }),
.d({open_n86753,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0 }),
.f({open_n86767,\FM_HW/FM_Dump_Data_IQ/n57_0_0_1_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000011001010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3918|FM_HW/_al_u2590 (
.a({open_n86773,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_006 }),
.b({open_n86774,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_006 }),
.c({\FM_HW/_al_u3438_o ,\FM_HW/_al_u2589_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_14 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000010101100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000010101100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3919|FM_HW/_al_u3298 (
.a({open_n86795,\FM_HW/_al_u3290_o }),
.b({open_n86796,\FM_HW/_al_u3292_o }),
.c({\FM_HW/_al_u3441_o ,\FM_HW/_al_u3297_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_1 ,\FM_HW/_al_u3298_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3920|FM_HW/_al_u3917 (
.c({\FM_HW/_al_u3444_o ,\FM_HW/_al_u654_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_1_1_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3921|FM_HW/_al_u1697 (
.a({open_n86845,HADDR[6]}),
.b({open_n86846,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i63_000 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i62_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_1 ,\FM_HW/_al_u1697_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0100010101100111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0100010101100111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3922|FM_HW/_al_u2882 (
.a({open_n86871,\FM_HW/_al_u2881_o }),
.b({open_n86872,HADDR[7]}),
.c({\FM_HW/_al_u3448_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i56_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i57_005 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_1_0 ,\FM_HW/_al_u2882_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3923|FM_HW/_al_u3924 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_1_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~C*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(B*~(D*~C*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100010011001100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100010011001100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3925|FM_HW/_al_u1730 (
.a({open_n86925,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_5 }),
.b({\FM_HW/_al_u3454_o ,HADDR[10]}),
.c({\FM_HW/_al_u653_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_1 ,\FM_HW/_al_u1730_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3926 (
.b({open_n86952,\FM_HW/_al_u3454_o }),
.c({open_n86953,\FM_HW/_al_u3437_o }),
.d({open_n86956,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({open_n86974,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u3927 (
.b({open_n86982,\FM_HW/_al_u3454_o }),
.c({open_n86983,\FM_HW/_al_u3440_o }),
.d({open_n86986,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({open_n87004,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_1 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3928|FM_HW/_al_u3292 (
.a({open_n87010,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_004 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_004 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3291_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_1_0_0 ,\FM_HW/_al_u3292_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3929|FM_HW/_al_u3973 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3931|FM_HW/_al_u3932 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3933|FM_HW/_al_u664 (
.a({open_n87075,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_003 }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_003 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u663_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_1_1 ,\FM_HW/_al_u664_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3935|FM_HW/_al_u2584 (
.a({open_n87096,HADDR[6]}),
.b({\FM_HW/_al_u3464_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i47_006 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_006 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_1 ,\FM_HW/_al_u2584_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111110000001010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3936|FM_HW/_al_u1413 (
.a({open_n87121,\FM_HW/_al_u1410_o }),
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u1412_o }),
.c({\FM_HW/_al_u3443_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_1_0_0 ,\FM_HW/_al_u1413_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3937|FM_HW/_al_u3938 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3469_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_1_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111110010101111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3939|FM_HW/_al_u1209 (
.a({open_n87168,\FM_HW/_al_u1206_o }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u1208_o }),
.c({\FM_HW/_al_u3440_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_1 ,\FM_HW/_al_u1209_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3940|FM_HW/_al_u3944 (
.b({\FM_HW/_al_u3469_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_1_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3941|FM_HW/_al_u3942 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3943|FM_HW/_al_u2189 (
.a({open_n87233,HADDR[8]}),
.b({\FM_HW/_al_u3474_o ,HADDR[6]}),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i42_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i46_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_1_0_1 ,\FM_HW/_al_u2189_o }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u3947|FM_HW/FM_Demodulation/reg5_b158 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u653_o }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.mi({open_n87263,\FM_HW/FM_Demodulation/dmd_data_filter[16] [8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_0_0_0_1_1 }),
.q({open_n87279,\FM_HW/FM_Demodulation/dmd_data_filter[15] [8]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~C*~B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0101010001010101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3950|FM_HW/_al_u1176 (
.a({open_n87280,\FM_HW/_al_u1173_o }),
.b({open_n87281,\FM_HW/_al_u1174_o }),
.c({\FM_HW/_al_u654_o ,\FM_HW/_al_u1175_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_1 ,\FM_HW/_al_u1176_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3951 (
.c({open_n87306,\FM_HW/_al_u3438_o }),
.d({open_n87309,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({open_n87323,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3952|FM_HW/_al_u685 (
.b({open_n87331,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 }),
.c({\FM_HW/_al_u3441_o ,HADDR[8]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\FM_HW/_al_u3953 (
.c({open_n87360,\FM_HW/_al_u3444_o }),
.d({open_n87363,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({open_n87377,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_1_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3954|FM_HW/_al_u2169 (
.a({open_n87383,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_007 }),
.b({open_n87384,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_007 }),
.c({\FM_HW/_al_u3446_o ,\FM_HW/_al_u2168_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_1 ,\FM_HW/_al_u2169_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u3955 (
.c({open_n87409,\FM_HW/_al_u3448_o }),
.d({open_n87412,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({open_n87430,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3956|FM_HW/_al_u3957 (
.c({\FM_HW/_al_u3450_o ,\FM_HW/_al_u3452_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_1_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3958|FM_HW/_al_u3960 (
.b({\FM_HW/_al_u3454_o ,\FM_HW/_al_u3454_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3440_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_1 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3961|FM_HW/_al_u2566 (
.a({open_n87486,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_006 }),
.b({\FM_HW/_al_u3454_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_006 }),
.c({\FM_HW/_al_u3443_o ,\FM_HW/_al_u2565_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b6/B1_5 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3962|FM_HW/_al_u1393 (
.a({open_n87511,HADDR[6]}),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_001 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_1 ,\FM_HW/_al_u1393_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3963|FM_HW/_al_u3304 (
.a({open_n87536,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_004 }),
.b({\FM_HW/_al_u3459_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_004 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u3303_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_1_0 ,\FM_HW/_al_u3304_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3964|FM_HW/_al_u3965 (
.b({\FM_HW/_al_u3459_o ,\FM_HW/_al_u3459_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_0_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3966|FM_HW/_al_u3967 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u3437_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3968|FM_HW/_al_u3969 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010101011011000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101011011000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3970|FM_HW/_al_u2206 (
.a({open_n87631,HADDR[6]}),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_007 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_007 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_1 ,\FM_HW/_al_u2206_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3971|FM_HW/_al_u1190 (
.a({open_n87656,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_002 }),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_002 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u1189_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_1_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_2 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010101011011000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1010101011011000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3972|FM_HW/_al_u1388 (
.a({open_n87677,HADDR[6]}),
.b({\FM_HW/_al_u3469_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i9_001 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i8_001 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_1_0_0_1 ,\FM_HW/_al_u1388_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3974|FM_HW/_al_u699 (
.a({open_n87702,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_003 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_1 ,\FM_HW/_al_u699_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3975|FM_HW/_al_u1716 (
.a({open_n87727,HADDR[7]}),
.b({\FM_HW/_al_u3474_o ,HADDR[6]}),
.c({\FM_HW/_al_u3437_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i6_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i7_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_1_0 ,\FM_HW/_al_u1716_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3976|FM_HW/_al_u1187 (
.a({open_n87752,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_002 }),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_002 }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u1186_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_1 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_1 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
.INIT_LUT0(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3977 (
.b({open_n87779,\FM_HW/_al_u3474_o }),
.c({open_n87780,\FM_HW/_al_u3443_o }),
.d({open_n87783,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({open_n87797,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_1_0_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3978|FM_HW/_al_u693 (
.a({open_n87803,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i0_003 }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i1_003 }),
.c({\FM_HW/_al_u653_o ,\FM_HW/_al_u692_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_1 ,\FM_HW/_al_u693_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0101000000110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\FM_HW/_al_u3979|FM_HW/_al_u2864 (
.a({open_n87828,\FM_HW/_al_u2861_o }),
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u2863_o }),
.c({\FM_HW/_al_u3437_o ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,HADDR[8]}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_1_0 ,\FM_HW/_al_u2864_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u3980|FM_HW/_al_u3981 (
.b({\FM_HW/_al_u3479_o ,\FM_HW/_al_u3479_o }),
.c({\FM_HW/_al_u3440_o ,\FM_HW/_al_u3443_o }),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_1 ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_0_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
.INIT_LUT0(16'b0000000011111111),
.MODE("LOGIC"))
\FM_HW/_al_u3983 (
.d({open_n87883,LED_pad[7]}),
.f({open_n87897,LED_pad[3]}));
// ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u523|FM_HW/FM_RSSI_SCAN/reg0_b7 (
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [7],\FM_HW/FM_RSSI_SCAN/multlII/n1 [7]}),
.mi({open_n87917,\FM_HW/FM_RSSI_SCAN/n2 [7]}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [7],\FM_HW/FM_RSSI_SCAN/multlII/n2 [7]}),
.q({open_n87922,\FM_HW/FM_RSSI_SCAN/QdataN [7]})); // ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u524|FM_HW/_al_u525 (
.b(\FM_HW/FM_RSSI_SCAN/QdataN [6:5]),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.d(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [6:5]),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [6:5]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/_al_u526|FM_HW/_al_u527 (
.b(\FM_HW/FM_RSSI_SCAN/QdataN [4:3]),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.d(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [4:3]),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [4:3]));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUT0(16'b1111110000001100),
.INIT_LUT1(16'b1111110000001100),
.MODE("LOGIC"))
\FM_HW/_al_u528|FM_HW/_al_u529 (
.b(\FM_HW/FM_RSSI_SCAN/QdataN [2:1]),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/QdataN [7]}),
.d(\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [2:1]),
.f(\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [2:1]));
// ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u530|FM_HW/FM_RSSI_SCAN/reg0_b1 (
.b({\FM_HW/FM_RSSI_SCAN/QdataN [0],\FM_HW/FM_RSSI_SCAN/IdataN [2]}),
.c({\FM_HW/FM_RSSI_SCAN/QdataN [7],\FM_HW/FM_RSSI_SCAN/IdataN [7]}),
.ce(\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n1 [0],\FM_HW/FM_RSSI_SCAN/multlII/n1 [2]}),
.mi({open_n87998,\FM_HW/FM_RSSI_SCAN/n2 [1]}),
.f({\FM_HW/FM_RSSI_SCAN/multlQQ/n2 [0],\FM_HW/FM_RSSI_SCAN/multlII/n2 [2]}),
.q({open_n88014,\FM_HW/FM_RSSI_SCAN/QdataN [1]})); // ../rtl/demodulation/FM_RSSI.v(27)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~(B*~D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000011),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u540|FM_HW/_al_u3192 (
.b({open_n88017,\FM_HW/_al_u678_o }),
.c({\FM_HW/adc_Power_down ,HADDR[10]}),
.d({\FM_HW/Audio_PWM/audio_pwm_reg ,\FM_HW/_al_u3191_o }),
.f({LED_pad[7],\FM_HW/_al_u3192_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u559 (
.b({open_n88044,\FM_HW/rd_DUMP [7]}),
.c({open_n88045,\FM_HW/rd_SCAN [7]}),
.d({open_n88048,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({open_n88066,FMDATA_RDATA[7]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/_al_u560|FM_HW/_al_u572 (
.b({\FM_HW/rd_DUMP [6],\FM_HW/rd_DUMP [1]}),
.c({\FM_HW/rd_SCAN [6],\FM_HW/rd_SCAN [1]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({FMDATA_RDATA[6],FMDATA_RDATA[1]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u561|_al_u246 (
.a({open_n88094,\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\FM_HW/rd_DUMP [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c({\FM_HW/rd_SCAN [5],RAMCODE_RDATA[14]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,RAMDATA_RDATA[14]}),
.f({FMDATA_RDATA[5],_al_u246_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u562|_al_u241 (
.a({open_n88119,\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\FM_HW/rd_DUMP [4],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c({\FM_HW/rd_SCAN [4],RAMCODE_RDATA[12]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,RAMDATA_RDATA[12]}),
.f({FMDATA_RDATA[4],_al_u241_o}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\FM_HW/_al_u563|FM_HW/_al_u573 (
.b({\FM_HW/rd_DUMP [3],\FM_HW/rd_DUMP [0]}),
.c({\FM_HW/rd_SCAN [3],\FM_HW/rd_SCAN [0]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({FMDATA_RDATA[3],FMDATA_RDATA[0]}));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/_al_u564|FM_HW/FM_Demodulation/reg5_b185 (
.b({\FM_HW/rd_DUMP [2],open_n88168}),
.c({\FM_HW/rd_SCAN [2],\RAMCODE_Interface/wr_en_reg }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\RAMCODE_Interface/size_reg [0]}),
.mi({open_n88179,\FM_HW/FM_Demodulation/dmd_data_filter[19] [5]}),
.f({FMDATA_RDATA[2],RAMCODE_WRITE[0]}),
.q({open_n88184,\FM_HW/FM_Demodulation/dmd_data_filter[18] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u575|FM_HW/_al_u574 (
.c({\FM_HW/Channel [1],\FM_HW/Channel [1]}),
.d({\FM_HW/FM_RSSI_SCAN/n0_lutinv ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.f({\FM_HW/FM_RSSI_SCAN/mux2_b0_sel_is_3_o ,\FM_HW/FM_RSSI_SCAN/mux3_b0_sel_is_3_o }));
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*A)))"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110000001100),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u577|UART_RX/reg1_b5 (
.a({\FM_HW/_al_u576_o ,_al_u411_o}),
.b({FM_HW_state[1],_al_u430_o}),
.c({FM_HW_state[2],_al_u431_o}),
.ce(\UART_RX/mux5_b5_sel_is_3_o ),
.clk(clk_pad),
.d({FM_HW_state[3],UART_RX_data[1]}),
.mi({open_n88223,RXD_pad}),
.sr(cpuresetn),
.f({\FM_HW/FM_Dump_Data_IQ/n61 ,HRDATA[1]}),
.q({open_n88227,UART_RX_data[5]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*~A)"),
//.LUT1("(D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000010000),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"))
\FM_HW/_al_u620|FM_HW/_al_u621 (
.a({HWDATA[7],HWDATA[7]}),
.b({HWDATA[6],HWDATA[6]}),
.c({HWDATA[5],HWDATA[5]}),
.d({HWDATA[4],HWDATA[4]}),
.f({\FM_HW/_al_u620_o ,\FM_HW/_al_u621_o }));
// ../rtl/demodulation/FM_HW.v(49)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*~C*~A))"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110111001100),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u622|FM_HW/reg1_b1 (
.a({open_n88248,\FM_HW/_al_u640_o }),
.b({open_n88249,\FM_HW/_al_u620_o }),
.c({\FM_HW/_al_u621_o ,\FM_HW/_al_u621_o }),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({\FM_HW/_al_u620_o ,FM_HW_state[1]}),
.sr(RSTn_pad),
.f({\FM_HW/mux3_b2_sel_is_0_o ,open_n88262}),
.q({open_n88266,FM_HW_state[1]})); // ../rtl/demodulation/FM_HW.v(49)
// ../rtl/demodulation/FM_Dump_Data.v(37)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*~A))*~(C)*~(D)*~(0)+~((~B*~A))*C*~(D)*~(0)+~((~B*~A))*~(C)*D*~(0)+~((~B*~A))*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+(~B*~A)*C*~(D)*0+~((~B*~A))*~(C)*D*0)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~((~B*~A))*~(C)*~(D)*~(1)+~((~B*~A))*C*~(D)*~(1)+~((~B*~A))*~(C)*D*~(1)+~((~B*~A))*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+(~B*~A)*C*~(D)*1+~((~B*~A))*~(C)*D*1)"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111011101110),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000111011111110),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u632|FM_HW/FM_Dump_Data_IQ/reg1_b0 (
.a({\FM_HW/and_n4_n1_o ,\FM_HW/_al_u643_o }),
.b({FM_HW_state[1],\FM_HW/_al_u644_o }),
.c({FM_HW_state[2],\FM_HW/_al_u645_o }),
.ce(\FM_HW/FM_Dump_Data_IQ/and_n5_n3_n0_o ),
.clk(clk_pad),
.d({FM_HW_state[3],HWDATA[7]}),
.e({open_n88267,HWDATA[6]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Dump_Data_IQ/and_n5_n3_n0_o ,open_n88282}),
.q({open_n88286,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [0]})); // ../rtl/demodulation/FM_Dump_Data.v(37)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTG0("(D*~C*~B*~A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\FM_HW/_al_u633 (
.a({open_n88287,interrupt_IQ_done}),
.b({open_n88288,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [0]}),
.c({open_n88289,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [1]}),
.d({open_n88292,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [2]}),
.f({open_n88310,\FM_HW/FM_Dump_Data_IQ/u33_sel_is_2_o }));
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_MSLICE #(
//.LUT0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010111000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u635|FM_HW/FM_RSSI_SCAN/reg2_b10 (
.a({\FM_HW/FM_RSSI_SCAN/counter [3],\FM_HW/_al_u578_o }),
.b({\FM_HW/FM_RSSI_SCAN/counter [4],\FM_HW/FM_RSSI_SCAN/n16 [10]}),
.c({\FM_HW/FM_RSSI_SCAN/counter [5],\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/counter [6],\FM_HW/FM_RSSI_SCAN/counter [10]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u635_o ,open_n88329}),
.q({open_n88333,\FM_HW/FM_RSSI_SCAN/counter [10]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/demodulation/FM_RSSI.v(101)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\FM_HW/_al_u637|FM_HW/FM_RSSI_SCAN/RSSI_reg_1_reg (
.a({\FM_HW/FM_RSSI_SCAN/counter [10],\FM_HW/_al_u636_o }),
.b({\FM_HW/FM_RSSI_SCAN/counter [11],\FM_HW/_al_u637_o }),
.c({\FM_HW/FM_RSSI_SCAN/counter [12],\FM_HW/FM_RSSI_SCAN/counter [0]}),
.clk(clk_pad),
.d(\FM_HW/FM_RSSI_SCAN/counter [2:1]),
.sr(RSTn_pad),
.f({\FM_HW/_al_u637_o ,\FM_HW/FM_RSSI_SCAN/done_signal }),
.q({open_n88350,\FM_HW/FM_RSSI_SCAN/RSSI_reg_1 })); // ../rtl/demodulation/FM_RSSI.v(101)
// ../rtl/demodulation/FM_RSSI.v(84)
EG_PHY_LSLICE #(
//.LUTF0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("((D*~A)*~(B)*~(C)+(D*~A)*B*~(C)+~((D*~A))*B*C+(D*~A)*B*C)"),
//.LUTG1("(D*(C@B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010111000000),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b1100010111000000),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u640|FM_HW/FM_RSSI_SCAN/reg2_b0 (
.a({open_n88351,\FM_HW/_al_u578_o }),
.b({HWDATA[9],\FM_HW/FM_RSSI_SCAN/n16 [0]}),
.c({HWDATA[8],\FM_HW/FM_RSSI_SCAN/n14 }),
.clk(\FM_HW/FM_RSSI_SCAN/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/_al_u624_o ,\FM_HW/FM_RSSI_SCAN/counter [0]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u640_o ,open_n88369}),
.q({open_n88373,\FM_HW/FM_RSSI_SCAN/counter [0]})); // ../rtl/demodulation/FM_RSSI.v(84)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(0*~(~D*~A*~(C*B)))"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(1*~(~D*~A*~(C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1111111111101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u643|FM_Display/reg2_b1 (
.a({HWDATA[3],interrupt_IQ_done}),
.b({HWDATA[2],\FM_HW/FM_Dump_Data_IQ/Data_dump_state [0]}),
.c({HWDATA[1],\FM_HW/FM_Dump_Data_IQ/Data_dump_state [1]}),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({HWDATA[0],\FM_HW/FM_Dump_Data_IQ/Data_dump_state [2]}),
.e({\FM_HW/FM_Dump_Data_IQ/Data_dump_state [0],open_n88374}),
.mi({open_n88376,HWDATA[14]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u643_o ,\FM_HW/_al_u553_o }),
.q({open_n88391,\FM_Display/percentage_digit [1]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u645|UART_RX/reg1_b0 (
.c({HWDATA[4],_al_u317_o}),
.ce(\UART_RX/mux5_b0_sel_is_3_o ),
.clk(clk_pad),
.d({HWDATA[5],_al_u319_o}),
.mi({open_n88406,RXD_pad}),
.sr(cpuresetn),
.f({\FM_HW/_al_u645_o ,\UART_RX/mux5_b5_sel_is_3_o }),
.q({open_n88410,UART_RX_data[0]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~(~0*~(D*~A)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0)"),
//.LUTG0("(~C*~B*~(~1*~(D*~A)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1)"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1111111111101111),
.INIT_LUTG0(16'b0000001100000011),
.INIT_LUTG1(16'b0000000100000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u647|FM_HW/_al_u644 (
.a({HWDATA[3],HWDATA[3]}),
.b({HWDATA[2],HWDATA[2]}),
.c({HWDATA[1],HWDATA[1]}),
.d({HWDATA[0],HWDATA[0]}),
.e(\FM_HW/FM_Dump_Data_IQ/Data_dump_state [1:0]),
.f({\FM_HW/_al_u647_o ,\FM_HW/_al_u644_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1)"),
.INIT_LUT0(16'b1111111111111011),
.INIT_LUT1(16'b0000000100010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u649 (
.a({HWDATA[3],HWDATA[3]}),
.b({HWDATA[2],HWDATA[2]}),
.c({HWDATA[1],HWDATA[1]}),
.d({HWDATA[0],HWDATA[0]}),
.mi({open_n88445,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [2]}),
.fx({open_n88450,\FM_HW/_al_u649_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u651|FM_HW/_al_u3949 (
.a({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [10],open_n88453}),
.b(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [11:10]),
.c(\FM_HW/FM_Dump_Data_IQ/dump_data_addr [12:11]),
.d({\FM_HW/FM_Dump_Data_IQ/dump_data_addr [9],\FM_HW/_al_u3750_o }),
.f({\FM_HW/_al_u651_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1100101011110000),
.MODE("LOGIC"))
\FM_HW/_al_u665|FM_HW/_al_u1211 (
.a({\FM_HW/_al_u662_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i44_002 }),
.b({\FM_HW/_al_u664_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i45_002 }),
.c({HADDR[8],\FM_HW/_al_u1210_o }),
.d({HADDR[9],HADDR[7]}),
.f({\FM_HW/_al_u665_o ,\FM_HW/_al_u1211_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000010101100),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000010101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u666|FM_HW/_al_u1410 (
.a({\FM_HW/_al_u658_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i32_001 }),
.b({\FM_HW/_al_u660_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i33_001 }),
.c({\FM_HW/_al_u665_o ,\FM_HW/_al_u1409_o }),
.d({HADDR[9],HADDR[7]}),
.f({\FM_HW/_al_u666_o ,\FM_HW/_al_u1410_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\FM_HW/_al_u671|FM_HW/_al_u674 (
.c({HADDR[8],HADDR[8]}),
.d({HADDR[9],HADDR[9]}),
.f({\FM_HW/_al_u671_o ,\FM_HW/_al_u674_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*~D))"),
//.LUT1("(~C*~B*~A*~(1*~D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u679 (
.a({\FM_HW/_al_u669_o ,\FM_HW/_al_u669_o }),
.b({\FM_HW/_al_u672_o ,\FM_HW/_al_u672_o }),
.c({\FM_HW/_al_u675_o ,\FM_HW/_al_u675_o }),
.d({\FM_HW/_al_u677_o ,\FM_HW/_al_u677_o }),
.mi({open_n88558,\FM_HW/_al_u678_o }),
.fx({open_n88563,\FM_HW/_al_u679_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUTG0("(C*(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUTF0(16'b1100000010100000),
.INIT_LUTG0(16'b1100000010100000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u680 (
.a({open_n88566,\FM_HW/_al_u666_o }),
.b({open_n88567,\FM_HW/_al_u679_o }),
.c({open_n88568,HADDR[11]}),
.d({open_n88571,HADDR[10]}),
.f({open_n88589,\FM_HW/_al_u680_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000001010001010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000001010001010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u682|FM_HW/_al_u1175 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i28_003 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i29_003 ,HADDR[6]}),
.c({\FM_HW/_al_u681_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i30_002 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i31_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_7 ,\FM_HW/_al_u1175_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u684|FM_HW/_al_u1173 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_003 ,\FM_HW/_al_u1172_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_003 ,HADDR[8]}),
.c({\FM_HW/_al_u683_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i24_002 }),
.e({open_n88621,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i25_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_6 ,\FM_HW/_al_u1173_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u687|FM_HW/_al_u2175 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_003 ,\FM_HW/_al_u2174_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_003 ,HADDR[8]}),
.c({\FM_HW/_al_u686_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i20_007 }),
.e({open_n88644,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i21_007 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 ,\FM_HW/_al_u2175_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u689|FM_HW/_al_u3303 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i16_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i17_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i19_004 }),
.c({\FM_HW/_al_u688_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i18_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 ,\FM_HW/_al_u3303_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b1111110000001100),
.INIT_LUTG0(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u690 (
.b({open_n88691,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_4 }),
.c({open_n88692,HADDR[8]}),
.d({open_n88695,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_5 }),
.f({open_n88713,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1010000011000000),
.MODE("LOGIC"))
\FM_HW/_al_u691|FM_HW/_al_u3297 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_3 ,\FM_HW/_al_u3294_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B2_2 ,\FM_HW/_al_u3296_o }),
.c(HADDR[10:9]),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u691_o ,\FM_HW/_al_u3297_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111000010101010),
.INIT_LUT1(16'b1100110011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u698 (
.a({\FM_HW/_al_u693_o ,\FM_HW/_al_u693_o }),
.b({\FM_HW/_al_u695_o ,\FM_HW/_al_u695_o }),
.c({\FM_HW/_al_u697_o ,\FM_HW/_al_u697_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n88751,HADDR[8]}),
.fx({open_n88756,\FM_HW/_al_u698_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u700|FM_HW/_al_u1717 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_003 ,\FM_HW/_al_u1716_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_003 ,\FM_HW/_al_u668_o }),
.c({\FM_HW/_al_u699_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i4_000 }),
.e({open_n88761,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i5_000 }),
.f({\FM_HW/_al_u700_o ,\FM_HW/_al_u1717_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(~D*(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0000000010101010),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u701|FM_HW/_al_u1429 (
.a({\FM_HW/_al_u698_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B4_0 }),
.b({\FM_HW/_al_u700_o ,\FM_HW/_al_u1418_o }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1428_o }),
.d({HADDR[10],HADDR[12]}),
.e({open_n88784,HADDR[11]}),
.f({\FM_HW/_al_u701_o ,\FM_HW/_al_u1429_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~A*~(~0*~C*~B))"),
//.LUT1("(~D*~A*~(~1*~C*~B))"),
.INIT_LUT0(16'b0000000001010100),
.INIT_LUT1(16'b0000000001010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u702 (
.a({\FM_HW/_al_u680_o ,\FM_HW/_al_u680_o }),
.b({\FM_HW/_al_u691_o ,\FM_HW/_al_u691_o }),
.c({\FM_HW/_al_u701_o ,\FM_HW/_al_u701_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n88817,HADDR[11]}),
.fx({open_n88822,\FM_HW/_al_u702_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0101010111111111),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u718 (
.a({\FM_HW/_al_u713_o ,\FM_HW/_al_u713_o }),
.b({\FM_HW/_al_u715_o ,\FM_HW/_al_u715_o }),
.c({\FM_HW/_al_u717_o ,\FM_HW/_al_u717_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n88837,HADDR[8]}),
.fx({open_n88842,\FM_HW/_al_u718_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*~(~A*~(D*~B))))"),
//.LUTF1("(D*~(C*B)*~(~0*~A))"),
//.LUTG0("(~1*~(C*~(~A*~(D*~B))))"),
//.LUTG1("(D*~(C*B)*~(~1*~A))"),
.INIT_LUTF0(16'b0100111101011111),
.INIT_LUTF1(16'b0010101000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u722|FM_HW/_al_u3267 (
.a({\FM_HW/_al_u711_o ,\FM_HW/_al_u3256_o }),
.b({\FM_HW/_al_u718_o ,\FM_HW/_al_u3266_o }),
.c({\FM_HW/_al_u721_o ,HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.e({HADDR[10],HADDR[10]}),
.f({\FM_HW/_al_u722_o ,\FM_HW/_al_u3267_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u725|FM_HW/_al_u726 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i69_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i68_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i71_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i70_003 }),
.f({\FM_HW/_al_u725_o ,\FM_HW/_al_u726_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u731|FM_HW/_al_u1748 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_003 ,\FM_HW/_al_u1747_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_003 ,\FM_HW/_al_u671_o }),
.c({\FM_HW/_al_u730_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i72_000 }),
.e({open_n88893,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i73_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ,\FM_HW/_al_u1748_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*~(B)*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0+~(A)*B*C*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*~(B)*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1+~(A)*B*C*1))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000001110010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u732 (
.a({\FM_HW/_al_u727_o ,\FM_HW/_al_u727_o }),
.b({\FM_HW/_al_u729_o ,\FM_HW/_al_u729_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_18 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n88926,HADDR[9]}),
.fx({open_n88931,\FM_HW/_al_u732_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u734|FM_HW/_al_u1330 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i88_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i89_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_002 }),
.c({\FM_HW/_al_u733_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_002 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_22 ,\FM_HW/_al_u1330_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u735|FM_HW/_al_u736 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i93_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i92_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i95_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i94_003 }),
.f({\FM_HW/_al_u735_o ,\FM_HW/_al_u736_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000101000101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0000000101000101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u739|FM_HW/_al_u1325 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i80_003 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i81_003 ,HADDR[6]}),
.c({\FM_HW/_al_u738_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i84_002 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i85_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ,\FM_HW/_al_u1325_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(~(A)*B*~(C)*~(0)+A*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+~(A)*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0))"),
//.LUT1("(D*(~(A)*B*~(C)*~(1)+A*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+~(A)*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1))"),
.INIT_LUT0(16'b1110010000000000),
.INIT_LUT1(16'b0101010100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u742 (
.a({\FM_HW/_al_u737_o ,\FM_HW/_al_u737_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_20 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_21 }),
.d({HADDR[10],HADDR[10]}),
.mi({open_n89018,HADDR[9]}),
.fx({open_n89023,\FM_HW/_al_u742_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(D*~A*~(~0*~C*~B))"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(D*~A*~(~1*~C*~B))"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b0101010000000000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0101010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u743|FM_HW/_al_u1334 (
.a({\FM_HW/_al_u722_o ,\FM_HW/_al_u1333_o }),
.b({\FM_HW/_al_u732_o ,HADDR[8]}),
.c({\FM_HW/_al_u742_o ,HADDR[7]}),
.d({HADDR[12],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_002 }),
.e({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_002 }),
.f({\FM_HW/_al_u743_o ,\FM_HW/_al_u1334_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~B*~A))"),
//.LUT1("(A)"),
.INIT_LUT0(16'b0000111100001110),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
\FM_HW/_al_u744 (
.a({open_n89048,\FM_HW/_al_u702_o }),
.b({open_n89049,\FM_HW/_al_u743_o }),
.c({open_n89050,HADDR[14]}),
.d({open_n89053,HADDR[13]}),
.f({open_n89067,\FM_HW/_al_u744_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(~C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1010100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1010000010100010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u745|FM_HW/_al_u1164 (
.a({HADDR[7],HADDR[8]}),
.b({HADDR[6],HADDR[7]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_003 ,HADDR[6]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_002 }),
.e({open_n89075,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i406_002 }),
.f({\FM_HW/_al_u745_o ,\FM_HW/_al_u1164_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(A*~(C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b1000101010101010),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0000101000101010),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u746|FM_HW/_al_u1165 (
.a({\FM_HW/_al_u745_o ,\FM_HW/_al_u1164_o }),
.b({\FM_HW/_al_u668_o ,HADDR[7]}),
.c(HADDR[7:6]),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i404_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_002 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i405_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i407_002 }),
.f({\FM_HW/_al_u746_o ,\FM_HW/_al_u1165_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b1000010010000000),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b1000110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u750|FM_HW/_al_u1884 (
.a({\FM_HW/_al_u749_o ,HADDR[7]}),
.b({\FM_HW/_al_u674_o ,HADDR[6]}),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_000 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i412_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i414_000 }),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i413_003 ,open_n89120}),
.f({\FM_HW/_al_u750_o ,\FM_HW/_al_u1884_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*~D))"),
//.LUT1("(~C*~B*~A*~(1*~D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u753 (
.a({\FM_HW/_al_u746_o ,\FM_HW/_al_u746_o }),
.b({\FM_HW/_al_u748_o ,\FM_HW/_al_u748_o }),
.c({\FM_HW/_al_u750_o ,\FM_HW/_al_u750_o }),
.d({\FM_HW/_al_u752_o ,\FM_HW/_al_u752_o }),
.mi({open_n89153,\FM_HW/_al_u678_o }),
.fx({open_n89158,\FM_HW/_al_u753_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0011001000010000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0011001000010000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u757|FM_HW/_al_u1874 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_003 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i389_003 ,HADDR[6]}),
.c({\FM_HW/_al_u756_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i388_000 }),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i390_000 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_97 ,\FM_HW/_al_u1874_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u760|FM_HW/_al_u1596 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i392_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i393_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i395_001 }),
.c({\FM_HW/_al_u759_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i394_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ,\FM_HW/_al_u1596_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u762|FM_HW/_al_u1147 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_003 ,\FM_HW/_al_u1146_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_003 ,HADDR[9]}),
.c({\FM_HW/_al_u761_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i396_002 }),
.e({open_n89211,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i397_002 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ,\FM_HW/_al_u1147_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0011000001010000),
.MODE("LOGIC"))
\FM_HW/_al_u763|FM_HW/_al_u2333 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_98 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i384_007 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_99 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i385_007 }),
.c({HADDR[9],\FM_HW/_al_u2332_o }),
.d(HADDR[8:7]),
.f({\FM_HW/_al_u763_o ,\FM_HW/_al_u2333_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(~0*~C*B))"),
//.LUTF1("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTG0("(~D*~A*~(~1*~C*B))"),
//.LUTG1("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
.INIT_LUTF0(16'b0000000001010001),
.INIT_LUTF1(16'b1010101011111100),
.INIT_LUTG0(16'b0000000001010101),
.INIT_LUTG1(16'b1010101011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u764|FM_HW/_al_u1603 (
.a({\FM_HW/_al_u753_o ,\FM_HW/_al_u1593_o }),
.b({\FM_HW/_al_u758_o ,\FM_HW/_al_u1600_o }),
.c({\FM_HW/_al_u763_o ,\FM_HW/_al_u1602_o }),
.d({HADDR[10],HADDR[11]}),
.e({open_n89254,HADDR[10]}),
.f({\FM_HW/_al_u764_o ,\FM_HW/_al_u1603_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111000010101010),
.INIT_LUT1(16'b1111111111001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u771 (
.a({\FM_HW/_al_u766_o ,\FM_HW/_al_u766_o }),
.b({\FM_HW/_al_u768_o ,\FM_HW/_al_u768_o }),
.c({\FM_HW/_al_u770_o ,\FM_HW/_al_u770_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n89287,HADDR[8]}),
.fx({open_n89292,\FM_HW/_al_u771_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1111001100000101),
.INIT_LUTG0(16'b1111111111111100),
.INIT_LUTG1(16'b1111001100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u779|FM_HW/_al_u1153 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_104 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_104 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_105 ,\FM_HW/_al_u1151_o }),
.c({HADDR[9],\FM_HW/_al_u1152_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n89297,HADDR[8]}),
.f({\FM_HW/_al_u779_o ,\FM_HW/_al_u1153_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUT1("(~D*(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u785 (
.a({\FM_HW/_al_u764_o ,\FM_HW/_al_u764_o }),
.b({\FM_HW/_al_u774_o ,\FM_HW/_al_u774_o }),
.c({\FM_HW/_al_u784_o ,\FM_HW/_al_u784_o }),
.d({HADDR[12],HADDR[12]}),
.mi({open_n89330,HADDR[11]}),
.fx({open_n89335,\FM_HW/_al_u785_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100110010101010),
.INIT_LUT1(16'b1111000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u792 (
.a({\FM_HW/_al_u787_o ,\FM_HW/_al_u787_o }),
.b({\FM_HW/_al_u789_o ,\FM_HW/_al_u789_o }),
.c({\FM_HW/_al_u791_o ,\FM_HW/_al_u791_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n89350,HADDR[8]}),
.fx({open_n89355,\FM_HW/_al_u792_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(D*~B))"),
//.LUTF1("(~D*~(A*~(C*~B)))"),
//.LUTG0("(~C*~A*~(D*~B))"),
//.LUTG1("(~D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b0000010000000101),
.INIT_LUTF1(16'b0000000001110101),
.INIT_LUTG0(16'b0000010000000101),
.INIT_LUTG1(16'b0000000001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u795|FM_HW/_al_u806 (
.a({\FM_HW/_al_u792_o ,\FM_HW/_al_u795_o }),
.b({\FM_HW/_al_u794_o ,\FM_HW/_al_u805_o }),
.c({\FM_HW/_al_u668_o ,HADDR[11]}),
.d({HADDR[10],HADDR[10]}),
.f({\FM_HW/_al_u795_o ,\FM_HW/_al_u806_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u801|FM_HW/_al_u1548 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_003 ,HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i477_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i478_001 }),
.c({\FM_HW/_al_u800_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i476_001 }),
.d({HADDR[7],HADDR[8]}),
.f({\FM_HW/_al_u801_o ,\FM_HW/_al_u1548_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u803|FM_HW/_al_u1909 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i472_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i473_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i475_000 }),
.c({\FM_HW/_al_u802_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i474_000 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/_al_u803_o ,\FM_HW/_al_u1909_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(B*~(C)*~(0)+B*C*~(0)+~(B)*C*0+B*C*0)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(D*~(B*~(C)*~(1)+B*C*~(1)+~(B)*C*1+B*C*1)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100010001010101),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0101000001010101),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u805|FM_HW/_al_u3016 (
.a({\FM_HW/_al_u797_o ,\FM_HW/_al_u3011_o }),
.b({\FM_HW/_al_u799_o ,\FM_HW/_al_u3013_o }),
.c({\FM_HW/_al_u804_o ,\FM_HW/_al_u3015_o }),
.d({HADDR[9],HADDR[9]}),
.e({open_n89432,HADDR[8]}),
.f({\FM_HW/_al_u805_o ,\FM_HW/_al_u3016_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\FM_HW/_al_u813|FM_HW/_al_u2698 (
.a({\FM_HW/_al_u808_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i496_006 }),
.b({\FM_HW/_al_u810_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i497_006 }),
.c({\FM_HW/_al_u812_o ,\FM_HW/_al_u2697_o }),
.d({\FM_HW/_al_u678_o ,HADDR[7]}),
.f({\FM_HW/_al_u813_o ,\FM_HW/_al_u2698_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*~(A*~(C*~B)))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*~(A*~(C*~B)))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b0111010100000000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b0111010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u816|FM_HW/_al_u1081 (
.a({\FM_HW/_al_u813_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i500_002 }),
.b({\FM_HW/_al_u815_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i501_002 }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1080_o }),
.d({HADDR[10],HADDR[7]}),
.f({\FM_HW/_al_u816_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_125 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUT1("(~C*~(D*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
.INIT_LUT0(16'b0000110000001111),
.INIT_LUT1(16'b0000101000001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u821 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_123 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_122 }),
.c({HADDR[10],HADDR[10]}),
.d({HADDR[9],HADDR[9]}),
.mi({open_n89509,HADDR[8]}),
.fx({open_n89514,\FM_HW/_al_u821_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~B*~A))"),
//.LUTG0("(C*~(D*~B*~A))"),
.INIT_LUTF0(16'b1110000011110000),
.INIT_LUTG0(16'b1110000011110000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u827 (
.a({open_n89517,\FM_HW/_al_u816_o }),
.b({open_n89518,\FM_HW/_al_u826_o }),
.c({open_n89519,HADDR[12]}),
.d({open_n89522,HADDR[11]}),
.f({open_n89540,\FM_HW/_al_u827_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTF1("(D*~(0*~A*~(C*~B)))"),
//.LUTG0("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
//.LUTG1("(D*~(1*~A*~(C*~B)))"),
.INIT_LUTF0(16'b0101010100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1111110000000000),
.INIT_LUTG1(16'b1011101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u828|FM_HW/_al_u1085 (
.a({\FM_HW/_al_u785_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B4_14 }),
.b({\FM_HW/_al_u806_o ,\FM_HW/_al_u1074_o }),
.c({\FM_HW/_al_u827_o ,\FM_HW/_al_u1084_o }),
.d(HADDR[14:13]),
.e({HADDR[13],HADDR[11]}),
.f({\FM_HW/_al_u828_o ,\FM_HW/_al_u1085_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUTF1("~((~D*~A)*~((~C*~B))*~(0)+(~D*~A)*(~C*~B)*~(0)+~((~D*~A))*(~C*~B)*0+(~D*~A)*(~C*~B)*0)"),
//.LUTG0("(D*~(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
//.LUTG1("~((~D*~A)*~((~C*~B))*~(1)+(~D*~A)*(~C*~B)*~(1)+~((~D*~A))*(~C*~B)*1+(~D*~A)*(~C*~B)*1)"),
.INIT_LUTF0(16'b1010101000000000),
.INIT_LUTF1(16'b1111111110101010),
.INIT_LUTG0(16'b1111110000000000),
.INIT_LUTG1(16'b1111110011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u849|FM_HW/_al_u1688 (
.a({\FM_HW/_al_u835_o ,\FM_HW/_al_u1677_o }),
.b({\FM_HW/_al_u840_o ,\FM_HW/_al_u1682_o }),
.c({\FM_HW/_al_u845_o ,\FM_HW/_al_u1687_o }),
.d({\FM_HW/_al_u848_o ,HADDR[11]}),
.e({HADDR[10],HADDR[10]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ,\FM_HW/_al_u1688_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*B)*~(C*~A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(1*~(~D*B)*~(C*~A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1010111100100011),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u850|FM_HW/_al_u916 (
.a({open_n89590,\FM_HW/_al_u850_o }),
.b({open_n89591,\FM_HW/_al_u873_o }),
.c({HADDR[11],\FM_HW/_al_u894_o }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_7 ,\FM_HW/_al_u915_o }),
.e({open_n89594,HADDR[13]}),
.f({\FM_HW/_al_u850_o ,\FM_HW/_al_u916_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUT1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b0000010010001100),
.INIT_LUT1(16'b0000000100100011),
.MODE("LOGIC"))
\FM_HW/_al_u862|FM_HW/_al_u866 (
.a({HADDR[8],HADDR[8]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i144_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i147_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i148_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i151_003 }),
.f({\FM_HW/_al_u862_o ,\FM_HW/_al_u866_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~B*~A))"),
//.LUTF1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~C*~(~D*~B*~A))"),
//.LUTG1("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000111100001110),
.INIT_LUTF1(16'b0000000100100011),
.INIT_LUTG0(16'b0000111100001110),
.INIT_LUTG1(16'b0000000100100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u865|FM_HW/_al_u864 (
.a({HADDR[8],\FM_HW/_al_u862_o }),
.b({HADDR[6],\FM_HW/_al_u863_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i146_003 ,HADDR[9]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i150_003 ,HADDR[7]}),
.f({\FM_HW/_al_u865_o ,\FM_HW/_al_u864_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(D*~(A*~((~C*~B))*~(0)+A*(~C*~B)*~(0)+~(A)*(~C*~B)*0+A*(~C*~B)*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(D*~(A*~((~C*~B))*~(1)+A*(~C*~B)*~(1)+~(A)*(~C*~B)*1+A*(~C*~B)*1))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0101010100000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u872|FM_HW/_al_u1607 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_38 ,HADDR[6]}),
.b({\FM_HW/_al_u870_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i159_001 }),
.c({\FM_HW/_al_u871_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i158_001 }),
.d({HADDR[9],HADDR[7]}),
.e({HADDR[8],open_n89661}),
.f({\FM_HW/_al_u872_o ,\FM_HW/_al_u1607_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*~D))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~C*~B*~A*~(1*~D))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b1110010010101010),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1110010010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u883|FM_HW/_al_u1667 (
.a({\FM_HW/_al_u878_o ,\FM_HW/_al_u1660_o }),
.b({\FM_HW/_al_u880_o ,\FM_HW/_al_u1662_o }),
.c({\FM_HW/_al_u882_o ,\FM_HW/_al_u1664_o }),
.d({HADDR[9],\FM_HW/_al_u1666_o }),
.e({open_n89684,\FM_HW/_al_u678_o }),
.f({\FM_HW/_al_u883_o ,\FM_HW/_al_u1667_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUT1("~(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
.INIT_LUT0(16'b0000001111110011),
.INIT_LUT1(16'b0011001111110000),
.MODE("LOGIC"))
\FM_HW/_al_u893|FM_HW/_al_u1846 (
.b({\FM_HW/_al_u890_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_52 }),
.c({\FM_HW/_al_u892_o ,HADDR[8]}),
.d({\FM_HW/_al_u888_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_53 }),
.f({\FM_HW/_al_u893_o ,\FM_HW/_al_u1846_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUT1("(C*~(~D*(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
.INIT_LUT0(16'b1111000001010000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u894 (
.a({\FM_HW/_al_u883_o ,\FM_HW/_al_u883_o }),
.b({\FM_HW/_al_u893_o ,\FM_HW/_al_u893_o }),
.c({HADDR[12],HADDR[12]}),
.d({HADDR[11],HADDR[11]}),
.mi({open_n89739,HADDR[10]}),
.fx({open_n89744,\FM_HW/_al_u894_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0100010101100111),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0100010101100111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u896|FM_HW/_al_u1816 (
.a({\FM_HW/_al_u895_o ,\FM_HW/_al_u1815_o }),
.b({HADDR[7],\FM_HW/_al_u678_o }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_003 ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i160_000 }),
.e({open_n89749,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i161_000 }),
.f({\FM_HW/_al_u896_o ,\FM_HW/_al_u1816_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1010101011111111),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u903|FM_HW/_al_u1232 (
.a({\FM_HW/_al_u900_o ,\FM_HW/_al_u1227_o }),
.b({\FM_HW/_al_u902_o ,\FM_HW/_al_u1229_o }),
.c({HADDR[8],\FM_HW/_al_u1231_o }),
.d({HADDR[9],HADDR[9]}),
.e({open_n89772,HADDR[8]}),
.f({\FM_HW/_al_u903_o ,\FM_HW/_al_u1232_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~B*~A))"),
//.LUT1("(~D*~A*~(~C*B))"),
.INIT_LUT0(16'b0000111100001110),
.INIT_LUT1(16'b0000000001010001),
.MODE("LOGIC"))
\FM_HW/_al_u909|FM_HW/_al_u1808 (
.a({\FM_HW/_al_u906_o ,\FM_HW/_al_u1806_o }),
.b({\FM_HW/_al_u907_o ,\FM_HW/_al_u1807_o }),
.c({\FM_HW/_al_u908_o ,HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u909_o ,\FM_HW/_al_u1808_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(B)*~(C)+~D*B*~(C)+~(~D)*B*C+~D*B*C)"),
//.LUT1("(D*~A*~(~C*B))"),
.INIT_LUT0(16'b1100000011001111),
.INIT_LUT1(16'b0101000100000000),
.MODE("LOGIC"))
\FM_HW/_al_u914|FM_HW/_al_u1802 (
.a({\FM_HW/_al_u911_o ,open_n89813}),
.b({\FM_HW/_al_u912_o ,\FM_HW/_al_u1801_o }),
.c({\FM_HW/_al_u913_o ,HADDR[8]}),
.d({HADDR[9],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b0/B1_46 }),
.f({\FM_HW/_al_u914_o ,\FM_HW/_al_u1802_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTF1("(D*~(~A*~((~C*~B))*~(0)+~A*(~C*~B)*~(0)+~(~A)*(~C*~B)*0+~A*(~C*~B)*0))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG1("(D*~(~A*~((~C*~B))*~(1)+~A*(~C*~B)*~(1)+~(~A)*(~C*~B)*1+~A*(~C*~B)*1))"),
.INIT_LUTF0(16'b0100010101100111),
.INIT_LUTF1(16'b1010101000000000),
.INIT_LUTG0(16'b0100010101100111),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u915|FM_HW/_al_u898 (
.a({\FM_HW/_al_u904_o ,\FM_HW/_al_u897_o }),
.b({\FM_HW/_al_u909_o ,HADDR[7]}),
.c({\FM_HW/_al_u914_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i164_003 }),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i165_003 }),
.e({HADDR[10],open_n89836}),
.f({\FM_HW/_al_u915_o ,\FM_HW/_al_u898_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u924|FM_HW/_al_u3367 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i280_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i281_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i283_004 }),
.c({\FM_HW/_al_u923_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i282_004 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ,\FM_HW/_al_u3367_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111101010101),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0011001111111111),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u925|FM_HW/_al_u2044 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_71 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_68 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_70 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_71 }),
.c({HADDR[8],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_70 }),
.d({HADDR[9],HADDR[9]}),
.e({open_n89883,HADDR[8]}),
.f({\FM_HW/_al_u925_o ,\FM_HW/_al_u2044_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~C*(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000101000001100),
.INIT_LUTF1(16'b0000111101010011),
.INIT_LUTG0(16'b0000101000001100),
.INIT_LUTG1(16'b0000111101010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u926|FM_HW/_al_u1490 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_69 ,\FM_HW/_al_u1487_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_68 ,\FM_HW/_al_u1489_o }),
.c({\FM_HW/_al_u925_o ,HADDR[9]}),
.d(HADDR[9:8]),
.f({\FM_HW/_al_u926_o ,\FM_HW/_al_u1490_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000010010001100),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000010010001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u929|FM_HW/_al_u930 (
.a({HADDR[7],HADDR[7]}),
.b({HADDR[6],HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i261_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i260_003 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i263_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i262_003 }),
.f({\FM_HW/_al_u929_o ,\FM_HW/_al_u930_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~((~C*~B))*~(D)*~(0)+~(A)*(~C*~B)*~(D)*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*~((~C*~B))*D*0+A*~((~C*~B))*D*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUT1("(~(A)*~((~C*~B))*~(D)*~(1)+~(A)*(~C*~B)*~(D)*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*~((~C*~B))*D*1+A*~((~C*~B))*D*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
.INIT_LUT0(16'b0000000001010101),
.INIT_LUT1(16'b1111111111111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u931 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_64 }),
.b({\FM_HW/_al_u929_o ,\FM_HW/_al_u929_o }),
.c({\FM_HW/_al_u930_o ,\FM_HW/_al_u930_o }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n89964,HADDR[8]}),
.fx({open_n89969,\FM_HW/_al_u931_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17365)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*(A*~(B)*~(0)+A*B*~(0)+~(A)*B*0+A*B*0)))"),
//.LUTF1("(~D*(A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+A*~(B)*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0))"),
//.LUTG0("(~C*~(D*(A*~(B)*~(1)+A*B*~(1)+~(A)*B*1+A*B*1)))"),
//.LUTG1("(~D*(A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+A*~(B)*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100001111),
.INIT_LUTF1(16'b0000000010101010),
.INIT_LUTG0(16'b0000001100001111),
.INIT_LUTG1(16'b0000000000011011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/_al_u936|u_logic/Rilpw6_reg (
.a({\FM_HW/_al_u931_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_66 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_66 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b2/B1_67 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_67 ,HADDR[11]}),
.ce(\u_logic/Tw2iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d(HADDR[10:9]),
.e(HADDR[9:8]),
.mi({open_n89973,SWDIO_pad}),
.sr(\u_logic/Kxhpw6 ),
.f({\FM_HW/_al_u936_o ,\FM_HW/_al_u1108_o }),
.q({open_n89988,\u_logic/Rilpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17365)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~A*~(~D*~(C*~B)))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~A*~(~D*~(C*~B)))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0101010100010000),
.INIT_LUTG0(16'b1010101011111111),
.INIT_LUTG1(16'b0101010100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u942|FM_HW/_al_u1437 (
.a({\FM_HW/_al_u939_o ,\FM_HW/_al_u1432_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_78 ,\FM_HW/_al_u1434_o }),
.c({HADDR[9],\FM_HW/_al_u1436_o }),
.d({HADDR[8],HADDR[9]}),
.e({open_n89991,HADDR[8]}),
.f({\FM_HW/_al_u942_o ,\FM_HW/_al_u1437_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0001001000010011),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u945|FM_HW/_al_u2075 (
.a({HADDR[7],\FM_HW/_al_u2074_o }),
.b({HADDR[6],HADDR[9]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i310_003 ,HADDR[7]}),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i311_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i304_007 }),
.e({open_n90014,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i305_007 }),
.f({\FM_HW/_al_u945_o ,\FM_HW/_al_u2075_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(D*~(0*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
//.LUTG0("(~B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(D*~(1*(~B*~(C)*~(A)+~B*C*~(A)+~(~B)*C*A+~B*C*A)))"),
.INIT_LUTF0(16'b0010000100100000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0010001100100010),
.INIT_LUTG1(16'b0100111000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u947|FM_HW/_al_u946 (
.a({\FM_HW/_al_u942_o ,\FM_HW/_al_u945_o }),
.b({\FM_HW/_al_u944_o ,HADDR[9]}),
.c({\FM_HW/_al_u946_o ,HADDR[7]}),
.d({HADDR[11],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i308_003 }),
.e({HADDR[10],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i309_003 }),
.f({\FM_HW/_al_u947_o ,\FM_HW/_al_u946_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(C*~(B*~(0*D))))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(C*~(B*~(1*D))))"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1000101010001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0000101010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u958|FM_HW/_al_u2926 (
.a({\FM_HW/_al_u947_o ,HADDR[6]}),
.b({\FM_HW/_al_u952_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i295_005 }),
.c({\FM_HW/_al_u955_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i294_005 }),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_75 ,HADDR[7]}),
.e({HADDR[9],open_n90059}),
.f({\FM_HW/_al_u958_o ,\FM_HW/_al_u2926_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*~(~D*~B*~A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*~(~D*~B*~A))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111100001110),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111100001110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u959|FM_HW/_al_u3959 (
.a({\FM_HW/_al_u937_o ,open_n90080}),
.b({\FM_HW/_al_u958_o ,\FM_HW/_al_u3454_o }),
.c({HADDR[13],\FM_HW/_al_u3437_o }),
.d({HADDR[12],\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0 }),
.f({\FM_HW/_al_u959_o ,\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_0_1_0_1_1_0 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1100101011110000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1100101011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u968|FM_HW/_al_u965 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i328_003 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_83 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i329_003 }),
.c({HADDR[8],\FM_HW/_al_u964_o }),
.d({HADDR[9],HADDR[7]}),
.f({\FM_HW/_al_u968_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_82 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u975|FM_HW/_al_u1460 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i344_003 ,HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i345_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i347_001 }),
.c({\FM_HW/_al_u974_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i346_001 }),
.d({HADDR[7],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ,\FM_HW/_al_u1460_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0000111101010101),
.INIT_LUT1(16'b0011001111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\FM_HW/_al_u976 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_84 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_87 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_86 }),
.d({HADDR[9],HADDR[9]}),
.mi({open_n90165,HADDR[8]}),
.fx({open_n90170,\FM_HW/_al_u976_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("~(A*~((~C*B))*~(D)+A*(~C*B)*~(D)+~(A)*(~C*B)*D+A*(~C*B)*D)"),
.INIT_LUT0(16'b0000111100110101),
.INIT_LUT1(16'b1111001101010101),
.MODE("LOGIC"))
\FM_HW/_al_u980|FM_HW/_al_u717 (
.a({\FM_HW/_al_u969_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i116_003 }),
.b({\FM_HW/_al_u976_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i117_003 }),
.c({\FM_HW/_al_u979_o ,\FM_HW/_al_u716_o }),
.d({HADDR[10],HADDR[7]}),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B4_10 ,\FM_HW/_al_u717_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~B*~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~A*~(~B*~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100010101000100),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b0101010101010100),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u982|FM_HW/_al_u1510 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_003 ,HADDR[8]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_003 ,HADDR[7]}),
.c({\FM_HW/_al_u981_o ,HADDR[6]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i368_001 }),
.e({open_n90195,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i369_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_92 ,\FM_HW/_al_u1510_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+A*C*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+~(A)*~(C)*D*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*(A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+A*C*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+~(A)*~(C)*D*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1000010010000000),
.INIT_LUTF1(16'b1111000011001010),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b1111000011001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u986|FM_HW/_al_u1509 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_003 ,\FM_HW/_al_u1508_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_003 ,HADDR[8]}),
.c({\FM_HW/_al_u985_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i372_001 }),
.e({open_n90218,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i373_001 }),
.f({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_93 ,\FM_HW/_al_u1509_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(D*A*~(C*~B))"),
.INIT_LUT0(16'b0011000001010000),
.INIT_LUT1(16'b1000101000000000),
.MODE("LOGIC"))
\FM_HW/_al_u990|FM_HW/_al_u1517 (
.a({\FM_HW/_al_u987_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_94 }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b3/B1_95 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b1/B1_95 }),
.c({\FM_HW/_al_u674_o ,HADDR[9]}),
.d({HADDR[10],HADDR[8]}),
.f({\FM_HW/_al_u990_o ,\FM_HW/_al_u1517_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0100100001001100),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0100000001000100),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\FM_HW/_al_u999|FM_HW/_al_u1970 (
.a({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_003 ,\FM_HW/_al_u1969_o }),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_003 ,HADDR[9]}),
.c({\FM_HW/_al_u998_o ,HADDR[7]}),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i360_000 }),
.e({open_n90261,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i361_000 }),
.f({\FM_HW/_al_u999_o ,\FM_HW/_al_u1970_o }));
EG_PHY_GCLK \FM_HW/clk_fm_demo_sampling_gclk_inst (
.clki(\FM_HW/clk_fm_demo_sampling ),
.clko(\FM_HW/clk_fm_demo_sampling_gclk_net ));
// ../rtl/demodulation/FM_HW.v(49)
// ../rtl/demodulation/FM_HW.v(49)
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*C*~(D)*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+~(B)*C*D*0+B*C*D*0))"),
//.LUTF1("(A*(B*~(C)*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0+B*C*D*0))"),
//.LUTG0("(A*(B*C*~(D)*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+~(B)*C*D*1+B*C*D*1))"),
//.LUTG1("(A*(B*~(C)*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1+B*C*D*1))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b1010001010101010),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\FM_HW/reg1_b2|FM_HW/reg1_b3 (
.a({\FM_HW/mux3_b2_sel_is_0_o ,\FM_HW/mux3_b2_sel_is_0_o }),
.b({\FM_HW/_al_u624_o ,\FM_HW/_al_u624_o }),
.c({HWDATA[9],HWDATA[9]}),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({HWDATA[8],HWDATA[8]}),
.e({FM_HW_state[2],FM_HW_state[3]}),
.sr(RSTn_pad),
.q({FM_HW_state[2],FM_HW_state[3]})); // ../rtl/demodulation/FM_HW.v(49)
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u3991"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u11_al_u3994 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [13],\FM_HW/FM_RSSI_SCAN/multlII/n6 [11]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [14],\FM_HW/FM_RSSI_SCAN/multlII/n6 [12]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [13],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [11]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [14],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [12]}),
.fci(\FM_HW/u1/c11 ),
.f({\FM_HW/n0 [13],\FM_HW/n0 [11]}),
.fco(\FM_HW/u1/c15 ),
.fx({\FM_HW/n0 [14],\FM_HW/n0 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u3991"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u15_al_u3995 (
.a({open_n90319,\FM_HW/FM_RSSI_SCAN/multlII/n6 [15]}),
.c(2'b00),
.d({open_n90324,\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [15]}),
.fci(\FM_HW/u1/c15 ),
.f({open_n90341,\FM_HW/n0 [15]}),
.fx({open_n90343,\FM_HW/n0 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u3991"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u3_al_u3992 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [5],\FM_HW/FM_RSSI_SCAN/multlII/n6 [3]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [6],\FM_HW/FM_RSSI_SCAN/multlII/n6 [4]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [5],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [3]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [6],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [4]}),
.fci(\FM_HW/u1/c3 ),
.f({\FM_HW/n0 [5],\FM_HW/n0 [3]}),
.fco(\FM_HW/u1/c7 ),
.fx({\FM_HW/n0 [6],\FM_HW/n0 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u3991"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u1/u7_al_u3993 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [9],\FM_HW/FM_RSSI_SCAN/multlII/n6 [7]}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [10],\FM_HW/FM_RSSI_SCAN/multlII/n6 [8]}),
.c(2'b00),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [9],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [7]}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [10],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [8]}),
.fci(\FM_HW/u1/c7 ),
.f({\FM_HW/n0 [9],\FM_HW/n0 [7]}),
.fco(\FM_HW/u1/c11 ),
.fx({\FM_HW/n0 [10],\FM_HW/n0 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u1/ucin_al_u3991"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\FM_HW/u1/ucin_al_u3991 (
.a({\FM_HW/FM_RSSI_SCAN/multlII/n6 [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/multlII/n6 [2],\FM_HW/FM_RSSI_SCAN/multlII/n6 [0]}),
.c(2'b00),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [1],1'b1}),
.e({\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [2],\FM_HW/FM_RSSI_SCAN/multlQQ/n6 [0]}),
.mi(\FM_HW/FM_Demodulation/dmd_data_filter[20] [9:8]),
.f({\FM_HW/n0 [1],open_n90395}),
.fco(\FM_HW/u1/c3 ),
.fx({\FM_HW/n0 [2],\FM_HW/n0 [0]}),
.q(\FM_HW/FM_Demodulation/dmd_data_filter[19] [9:8]));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u11_al_u3987 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [13],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [11]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [14],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [12]}),
.c(2'b00),
.d({\FM_HW/n0 [13],\FM_HW/n0 [11]}),
.e({\FM_HW/n0 [14],\FM_HW/n0 [12]}),
.fci(\FM_HW/u2/c11 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [13],\FM_HW/FM_RSSI_SCAN/n15 [11]}),
.fco(\FM_HW/u2/c15 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [14],\FM_HW/FM_RSSI_SCAN/n15 [12]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u15_al_u3988 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [17],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [15]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [18],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [16]}),
.c(2'b00),
.d({1'b0,\FM_HW/n0 [15]}),
.e({1'b0,\FM_HW/n0 [16]}),
.fci(\FM_HW/u2/c15 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [17],\FM_HW/FM_RSSI_SCAN/n15 [15]}),
.fco(\FM_HW/u2/c19 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [18],\FM_HW/FM_RSSI_SCAN/n15 [16]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u19_al_u3989 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [21],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [19]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [22],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/u2/c19 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [21],\FM_HW/FM_RSSI_SCAN/n15 [19]}),
.fco(\FM_HW/u2/c23 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [22],\FM_HW/FM_RSSI_SCAN/n15 [20]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u23_al_u3990 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [25],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [26],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\FM_HW/u2/c23 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [25],\FM_HW/FM_RSSI_SCAN/n15 [23]}),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [26],\FM_HW/FM_RSSI_SCAN/n15 [24]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u3_al_u3985 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [5],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [3]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [6],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [4]}),
.c(2'b00),
.d({\FM_HW/n0 [5],\FM_HW/n0 [3]}),
.e({\FM_HW/n0 [6],\FM_HW/n0 [4]}),
.fci(\FM_HW/u2/c3 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [5],\FM_HW/FM_RSSI_SCAN/n15 [3]}),
.fco(\FM_HW/u2/c7 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [6],\FM_HW/FM_RSSI_SCAN/n15 [4]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/u7_al_u3986 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [9],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [7]}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [10],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [8]}),
.c(2'b00),
.d({\FM_HW/n0 [9],\FM_HW/n0 [7]}),
.e({\FM_HW/n0 [10],\FM_HW/n0 [8]}),
.fci(\FM_HW/u2/c7 ),
.f({\FM_HW/FM_RSSI_SCAN/n15 [9],\FM_HW/FM_RSSI_SCAN/n15 [7]}),
.fco(\FM_HW/u2/c11 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [10],\FM_HW/FM_RSSI_SCAN/n15 [8]}));
EG_PHY_LSLICE #(
//.MACRO("FM_HW/u2/ucin_al_u3984"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\FM_HW/u2/ucin_al_u3984 (
.a({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [1],1'b0}),
.b({\FM_HW/FM_RSSI_SCAN/RSSI_SUM [2],\FM_HW/FM_RSSI_SCAN/RSSI_SUM [0]}),
.c(2'b00),
.d({\FM_HW/n0 [1],1'b1}),
.e({\FM_HW/n0 [2],\FM_HW/n0 [0]}),
.f({\FM_HW/FM_RSSI_SCAN/n15 [1],open_n90522}),
.fco(\FM_HW/u2/c3 ),
.fx({\FM_HW/FM_RSSI_SCAN/n15 [2],\FM_HW/FM_RSSI_SCAN/n15 [0]}));
EG_PHY_GCLK \MSI_REF_CLK/bufg_feedback (
.clki(\MSI_REF_CLK/clk0_buf ),
.clko(CW_CLK_MSI)); // al_ip/RF_REF_24M.v(39)
EG_PHY_PLL #(
//.RID("0X0103"),
//.WID("0X0103"),
.CLKC0_CPHASE(11),
.CLKC0_DIV(12),
.CLKC0_DIV2_ENABLE("DISABLE"),
.CLKC0_ENABLE("ENABLE"),
.CLKC0_FPHASE(0),
.CLKC1_CPHASE(1),
.CLKC1_DIV(1),
.CLKC1_DIV2_ENABLE("DISABLE"),
.CLKC1_ENABLE("DISABLE"),
.CLKC1_FPHASE(0),
.CLKC2_CPHASE(1),
.CLKC2_DIV(1),
.CLKC2_DIV2_ENABLE("DISABLE"),
.CLKC2_ENABLE("DISABLE"),
.CLKC2_FPHASE(0),
.CLKC3_CPHASE(49),
.CLKC3_DIV(50),
.CLKC3_DIV2_ENABLE("DISABLE"),
.CLKC3_ENABLE("ENABLE"),
.CLKC3_FPHASE(0),
.CLKC4_CPHASE(1),
.CLKC4_DIV(1),
.CLKC4_DIV2_ENABLE("DISABLE"),
.CLKC4_ENABLE("DISABLE"),
.CLKC4_FPHASE(0),
.DERIVE_PLL_CLOCKS("DISABLE"),
.DPHASE_SOURCE("DISABLE"),
.DYNCFG("DISABLE"),
.FBCLK_DIV(2),
.FEEDBK_MODE("NORMAL"),
.FEEDBK_PATH("CLKC0_EXT"),
.FIN("50.000"),
.FREQ_LOCK_ACCURACY(2),
.GEN_BASIC_CLOCK("DISABLE"),
.GMC_GAIN(4),
.GMC_TEST(14),
.ICP_CURRENT(13),
.IF_ESCLKSTSW("DISABLE"),
.INTFB_WAKE("DISABLE"),
.KVCO(4),
.LPF_CAPACITOR(1),
.LPF_RESISTOR(4),
.NORESET("DISABLE"),
.ODIV_MUXC0("DIV"),
.ODIV_MUXC1("DIV"),
.ODIV_MUXC2("DIV"),
.ODIV_MUXC3("DIV"),
.ODIV_MUXC4("DIV"),
.PLLC2RST_ENA("DISABLE"),
.PLLC34RST_ENA("DISABLE"),
.PLLMRST_ENA("DISABLE"),
.PLLRST_ENA("ENABLE"),
.PLL_LOCK_MODE(0),
.PREDIV_MUXC0("VCO"),
.PREDIV_MUXC1("VCO"),
.PREDIV_MUXC2("VCO"),
.PREDIV_MUXC3("VCO"),
.PREDIV_MUXC4("VCO"),
.REFCLK_DIV(1),
.REFCLK_SEL("INTERNAL"),
.STDBY_ENABLE("ENABLE"),
.STDBY_VCO_ENA("DISABLE"),
.SYNC_ENABLE("DISABLE"),
.VCO_NORESET("DISABLE"))
\MSI_REF_CLK/pll_inst (
.daddr(6'b000000),
.dclk(1'b0),
.dcs(1'b0),
.di(8'b00000000),
.dwe(1'b0),
.fbclk(CW_CLK_MSI),
.load_reg(1'b0),
.psclk(1'b0),
.psclksel(3'b000),
.psdown(1'b0),
.psstep(1'b0),
.refclk(clk_pad),
.reset(1'b0),
.stdby(1'b0),
.clkc({open_n90525,MSI_REFCLK_pad,open_n90526,open_n90527,\MSI_REF_CLK/clk0_buf })); // al_ip/RF_REF_24M.v(66)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~(D*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(C*~(D*A)))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110000001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000110000001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b0|RAMCODE_Interface/reg0_b2 (
.a({open_n90538,_al_u411_o}),
.b({open_n90539,_al_u418_o}),
.c({\RAMCODE_Interface/wr_en_reg ,_al_u419_o}),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\RAMCODE_Interface/size_reg [1],UART_RX_data[5]}),
.mi({HADDR[2],HADDR[4]}),
.sr(cpuresetn),
.f({RAMCODE_WRITE[1],HRDATA[5]}),
.q({RAMCODE_WADDR[0],RAMCODE_WADDR[2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b10|RAMCODE_Interface/reg0_b1 (
.b({_al_u160_o,_al_u160_o}),
.c({FMDATA_RDATA[3],FMDATA_RDATA[0]}),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({_al_u230_o,_al_u230_o}),
.mi({HADDR[12],HADDR[3]}),
.sr(cpuresetn),
.f({_al_u425_o,_al_u449_o}),
.q({RAMCODE_WADDR[10],RAMCODE_WADDR[1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(C*~(D*A)))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000110000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b11|RAMCODE_Interface/reg0_b8 (
.a({_al_u411_o,open_n90576}),
.b({_al_u421_o,open_n90577}),
.c({_al_u422_o,\FMDATA_Interface/wr_en_reg }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({UART_RX_data[4],\FMDATA_Interface/size_reg [2]}),
.mi({HADDR[13],HADDR[10]}),
.sr(cpuresetn),
.f({HRDATA[4],FMDATA_WRITE[2]}),
.q({RAMCODE_WADDR[11],RAMCODE_WADDR[8]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b4|RAMCODE_Interface/reg0_b3 (
.a({FMDATA_WADDR[12],FMDATA_WRITE[3]}),
.b({FMDATA_WADDR[11],FMDATA_WRITE[2]}),
.c({FMDATA_WADDR[10],FMDATA_WRITE[1]}),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({FMDATA_WADDR[9],FMDATA_WADDR[4]}),
.mi(HADDR[6:5]),
.sr(cpuresetn),
.f({\FM_HW/_al_u626_o ,\FM_HW/_al_u630_o }),
.q(RAMCODE_WADDR[4:3])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b7|RAMCODE_Interface/reg0_b6 (
.c({\FMDATA_Interface/wr_en_reg ,\FMDATA_Interface/wr_en_reg }),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\FMDATA_Interface/size_reg [1],\FMDATA_Interface/size_reg [3]}),
.mi(HADDR[9:8]),
.sr(cpuresetn),
.f({FMDATA_WRITE[1],FMDATA_WRITE[3]}),
.q(RAMCODE_WADDR[7:6])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg0_b9|RAMCODE_Interface/reg0_b5 (
.a({open_n90633,FMDATA_WRITE[1]}),
.b({open_n90634,FMDATA_WRITE[0]}),
.c({\FMDATA_Interface/wr_en_reg ,FMDATA_WADDR[12]}),
.ce(\RAMCODE_Interface/n15 ),
.clk(clk_pad),
.d({\FMDATA_Interface/size_reg [0],FMDATA_WADDR[11]}),
.mi({HADDR[11],HADDR[7]}),
.sr(cpuresetn),
.f({FMDATA_WRITE[0],\FM_Display/_al_u72_o }),
.q({RAMCODE_WADDR[9],RAMCODE_WADDR[5]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMCODE_Interface/reg1_b0|RAMCODE_Interface/reg1_b1 (
.a({_al_u241_o,_al_u238_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [1],\Interconncet/SlaveMUX/hsel_reg [1]}),
.c({\Interconncet/SlaveMUX/hsel_reg [2],\Interconncet/SlaveMUX/hsel_reg [2]}),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [3],\Interconncet/SlaveMUX/hsel_reg [3]}),
.mi({\FMDATA_Interface/sel0_b0_sel_o ,\FMDATA_Interface/sel0_b1_sel_o }),
.sr(cpuresetn),
.f({_al_u242_o,_al_u239_o}),
.q({\RAMCODE_Interface/size_reg [0],\RAMCODE_Interface/size_reg [1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg0_b11|RAMDATA_Interface/reg0_b10 (
.b({\u_logic/_al_u2534_o ,\u_logic/_al_u2456_o }),
.c({\u_logic/Kl8ax6 ,\u_logic/Su8ax6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f(HADDR[13:12]),
.q(RAMDATA_WADDR[11:10])); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUTF1("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUTG0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUTG1("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001100010011),
.INIT_LUTF1(16'b1011001100010011),
.INIT_LUTG0(16'b1011001100010011),
.INIT_LUTG1(16'b1011001100010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg0_b6|RAMDATA_Interface/reg0_b4 (
.a({\u_logic/_al_u2753_o ,\u_logic/_al_u2753_o }),
.b({\u_logic/_al_u2518_o ,\u_logic/_al_u2546_o }),
.c({\u_logic/Krzhu6_lutinv ,\u_logic/Krzhu6_lutinv }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/Vn9bx6 }),
.sr(cpuresetn),
.f({HADDR[8],HADDR[6]}),
.q({RAMDATA_WADDR[6],RAMDATA_WADDR[4]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg0_b9|RAMDATA_Interface/reg0_b7 (
.b({\u_logic/_al_u2526_o ,\u_logic/_al_u2620_o }),
.c({\u_logic/B79bx6 ,\u_logic/Yf1qw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({HADDR[11],HADDR[9]}),
.q({RAMDATA_WADDR[9],RAMDATA_WADDR[7]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTF1("~(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*B*C*D)"),
//.LUTG1("~(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010111110100),
.INIT_LUTF1(16'b0000000111110001),
.INIT_LUTG0(16'b0100010111110100),
.INIT_LUTG1(16'b0000000111110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg1_b0|RAMDATA_Interface/reg1_b1 (
.a({HADDR[1],HADDR[1]}),
.b({HADDR[0],HADDR[0]}),
.c({HSIZE[1],HSIZE[1]}),
.ce(\RAMDATA_Interface/n10 ),
.clk(clk_pad),
.d({HSIZE[0],HSIZE[0]}),
.sr(cpuresetn),
.f({\FMDATA_Interface/sel0_b0_sel_o ,\FMDATA_Interface/sel0_b1_sel_o }),
.q({\RAMDATA_Interface/size_reg [0],\RAMDATA_Interface/size_reg [1]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("~(~(~B*A)*~(D)*~(C)+~(~B*A)*D*~(C)+~(~(~B*A))*D*C+~(~B*A)*D*C)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("~(~(~B*A)*~(D)*~(C)+~(~B*A)*D*~(C)+~(~(~B*A))*D*C+~(~B*A)*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000101011111000),
.INIT_LUTF1(16'b0000001011110010),
.INIT_LUTG0(16'b1000101011111000),
.INIT_LUTG1(16'b0000001011110010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\RAMDATA_Interface/reg1_b2|RAMDATA_Interface/reg1_b3 (
.a({HADDR[1],HADDR[1]}),
.b({HADDR[0],HADDR[0]}),
.c({HSIZE[1],HSIZE[1]}),
.ce(\RAMDATA_Interface/n10 ),
.clk(clk_pad),
.d({HSIZE[0],HSIZE[0]}),
.sr(cpuresetn),
.f({\FMDATA_Interface/sel0_b2_sel_o ,\FMDATA_Interface/sel0_b3_sel_o }),
.q({\RAMDATA_Interface/size_reg [2],\RAMDATA_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0004"),
//.WID("0x0004"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n90776,open_n90777,open_n90778,HWDATA[1],open_n90779,open_n90780,HWDATA[0],open_n90781,open_n90782}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n90806,open_n90807,open_n90808,open_n90809,open_n90810,open_n90811,open_n90812,RAMCODE_RDATA[1:0]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0005"),
//.WID("0x0005"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n90821,open_n90822,open_n90823,HWDATA[3],open_n90824,open_n90825,HWDATA[2],open_n90826,open_n90827}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n90851,open_n90852,open_n90853,open_n90854,open_n90855,open_n90856,open_n90857,RAMCODE_RDATA[3:2]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0006"),
//.WID("0x0006"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n90866,open_n90867,open_n90868,HWDATA[5],open_n90869,open_n90870,HWDATA[4],open_n90871,open_n90872}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n90896,open_n90897,open_n90898,open_n90899,open_n90900,open_n90901,open_n90902,RAMCODE_RDATA[5:4]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0007"),
//.WID("0x0007"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n90911,open_n90912,open_n90913,HWDATA[7],open_n90914,open_n90915,HWDATA[6],open_n90916,open_n90917}),
.wea(RAMCODE_WRITE[0]),
.dob({open_n90941,open_n90942,open_n90943,open_n90944,open_n90945,open_n90946,open_n90947,RAMCODE_RDATA[7:6]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0008"),
//.WID("0x0008"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n90956,open_n90957,open_n90958,HWDATA[9],open_n90959,open_n90960,HWDATA[8],open_n90961,open_n90962}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n90986,open_n90987,open_n90988,open_n90989,open_n90990,open_n90991,open_n90992,RAMCODE_RDATA[9:8]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0009"),
//.WID("0x0009"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91001,open_n91002,open_n91003,HWDATA[11],open_n91004,open_n91005,HWDATA[10],open_n91006,open_n91007}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n91031,open_n91032,open_n91033,open_n91034,open_n91035,open_n91036,open_n91037,RAMCODE_RDATA[11:10]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000A"),
//.WID("0x000A"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91046,open_n91047,open_n91048,HWDATA[13],open_n91049,open_n91050,HWDATA[12],open_n91051,open_n91052}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n91076,open_n91077,open_n91078,open_n91079,open_n91080,open_n91081,open_n91082,RAMCODE_RDATA[13:12]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000B"),
//.WID("0x000B"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91091,open_n91092,open_n91093,HWDATA[15],open_n91094,open_n91095,HWDATA[14],open_n91096,open_n91097}),
.wea(RAMCODE_WRITE[1]),
.dob({open_n91121,open_n91122,open_n91123,open_n91124,open_n91125,open_n91126,open_n91127,RAMCODE_RDATA[15:14]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000C"),
//.WID("0x000C"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91136,open_n91137,open_n91138,HWDATA[17],open_n91139,open_n91140,HWDATA[16],open_n91141,open_n91142}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n91166,open_n91167,open_n91168,open_n91169,open_n91170,open_n91171,open_n91172,RAMCODE_RDATA[17:16]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000D"),
//.WID("0x000D"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91181,open_n91182,open_n91183,HWDATA[19],open_n91184,open_n91185,HWDATA[18],open_n91186,open_n91187}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n91211,open_n91212,open_n91213,open_n91214,open_n91215,open_n91216,open_n91217,RAMCODE_RDATA[19:18]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000E"),
//.WID("0x000E"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91226,open_n91227,open_n91228,HWDATA[21],open_n91229,open_n91230,HWDATA[20],open_n91231,open_n91232}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n91256,open_n91257,open_n91258,open_n91259,open_n91260,open_n91261,open_n91262,RAMCODE_RDATA[21:20]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x000F"),
//.WID("0x000F"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91271,open_n91272,open_n91273,HWDATA[23],open_n91274,open_n91275,HWDATA[22],open_n91276,open_n91277}),
.wea(RAMCODE_WRITE[2]),
.dob({open_n91301,open_n91302,open_n91303,open_n91304,open_n91305,open_n91306,open_n91307,RAMCODE_RDATA[23:22]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0010"),
//.WID("0x0010"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91316,open_n91317,open_n91318,HWDATA[25],open_n91319,open_n91320,HWDATA[24],open_n91321,open_n91322}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n91346,open_n91347,open_n91348,open_n91349,open_n91350,open_n91351,open_n91352,RAMCODE_RDATA[25:24]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0011"),
//.WID("0x0011"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91361,open_n91362,open_n91363,HWDATA[27],open_n91364,open_n91365,HWDATA[26],open_n91366,open_n91367}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n91391,open_n91392,open_n91393,open_n91394,open_n91395,open_n91396,open_n91397,RAMCODE_RDATA[27:26]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0012"),
//.WID("0x0012"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91406,open_n91407,open_n91408,HWDATA[29],open_n91409,open_n91410,HWDATA[28],open_n91411,open_n91412}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n91436,open_n91437,open_n91438,open_n91439,open_n91440,open_n91441,open_n91442,RAMCODE_RDATA[29:28]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0013"),
//.WID("0x0013"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_CODE/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
.addra({RAMCODE_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91451,open_n91452,open_n91453,HWDATA[31],open_n91454,open_n91455,HWDATA[30],open_n91456,open_n91457}),
.wea(RAMCODE_WRITE[3]),
.dob({open_n91481,open_n91482,open_n91483,open_n91484,open_n91485,open_n91486,open_n91487,RAMCODE_RDATA[31:30]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0014"),
//.WID("0x0014"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91496,open_n91497,open_n91498,HWDATA[1],open_n91499,open_n91500,HWDATA[0],open_n91501,open_n91502}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n91526,open_n91527,open_n91528,open_n91529,open_n91530,open_n91531,open_n91532,RAMDATA_RDATA[1:0]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0015"),
//.WID("0x0015"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91541,open_n91542,open_n91543,HWDATA[3],open_n91544,open_n91545,HWDATA[2],open_n91546,open_n91547}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n91571,open_n91572,open_n91573,open_n91574,open_n91575,open_n91576,open_n91577,RAMDATA_RDATA[3:2]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0016"),
//.WID("0x0016"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91586,open_n91587,open_n91588,HWDATA[5],open_n91589,open_n91590,HWDATA[4],open_n91591,open_n91592}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n91616,open_n91617,open_n91618,open_n91619,open_n91620,open_n91621,open_n91622,RAMDATA_RDATA[5:4]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0017"),
//.WID("0x0017"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u00_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91631,open_n91632,open_n91633,HWDATA[7],open_n91634,open_n91635,HWDATA[6],open_n91636,open_n91637}),
.wea(RAMDATA_WRITE[0]),
.dob({open_n91661,open_n91662,open_n91663,open_n91664,open_n91665,open_n91666,open_n91667,RAMDATA_RDATA[7:6]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0018"),
//.WID("0x0018"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91676,open_n91677,open_n91678,HWDATA[9],open_n91679,open_n91680,HWDATA[8],open_n91681,open_n91682}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n91706,open_n91707,open_n91708,open_n91709,open_n91710,open_n91711,open_n91712,RAMDATA_RDATA[9:8]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0019"),
//.WID("0x0019"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91721,open_n91722,open_n91723,HWDATA[11],open_n91724,open_n91725,HWDATA[10],open_n91726,open_n91727}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n91751,open_n91752,open_n91753,open_n91754,open_n91755,open_n91756,open_n91757,RAMDATA_RDATA[11:10]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001A"),
//.WID("0x001A"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91766,open_n91767,open_n91768,HWDATA[13],open_n91769,open_n91770,HWDATA[12],open_n91771,open_n91772}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n91796,open_n91797,open_n91798,open_n91799,open_n91800,open_n91801,open_n91802,RAMDATA_RDATA[13:12]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001B"),
//.WID("0x001B"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u10_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91811,open_n91812,open_n91813,HWDATA[15],open_n91814,open_n91815,HWDATA[14],open_n91816,open_n91817}),
.wea(RAMDATA_WRITE[1]),
.dob({open_n91841,open_n91842,open_n91843,open_n91844,open_n91845,open_n91846,open_n91847,RAMDATA_RDATA[15:14]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001C"),
//.WID("0x001C"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91856,open_n91857,open_n91858,HWDATA[17],open_n91859,open_n91860,HWDATA[16],open_n91861,open_n91862}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n91886,open_n91887,open_n91888,open_n91889,open_n91890,open_n91891,open_n91892,RAMDATA_RDATA[17:16]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001D"),
//.WID("0x001D"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91901,open_n91902,open_n91903,HWDATA[19],open_n91904,open_n91905,HWDATA[18],open_n91906,open_n91907}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n91931,open_n91932,open_n91933,open_n91934,open_n91935,open_n91936,open_n91937,RAMDATA_RDATA[19:18]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001E"),
//.WID("0x001E"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91946,open_n91947,open_n91948,HWDATA[21],open_n91949,open_n91950,HWDATA[20],open_n91951,open_n91952}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n91976,open_n91977,open_n91978,open_n91979,open_n91980,open_n91981,open_n91982,RAMDATA_RDATA[21:20]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x001F"),
//.WID("0x001F"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u20_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n91991,open_n91992,open_n91993,HWDATA[23],open_n91994,open_n91995,HWDATA[22],open_n91996,open_n91997}),
.wea(RAMDATA_WRITE[2]),
.dob({open_n92021,open_n92022,open_n92023,open_n92024,open_n92025,open_n92026,open_n92027,RAMDATA_RDATA[23:22]}));
// address_offset=0;data_offset=0;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0020"),
//.WID("0x0020"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h44755444444775447798327A283039773804DC3AA86A00000000000000000000),
.INIT_01(256'h2E07FE2369AB1249659659599AADA02AB2CB2DB6DB6B6B3B52A3A33D0000D044),
.INIT_02(256'h34C9198E5E1E545A9869A90007550CD104021F6011810DF74D5A6D6D3ECB70B1),
.INIT_03(256'h0D58142F56FF1DC0A009F6CAA103A803453B03B09C011047B642E172CFB0051E),
.INIT_04(256'h3C8FA8580C08AFF89957FE5649B4D87AB2B80FACD9BF9BB7FBFDF94232DE003A),
.INIT_05(256'h251AA272AB57A07CA91CD23BB71608402B62B8135F728E72E287FCC722D12E4D),
.INIT_06(256'hCCD1001C3787BA0F4C732FB707B4A2A510FEF100B9D923C8EBF5D68225A3AC29),
.INIT_07(256'h04010C10010100040021C829594488020C3FAA2D5D2C15D69955B58A30308035),
.INIT_08(256'h3010410000080020301400000C24009009420000301470000010C051C4400043),
.INIT_09(256'h00008031010008F060900502014200308E0C250000308CC20300021300080020),
.INIT_0A(256'h643111407043A400C4410441A4E900311059059001194004F470011940031101),
.INIT_0B(256'hB40A40940840B40A40940110100684000000401A110804041310120800A88281),
.INIT_0C(256'h006304006714008C4017CC8483492B6D24AF0148104403110104404402100140),
.INIT_0D(256'h0C0E70F029C2C06707009C0C0E70F029C2C06300C06300C06300C06304006304),
.INIT_0E(256'h08CCCE02300B0209062C10C1C2CC44002ABC07040040450EE804010188030088),
.INIT_0F(256'h43070A311DC03CD2320212180E47700C3440ADDB0001500211400108452A01C2),
.INIT_10(256'h880C000100654020450F1401DF3F1CE91D6FC07E133F410A4410C723315064A0),
.INIT_11(256'h2E022C10C885C8002A98D0E3DA9086BBC301AF332E2EA90439B0C27B00561C80),
.INIT_12(256'hC0A85AAAA005332A16AAA80150520356871B8C11880D5B2CFBC00F3408094A38),
.INIT_13(256'hE37782109135DAF372BB2BA80FB88AAAAAC3EE22AAAAB3F52D0CC16424AA18D1),
.INIT_14(256'h00000000000000000000000800022C9ADE42AAAAB6399660CDE8048A058B7E09),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_000 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n92036,open_n92037,open_n92038,HWDATA[25],open_n92039,open_n92040,HWDATA[24],open_n92041,open_n92042}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n92066,open_n92067,open_n92068,open_n92069,open_n92070,open_n92071,open_n92072,RAMDATA_RDATA[25:24]}));
// address_offset=0;data_offset=2;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0021"),
//.WID("0x0021"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'h7767777777766766757FBF43D4341FD4850640161E15AA000000000000000000),
.INIT_01(256'h4104D5014468800000000200020200168A28A28A289080008336D0A6B000A377),
.INIT_02(256'hE20424413111C04654D102016D10726787811448D7401107CB021F5080C09461),
.INIT_03(256'h0BF187287DFF3741511F5544412B5A01013009589401333229BE20580362C18B),
.INIT_04(256'h3426400002846000044222B02B58270E4D4D00DD26696448048C09540121C010),
.INIT_05(256'h3D000950077518F460A098848C813097605828219D504E505367FC4920109027),
.INIT_06(256'h00D32070108C8483C4C0001C0C0C4600BC5450CAECC80D405A0739223FA11C15),
.INIT_07(256'h08AE0422BA0E083820D3B01E2E02C08104143816751C2A595755596532B28080),
.INIT_08(256'h38C6AAAA0204881238CA3AAA009A826A06A3AAB8022202200AE0088848802B81),
.INIT_09(256'h50414155C0010000442100238CA3AAB00DAC1A8EAAF00CC1238E088822048812),
.INIT_0A(256'h741210914570740308410842343D0012108D09D0027101403441427101425E50),
.INIT_0B(256'hF00F00F00F00E00E00E022F0B00DECE38AAAC037B3048E31A888330488DEE342),
.INIT_0C(256'hC033AEC03D3B00CEEC014C000536D504DB57B0C010840C21010840CCA3AEA000),
.INIT_0D(256'hE807D3E01F4F807D3E01F4F803D3A00F4E8033AE8033AE8033AE8033AEC033AE),
.INIT_0E(256'h28468B0A2226218A872C206862C620103C340D882080EA896E0A2202CEBA02CE),
.INIT_0F(256'h81A18B18867328BA2C0B8BA0AB599CCB2E801449C2AAE82AA20088FA883B2841),
.INIT_10(256'h66140000554DA81280850003479BCE578605409403378100CC206931AE8220B0),
.INIT_11(256'hCD1C2488F04844032DED60FB7520F9A0700E683C18069B00971C81D500243432),
.INIT_12(256'h9D00F00001557DC03C00005550FA01A9AAA58613E806A69475A0051821DF9CF5),
.INIT_13(256'h857DA030D3D6590D3E8822BC1E9000000007A400000003D3300721E4E88E2157),
.INIT_14(256'h0000000000000000000000000003072234E400003EA3BE60CCCDCDC3028C4003),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_002 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n92081,open_n92082,open_n92083,HWDATA[27],open_n92084,open_n92085,HWDATA[26],open_n92086,open_n92087}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n92111,open_n92112,open_n92113,open_n92114,open_n92115,open_n92116,open_n92117,RAMDATA_RDATA[27:26]}));
// address_offset=0;data_offset=4;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0022"),
//.WID("0x0022"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hFFFFFFFFFFFFFFFFFF35D21700FFFFC55A2404010F404F000000000000000002),
.INIT_01(256'h884C008440150000000000400384858151451451450108A101114402DAA003FF),
.INIT_02(256'h618010840040008131C20192A044A018675091205000188214050F0200024422),
.INIT_03(256'hA9D1112440FF3FC2A23FC4A00454A99264821206802A00000CC20520B421414B),
.INIT_04(256'h8241204841401222400111401122014504050069511550400000004840000012),
.INIT_05(256'h8C200484023444C12224844000441060900828022E0822400413FC0280480413),
.INIT_06(256'hC117F93C8B4F404BF8F1930F1F0F0A0550200145555D6060052734A10D640C00),
.INIT_07(256'hFE8BBBFA2FBBBEAEFA8B5285150540680A888080718EAA3C33002F00F3336208),
.INIT_08(256'hFA402423BBB33ECCFA409223BBCEEF3BB029222EEF866FBBA8BBBE19BEEEA2EE),
.INIT_09(256'hAECBABEE8ABB26529CEB8ACFA409223BBCEEC0A488BBBEECCFA6B09AFBB33ECC),
.INIT_0A(256'h2CAAA2BAAFA32E222A83AA8A6CCBA8AAA2AB28B88EEEAB8EEA8B8AEEAB8AEAE2),
.INIT_0B(256'hE6FE6FE6FE6FE6FE6FE6CCBB3BBCEFE9AC88EEF3BFB33E90099AFBB333CECF0A),
.INIT_0C(256'hE8E33EE8EFFBA38CEE282E20A3B1C38EC70F52AE3AAA88AAA3AAA8FECF8B0F6F),
.INIT_0D(256'hEE8EFFBA3BFEE8EFFBA3BFEE8EFFBA3BFEE8E33EE8E33EE8E33EE8E33EE8E33E),
.INIT_0E(256'hE1DDD37891E110444A8DCDE4D8DE126E2832AF33FA2FE0ACCE40D0E38CFBA38C),
.INIT_0F(256'h379363784CF74D3894A5458761A33DD34E1A0DD35B8854BA8864449A21A554DC),
.INIT_10(256'h3122E8223AFC4ECE2AE0A803CF334CC362B0388083334820EDCDE3B7941D0237),
.INIT_11(256'h7C969BDED221920E8446CCF3B05AACC0982B300005ED400AB337C8F3228FB21F),
.INIT_12(256'hFF04F00005523F013C00015488F557CCE373DCC3D55F334DF3DC8BB2015516B1),
.INIT_13(256'h54BE90350B69081481C002800F0400000003C100000003C7410930E144421523),
.INIT_14(256'h0000000000000000000000002023E43E950000003E233E1000001E431115405C),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_004 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n92126,open_n92127,open_n92128,HWDATA[29],open_n92129,open_n92130,HWDATA[28],open_n92131,open_n92132}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n92156,open_n92157,open_n92158,open_n92159,open_n92160,open_n92161,open_n92162,RAMDATA_RDATA[29:28]}));
// address_offset=0;data_offset=6;depth=4096;width=2;num_section=1;width_per_section=2;section_size=8;working_depth=4096;working_width=2;working_numbyte=1;mode_ecc=0;address_step=1;bytes_in_per_section=1;
EG_PHY_BRAM #(
//.RID("0x0023"),
//.WID("0x0023"),
.CEAMUX("1"),
.CEBMUX("1"),
.CSA0("1"),
.CSA1("1"),
.CSA2("1"),
.CSB0("1"),
.CSB1("1"),
.CSB2("1"),
.DATA_WIDTH_A("2"),
.DATA_WIDTH_B("2"),
.INITP_00(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_01(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_02(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INITP_03(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_00(256'hBBBBBBBBBBBBBBBBBB604CFCD4FBFE9FF01F3C1453D53F000000000000000000),
.INIT_01(256'h10C91511D543114514514404461C13D430C30C30C31711C700000DD0400053BB),
.INIT_02(256'h0415451D51C51514679454F004CD04003C31F30034557010305C561454040C04),
.INIT_03(256'h03B7334D11772ED4F46ECDC54CFD00F3FC040340017C000001004F312C441710),
.INIT_04(256'h031304D1D4154444D110660442034298595A0582C442858055105081D5456044),
.INIT_05(256'h08055C0C542CC083040C01041101040501114144071040D10001740715C15D42),
.INIT_06(256'hD73AF32EC32B15C3BEBBF74BBB5B505FE43113103333C10453426C040B0C1414),
.INIT_07(256'hFE133FF84FB33D0CF412C4500005047D0C1D00C0E3CCC02D64FF4E45D3538090),
.INIT_08(256'hF5015D473F377CDDF50644473FDDEF77B554444CFF9DFFB34133FE77FECD04CF),
.INIT_09(256'h544141454111045054510FDF50644473FDDED5511133FECDDF514577FB377CDD),
.INIT_0A(256'h5411105155515401444104415455001110550550014541404451414541405550),
.INIT_0B(256'hDFCDFCDFCDFCDFCDFCDFDD3373FDDCD45111CFF773377D405777FB3777DDDF41),
.INIT_0C(256'hE013CDE01B37804FDE110B744334D70CD35FA04410440511010440BEF353DCFC),
.INIT_0D(256'hDE01B37806CDE01B37806CDE01B37806CDE013CDE013CDE013CDE013CDE013CD),
.INIT_0E(256'hD6EEE7B5F017010D005EDED0C5ED038815440B77F47FD55DDD45C1C04F37804F),
.INIT_0F(256'h7B4317B40DFB9E75F110005F14077EE79D705EE72F95E0FA55F4000957CCA0ED),
.INIT_10(256'h7400D0105558DEDD74E41002CF770DD685210450140481459EDED77B717C117B),
.INIT_11(256'h2DD03D77C44724085110D89BE5C00DD53D4375551FCFD4043673CDB71055E04F),
.INIT_12(256'hEA5CA5555FF02B57295557FC11BAABDDD7B7ED96EAAF779EF7E8CFF415115035),
.INIT_13(256'h3C1B312F02F00030001100000B5C45555542D7115555518EC75C748301000F02),
.INIT_14(256'h0000000000000000000000040003FFEAAAA9555558422800000038037433D4F8),
.INIT_15(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_16(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_17(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_18(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_19(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1A(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1B(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1C(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1D(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1E(256'h0000000000000000000000000000000000000000000000000000000000000000),
.INIT_1F(256'h0000000000000000000000000000000000000000000000000000000000000000),
.MODE("DP8K"),
.OCEAMUX("1"),
.OCEBMUX("1"),
.READBACK("OFF"),
.REGMODE_A("NOREG"),
.REGMODE_B("NOREG"),
.RESETMODE("ASYNC"),
.RSTAMUX("0"),
.RSTBMUX("0"),
.WEBMUX("0"),
.WRITEMODE_A("NORMAL"),
.WRITEMODE_B("NORMAL"))
\RAM_DATA/ram_mem_unify_al_u30_4096x8_sub_000000_006 (
.addra({RAMDATA_WADDR,1'b1}),
.addrb({HADDR[13:2],1'b1}),
.clka(clk_pad),
.clkb(clk_pad),
.dia({open_n92171,open_n92172,open_n92173,HWDATA[31],open_n92174,open_n92175,HWDATA[30],open_n92176,open_n92177}),
.wea(RAMDATA_WRITE[3]),
.dob({open_n92201,open_n92202,open_n92203,open_n92204,open_n92205,open_n92206,open_n92207,RAMDATA_RDATA[31:30]}));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_l (
.a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92209,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_l (
.a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92244,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_l (
.a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92279,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_l (
.a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92314,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_l (
.a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92349,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_l (
.a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92384,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_l (
.a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
.b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
.c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92419,\SPI_TX/FIFO_SPI/n2_0 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ),
.f({open_n92437,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r0_c6_we ));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_l (
.a({SPI_TX_Data[0],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[1],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[2],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[3],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92457,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c0_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_l (
.a({SPI_TX_Data[4],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[5],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[6],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[7],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92492,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c1_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_l (
.a({SPI_TX_Data[8],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[9],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[10],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[11],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92527,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c2_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_l (
.a({SPI_TX_Data[12],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[13],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[14],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[15],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92562,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c3_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_l (
.a({SPI_TX_Data[16],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[17],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[18],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[19],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92597,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c4_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_l (
.a({SPI_TX_Data[20],\SPI_TX/FIFO_SPI/wp [0]}),
.b({SPI_TX_Data[21],\SPI_TX/FIFO_SPI/wp [1]}),
.c({SPI_TX_Data[22],\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92632,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c5_we ),
.f({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }));
EG_PHY_LSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_l (
.a({SPI_TX_Data[23],\SPI_TX/FIFO_SPI/wp [0]}),
.b({1'b0,\SPI_TX/FIFO_SPI/wp [1]}),
.c({1'b0,\SPI_TX/FIFO_SPI/wp [2]}),
.clk(clk_pad),
.d({1'b0,\SPI_TX/FIFO_SPI/wp [3]}),
.e({open_n92667,\SPI_TX/FIFO_SPI/n2_1 }),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di ),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [1:0]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ),
.f({open_n92685,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 }));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_m1 (
.a({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [2],\SPI_TX/FIFO_SPI/rp [2]}),
.d({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.dpram_di(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_di [3:2]),
.dpram_mode(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_mode ),
.dpram_waddr(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_waddr ),
.dpram_wclk(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_wclk ),
.dpram_we(\SPI_TX/FIFO_SPI/al_ram_mem_r1_c6_we ));
// ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_LSLICE #(
//.LUTF0("(C@(B*D))"),
//.LUTF1("(C@D)"),
//.LUTG0("(C@(B*D))"),
//.LUTG1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110011110000),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0011110011110000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg0_b1|SPI_TX/FIFO_SPI/reg0_b2 (
.b({open_n92706,\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [2]}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/rp [0]}),
.sr(cpuresetn),
.q({\SPI_TX/FIFO_SPI/rp [1],\SPI_TX/FIFO_SPI/rp [2]})); // ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUT1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001111001100),
.INIT_LUT1(16'b0000110011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg0_b4|SPI_TX/FIFO_SPI/reg0_b3 (
.b({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ,\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv }),
.sr(cpuresetn),
.q(\SPI_TX/FIFO_SPI/rp [4:3])); // ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_MSLICE #(
//.LUT0("(C@(B*D))"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110011110000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg1_b1|SPI_TX/FIFO_SPI/reg1_b2 (
.b({open_n92749,\SPI_TX/FIFO_SPI/wp [1]}),
.c({\SPI_TX/FIFO_SPI/wp [1],\SPI_TX/FIFO_SPI/wp [2]}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/wp [0],\SPI_TX/FIFO_SPI/wp [0]}),
.sr(cpuresetn),
.q({\SPI_TX/FIFO_SPI/wp [1],\SPI_TX/FIFO_SPI/wp [2]})); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTF1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
//.LUTG0("(D*~(B)*~(C)+~(D)*B*~(C)+~(D)*B*C)"),
//.LUTG1("(D*B*~(C)+~(D)*~(B)*C+~(D)*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001111001100),
.INIT_LUTF1(16'b0000110011110000),
.INIT_LUTG0(16'b0000001111001100),
.INIT_LUTG1(16'b0000110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\SPI_TX/FIFO_SPI/reg1_b4|SPI_TX/FIFO_SPI/reg1_b3 (
.b({\SPI_TX/FIFO_SPI/wp [3],\SPI_TX/FIFO_SPI/wp [3]}),
.c({\SPI_TX/FIFO_SPI/wp [4],\SPI_TX/FIFO_SPI/wp [4]}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ,\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv }),
.sr(cpuresetn),
.q(\SPI_TX/FIFO_SPI/wp [4:3])); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/peripherals/SPI_TX.v(72)
// ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("~(~B*~(C*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("~(~B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000101010001),
.INIT_LUTF1(16'b1100110011111100),
.INIT_LUTG0(16'b1111000101010001),
.INIT_LUTG1(16'b1100110011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/MSI_clk_en_reg|SPI_TX/MSI_CS_reg (
.a({open_n92790,_al_u446_o}),
.b({\SPI_TX/n77_lutinv ,_al_u441_o}),
.c({\SPI_TX/MSI_clk_en ,MSI_CS_pad}),
.ce(\SPI_TX/count_en ),
.clk(clk_pad),
.d({_al_u446_o,\SPI_TX/counter [0]}),
.sr(cpuresetn),
.q({\SPI_TX/MSI_clk_en ,MSI_CS_pad})); // ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u0|SPI_TX/add0/ucin (
.a({\SPI_TX/counter [0],1'b0}),
.b({1'b1,open_n92812}),
.f({\SPI_TX/n31 [0],open_n92832}),
.fco(\SPI_TX/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u10|SPI_TX/add0/u9 (
.a(\SPI_TX/counter [10:9]),
.b(2'b00),
.fci(\SPI_TX/add0/c9 ),
.f(\SPI_TX/n31 [10:9]),
.fco(\SPI_TX/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u12|SPI_TX/add0/u11 (
.a(\SPI_TX/counter [12:11]),
.b(2'b00),
.fci(\SPI_TX/add0/c11 ),
.f(\SPI_TX/n31 [12:11]),
.fco(\SPI_TX/add0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u13_al_u535 (
.a({open_n92881,\SPI_TX/counter [13]}),
.b({open_n92882,1'b0}),
.fci(\SPI_TX/add0/c13 ),
.f({open_n92901,\SPI_TX/n31 [13]}));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u2|SPI_TX/add0/u1 (
.a(\SPI_TX/counter [2:1]),
.b(2'b00),
.fci(\SPI_TX/add0/c1 ),
.f(\SPI_TX/n31 [2:1]),
.fco(\SPI_TX/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u4|SPI_TX/add0/u3 (
.a(\SPI_TX/counter [4:3]),
.b(2'b00),
.fci(\SPI_TX/add0/c3 ),
.f(\SPI_TX/n31 [4:3]),
.fco(\SPI_TX/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u6|SPI_TX/add0/u5 (
.a(\SPI_TX/counter [6:5]),
.b(2'b00),
.fci(\SPI_TX/add0/c5 ),
.f(\SPI_TX/n31 [6:5]),
.fco(\SPI_TX/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("SPI_TX/add0/u0|SPI_TX/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\SPI_TX/add0/u8|SPI_TX/add0/u7 (
.a(\SPI_TX/counter [8:7]),
.b(2'b00),
.fci(\SPI_TX/add0/c7 ),
.f(\SPI_TX/n31 [8:7]),
.fco(\SPI_TX/add0/c9 ));
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/count_en_reg|SPI_TX/reg0_b0 (
.a({open_n92995,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/trans_finish_lutinv ,\SPI_TX/n31 [0]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({_al_u357_o,\SPI_TX/counter [0]}),
.sr(cpuresetn),
.q({\SPI_TX/count_en ,\SPI_TX/counter [0]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b10|SPI_TX/reg0_b9 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b(\SPI_TX/n31 [10:9]),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d(\SPI_TX/counter [10:9]),
.sr(cpuresetn),
.q(\SPI_TX/counter [10:9])); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b12|SPI_TX/reg0_b13 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [12],\SPI_TX/n31 [13]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [12],\SPI_TX/counter [13]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [12],\SPI_TX/counter [13]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b1|SPI_TX/reg0_b2 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [1],\SPI_TX/n31 [2]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [1],\SPI_TX/counter [2]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [1],\SPI_TX/counter [2]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b3|SPI_TX/reg0_b4 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [3],\SPI_TX/n31 [4]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [3],\SPI_TX/counter [4]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [3],\SPI_TX/counter [4]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0100010101000000),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0100010101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b5|SPI_TX/reg0_b6 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [5],\SPI_TX/n31 [6]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [5],\SPI_TX/counter [6]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [5],\SPI_TX/counter [6]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b0100010101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\SPI_TX/reg0_b7|SPI_TX/reg0_b8 (
.a({\SPI_TX/trans_finish_lutinv ,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/n31 [7],\SPI_TX/n31 [8]}),
.c({\SPI_TX/count_en ,\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [7],\SPI_TX/counter [8]}),
.sr(cpuresetn),
.q({\SPI_TX/counter [7],\SPI_TX/counter [8]})); // ../rtl/peripherals/SPI_TX.v(59)
// ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
// ../rtl/AHBsubordinate/AHBlite_UART.v(38)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_Interface/rd_en_reg_reg|SPI_Interface/wr_en_reg_reg (
.a({_al_u390_o,_al_u390_o}),
.b({_al_u392_o,_al_u405_o}),
.c({_al_u396_o,_al_u391_o}),
.clk(clk_pad),
.d({HWRITE,HADDR[28]}),
.sr(cpuresetn),
.q({\UART_Interface/rd_en_reg ,\SPI_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_SPI.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTF1("(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
//.LUTG0("(~B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTG1("(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000110010),
.INIT_LUTF1(16'b1110001000100010),
.INIT_LUTG0(16'b0001000000110010),
.INIT_LUTG1(16'b1110001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_Interface/reg0_b1|u_logic/_al_u3987 (
.a({\u_logic/Hz0iu6 ,\u_logic/n5754 }),
.b({\u_logic/n5754 ,HADDR[3]}),
.c({\u_logic/Qc3pw6_lutinv ,\u_logic/_al_u2615_o }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/Vj3qw6 ,\u_logic/Pg3qw6 }),
.sr(cpuresetn),
.f({HADDR[1],\u_logic/Zl9iu6_lutinv }),
.q({\UART_Interface/addr_reg [1],open_n93175})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
// ../rtl/peripherals/UART_RX.v(32)
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_MSLICE #(
//.LUT0("(B@(C*D))"),
//.LUT1("(~(D)*B*~(C)+~(D)*~(B)*C+D*~(B)*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011110011001100),
.INIT_LUT1(16'b0011000000111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_RX/reg0_b0|UART_RX/reg0_b1 (
.b({\UART_RX/counter [0],\UART_RX/counter [1]}),
.c({clk_uart,clk_uart}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({_al_u222_o,\UART_RX/counter [0]}),
.sr(cpuresetn),
.q({\UART_RX/counter [0],\UART_RX/counter [1]})); // ../rtl/peripherals/UART_RX.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C))"),
//.LUTF1("(C)"),
//.LUTG0("(B*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C))"),
//.LUTG1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110010000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1000110010000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_RX/reg1_b3 (
.a({open_n93195,_al_u333_o}),
.b({open_n93196,_al_u410_o}),
.c({open_n93197,\UART_Interface/addr_reg [2]}),
.ce(\UART_RX/mux5_b3_sel_is_3_o ),
.clk(clk_pad),
.d({open_n93198,UART_RX_data[0]}),
.mi({open_n93202,RXD_pad}),
.sr(cpuresetn),
.f({open_n93214,_al_u448_o}),
.q({open_n93218,UART_RX_data[3]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_RX/reg1_b4 (
.a({open_n93219,_al_u411_o}),
.b({open_n93220,_al_u412_o}),
.c({open_n93221,_al_u413_o}),
.ce(\UART_RX/mux5_b4_sel_is_3_o ),
.clk(clk_pad),
.d({open_n93222,UART_RX_data[7]}),
.mi({open_n93233,RXD_pad}),
.sr(cpuresetn),
.f({open_n93234,HRDATA[7]}),
.q({open_n93238,UART_RX_data[4]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0@C)*~(D@B))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(A*~(1@C)*~(D@B))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1000000000100000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b0|UART_RX/reg2_b3 (
.a({\UART_TX/FIFOwr_en ,_al_u329_o}),
.b({\UART_TX/FIFO/wp [0],\UART_TX/FIFO/rp [2]}),
.c({\UART_TX/FIFO/wp [1],\UART_TX/FIFO/rp [3]}),
.clk(clk_pad),
.d({\UART_TX/FIFO/wp [2],\UART_TX/FIFO/wp [2]}),
.e({\UART_TX/FIFO/wp [3],\UART_TX/FIFO/wp [3]}),
.mi({\UART_RX/shift_reg [1],\UART_RX/shift_reg [4]}),
.sr(cpuresetn),
.f({\UART_TX/FIFO/u7_sel_is_3_o ,\UART_TX/FIFO/n25_lutinv }),
.q({\UART_RX/shift_reg [0],\UART_RX/shift_reg [3]})); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C@B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~(C@B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100001100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100001100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b1|UART_RX/reg2_b2 (
.a({\UART_RX/shift_reg [0],open_n93255}),
.b({\UART_RX/shift_reg [1],\UART_TX/FIFO/r_flag }),
.c({\UART_RX/shift_reg [2],\UART_TX/FIFO/w_flag }),
.clk(clk_pad),
.d({\UART_RX/shift_reg [3],\UART_TX/FIFO/n25_lutinv }),
.mi({\UART_RX/shift_reg [2],\UART_RX/shift_reg [3]}),
.sr(cpuresetn),
.f({_al_u361_o,_al_u331_o}),
.q({\UART_RX/shift_reg [1],\UART_RX/shift_reg [2]})); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~(D@B)*~(C@A))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~(D@B)*~(C@A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000010000100001),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000010000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_RX/reg2_b6|UART_RX/reg2_b4 (
.a({\UART_TX/FIFO/rp [0],\UART_TX/FIFOrd_en }),
.b(\UART_TX/FIFO/rp [1:0]),
.c({\UART_TX/FIFO/wp [0],\UART_TX/FIFO/rp [1]}),
.clk(clk_pad),
.d({\UART_TX/FIFO/wp [1],\UART_TX/FIFO/rp [2]}),
.e({open_n93275,\UART_TX/FIFO/rp [3]}),
.mi({\UART_RX/shift_reg [7],\UART_RX/shift_reg [5]}),
.sr(cpuresetn),
.f({_al_u329_o,\UART_TX/FIFO/u13_sel_is_3_o }),
.q({\UART_RX/shift_reg [6],\UART_RX/shift_reg [4]})); // ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\UART_TX/FIFO/al_ram_mem_c0_l (
.a({UART_TX_data[0],\UART_TX/FIFO/wp [0]}),
.b({UART_TX_data[1],\UART_TX/FIFO/wp [1]}),
.c({UART_TX_data[2],\UART_TX/FIFO/wp [2]}),
.clk(clk_pad),
.d({UART_TX_data[3],\UART_TX/FIFO/wp [3]}),
.e({open_n93292,\UART_TX/FIFOwr_en }),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di ),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\UART_TX/FIFO/al_ram_mem_c0_m0 (
.a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
.b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
.c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
.d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [1:0]),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
.f(\UART_TX/FIFOdata [1:0]));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c0_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\UART_TX/FIFO/al_ram_mem_c0_m1 (
.a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
.b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
.c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
.d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c0_di [3:2]),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c0_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c0_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c0_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c0_we ),
.f(\UART_TX/FIFOdata [3:2]));
EG_PHY_LSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z2"),
.MODE("RAMW"))
\UART_TX/FIFO/al_ram_mem_c1_l (
.a({UART_TX_data[4],\UART_TX/FIFO/wp [0]}),
.b({UART_TX_data[5],\UART_TX/FIFO/wp [1]}),
.c({UART_TX_data[6],\UART_TX/FIFO/wp [2]}),
.clk(clk_pad),
.d({UART_TX_data[7],\UART_TX/FIFO/wp [3]}),
.e({open_n93327,\UART_TX/FIFOwr_en }),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di ),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z0"),
.MODE("DPRAM"))
\UART_TX/FIFO/al_ram_mem_c1_m0 (
.a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
.b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
.c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
.d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [1:0]),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
.f(\UART_TX/FIFOdata [5:4]));
EG_PHY_MSLICE #(
//.MACRO("UART_TX/FIFO/al_ram_mem_c1_m0"),
//.R_POSITION("X0Y0Z1"),
.MODE("DPRAM"))
\UART_TX/FIFO/al_ram_mem_c1_m1 (
.a({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
.b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
.c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
.d({\UART_TX/FIFO/rp [3],\UART_TX/FIFO/rp [3]}),
.dpram_di(\UART_TX/FIFO/al_ram_mem_c1_di [3:2]),
.dpram_mode(\UART_TX/FIFO/al_ram_mem_c1_mode ),
.dpram_waddr(\UART_TX/FIFO/al_ram_mem_c1_waddr ),
.dpram_wclk(\UART_TX/FIFO/al_ram_mem_c1_wclk ),
.dpram_we(\UART_TX/FIFO/al_ram_mem_c1_we ),
.f(\UART_TX/FIFOdata [7:6]));
// ../rtl/peripherals/FIFO.v(45)
// ../rtl/peripherals/FIFO.v(45)
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO/reg0_b0|UART_TX/FIFO/reg0_b1 (
.c({open_n93365,\UART_TX/FIFO/rp [1]}),
.ce(\UART_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [0]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [1]})); // ../rtl/peripherals/FIFO.v(45)
// ../rtl/peripherals/FIFO.v(45)
// ../rtl/peripherals/FIFO.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(D@(C*B*A))"),
//.LUTF1("(C@(B*D))"),
//.LUTG0("(D@(C*B*A))"),
//.LUTG1("(C@(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111110000000),
.INIT_LUTF1(16'b0011110011110000),
.INIT_LUTG0(16'b0111111110000000),
.INIT_LUTG1(16'b0011110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO/reg0_b2|UART_TX/FIFO/reg0_b3 (
.a({open_n93383,\UART_TX/FIFO/rp [0]}),
.b({\UART_TX/FIFO/rp [1],\UART_TX/FIFO/rp [1]}),
.c({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [2]}),
.ce(\UART_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO/rp [0],\UART_TX/FIFO/rp [3]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO/rp [2],\UART_TX/FIFO/rp [3]})); // ../rtl/peripherals/FIFO.v(45)
// ../rtl/peripherals/FIFO.v(29)
// ../rtl/peripherals/FIFO.v(29)
EG_PHY_LSLICE #(
//.LUTF0("(C@(B*D))"),
//.LUTF1("(~D)"),
//.LUTG0("(C@(B*D))"),
//.LUTG1("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110011110000),
.INIT_LUTF1(16'b0000000011111111),
.INIT_LUTG0(16'b0011110011110000),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO/reg1_b0|UART_TX/FIFO/reg1_b2 (
.b({open_n93407,\UART_TX/FIFO/wp [1]}),
.c({open_n93408,\UART_TX/FIFO/wp [2]}),
.ce(\UART_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO/wp [0],\UART_TX/FIFO/wp [0]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO/wp [0],\UART_TX/FIFO/wp [2]})); // ../rtl/peripherals/FIFO.v(29)
// ../rtl/peripherals/FIFO.v(29)
// ../rtl/peripherals/FIFO.v(29)
EG_PHY_MSLICE #(
//.LUT0("(D@(C*B*A))"),
//.LUT1("(C@D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111110000000),
.INIT_LUT1(16'b0000111111110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\UART_TX/FIFO/reg1_b1|UART_TX/FIFO/reg1_b3 (
.a({open_n93430,\UART_TX/FIFO/wp [0]}),
.b({open_n93431,\UART_TX/FIFO/wp [1]}),
.c({\UART_TX/FIFO/wp [1],\UART_TX/FIFO/wp [2]}),
.ce(\UART_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\UART_TX/FIFO/wp [0],\UART_TX/FIFO/wp [3]}),
.sr(cpuresetn),
.q({\UART_TX/FIFO/wp [1],\UART_TX/FIFO/wp [3]})); // ../rtl/peripherals/FIFO.v(29)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTG0(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_TX/counter_en_reg (
.b({open_n93451,\UART_TX/trans_finish_lutinv }),
.c({open_n93452,bps_en_tx}),
.clk(clk_pad),
.d({open_n93454,_al_u331_o}),
.sr(cpuresetn),
.q({open_n93476,bps_en_tx})); // ../rtl/peripherals/UART_TX.v(45)
// ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*C*~((D*B))+~(A)*~(C)*(D*B)+A*~(C)*(D*B))"),
//.LUT1("(B@(C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001110001010000),
.INIT_LUT1(16'b0011110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_TX/reg0_b2|UART_TX/reg0_b1 (
.a({open_n93477,\UART_TX/trans_finish_lutinv }),
.b({\UART_TX/counter [2],\UART_TX/counter [0]}),
.c({clk_uart,\UART_TX/counter [1]}),
.ce(bps_en_tx),
.clk(clk_pad),
.d({_al_u165_o,clk_uart}),
.sr(cpuresetn),
.q(\UART_TX/counter [2:1])); // ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
// ../rtl/peripherals/UART_TX.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~D*(C@B))"),
//.LUT1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111100),
.INIT_LUT1(16'b0111100011010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\UART_TX/reg0_b3|UART_TX/reg0_b0 (
.a({_al_u165_o,open_n93495}),
.b({\UART_TX/counter [2],\UART_TX/counter [0]}),
.c({\UART_TX/counter [3],clk_uart}),
.ce(bps_en_tx),
.clk(clk_pad),
.d({clk_uart,\UART_TX/trans_finish_lutinv }),
.sr(cpuresetn),
.q({\UART_TX/counter [3],\UART_TX/counter [0]})); // ../rtl/peripherals/UART_TX.v(51)
EG_PHY_PAD #(
//.LOCATION("F16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u0 (
.do({open_n93514,open_n93515,open_n93516,LED_pad[7]}),
.opad(LED[7])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("E16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u1 (
.do({open_n93531,open_n93532,open_n93533,LED_pad[7]}),
.opad(LED[6])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("M9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u10 (
.do({open_n93548,open_n93549,open_n93550,MSI_SCLK_pad}),
.opad(MSI_SCLK)); // ../rtl/topmodule/CortexM0_SoC.v(17)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u100|FM_HW/_al_u2884 (
.a({open_n93564,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i48_005 }),
.b({open_n93565,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i49_005 }),
.c({\RAMDATA_Interface/wr_en_reg ,\FM_HW/_al_u2883_o }),
.d({\RAMDATA_Interface/size_reg [0],HADDR[7]}),
.f({RAMDATA_WRITE[0],\FM_HW/_al_u2884_o }));
EG_PHY_PAD #(
//.LOCATION("N9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u11 (
.do({open_n93591,open_n93592,open_n93593,MSI_SDATA_pad}),
.opad(MSI_SDATA)); // ../rtl/topmodule/CortexM0_SoC.v(15)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u110|pulse_gen_unit/reg0_b13 (
.c({HWDATA[18],HWDATA[19]}),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({open_n93615,\pulse_gen_unit/key_reg_1 [13]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[18],SPI_TX_Data[19]}),
.q({open_n93630,\pulse_gen_unit/key_reg_2 [13]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u112|pulse_gen_unit/reg0_b12 (
.c({HWDATA[16],\pulse_gen_unit/key_reg_2 [12]}),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\filter_unit/n9 [12]}),
.mi({open_n93646,\pulse_gen_unit/key_reg_1 [12]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[16],key_interrupt[12]}),
.q({open_n93650,\pulse_gen_unit/key_reg_2 [12]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u113|_al_u111 (
.c({HWDATA[15],HWDATA[17]}),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f({SPI_TX_Data[15],SPI_TX_Data[17]}));
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u114|pulse_gen_unit/reg0_b10 (
.c({HWDATA[14],\pulse_gen_unit/key_reg_2 [10]}),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\filter_unit/n9 [10]}),
.mi({open_n93683,\pulse_gen_unit/key_reg_1 [10]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[14],key_interrupt[10]}),
.q({open_n93698,\pulse_gen_unit/key_reg_2 [10]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u115|filter_unit/reg0_b3 (
.c({HWDATA[13],HWDATA[5]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({open_n93706,\filter_unit/key_reg0 [3]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[13],SPI_TX_Data[5]}),
.q({open_n93721,\filter_unit/key_reg1 [3]})); // ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u116|_al_u119 (
.c({HWDATA[12],HWDATA[9]}),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.f({SPI_TX_Data[12],SPI_TX_Data[9]}));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("A14"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u12 (
.ipad(RSTn),
.di(RSTn_pad)); // ../rtl/topmodule/CortexM0_SoC.v(8)
// ../rtl/peripherals/UART_RX.v(26)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(A)*~(D)+~(C*B)*A*~(D)+~(~(C*B))*A*D+~(C*B)*A*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101010111000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u121|UART_RX/counter_en_reg (
.a({open_n93763,interrupt_UART}),
.b({open_n93764,_al_u360_o}),
.c({HWDATA[7],_al_u361_o}),
.clk(clk_pad),
.d({\UART_Interface/wr_en_reg ,bps_en_rx}),
.sr(cpuresetn),
.f({UART_TX_data[7],open_n93778}),
.q({open_n93782,bps_en_rx})); // ../rtl/peripherals/UART_RX.v(26)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u123|UART_RX/reg2_b5 (
.a({open_n93783,\UART_RX/shift_reg [4]}),
.b({open_n93784,\UART_RX/shift_reg [5]}),
.c({HWDATA[6],\UART_RX/shift_reg [6]}),
.clk(clk_pad),
.d({\UART_Interface/wr_en_reg ,\UART_RX/shift_reg [7]}),
.mi({open_n93789,\UART_RX/shift_reg [6]}),
.sr(cpuresetn),
.f({UART_TX_data[6],_al_u360_o}),
.q({open_n93804,\UART_RX/shift_reg [5]})); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/FIFO.v(29)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u125|UART_TX/FIFO/w_flag_reg (
.c({HWDATA[5],open_n93809}),
.ce(\UART_TX/FIFO/u7_sel_is_3_o ),
.clk(clk_pad),
.d({\UART_Interface/wr_en_reg ,\UART_TX/FIFO/w_flag }),
.sr(cpuresetn),
.f({UART_TX_data[5],open_n93826}),
.q({open_n93830,\UART_TX/FIFO/w_flag })); // ../rtl/peripherals/FIFO.v(29)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u127|_al_u135 (
.c({HWDATA[4],HWDATA[0]}),
.d({\UART_Interface/wr_en_reg ,\UART_Interface/wr_en_reg }),
.f({UART_TX_data[4],UART_TX_data[0]}));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("F12"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u13 (
.ipad(RXD),
.di(RXD_pad)); // ../rtl/topmodule/CortexM0_SoC.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u131|_al_u129 (
.c({HWDATA[2],HWDATA[3]}),
.d({\UART_Interface/wr_en_reg ,\UART_Interface/wr_en_reg }),
.f({UART_TX_data[2],UART_TX_data[3]}));
// ../rtl/peripherals/FIFO.v(45)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u133|UART_TX/FIFO/r_flag_reg (
.c({HWDATA[1],open_n93904}),
.ce(\UART_TX/FIFO/u13_sel_is_3_o ),
.clk(clk_pad),
.d({\UART_Interface/wr_en_reg ,\UART_TX/FIFO/r_flag }),
.sr(cpuresetn),
.f({UART_TX_data[1],open_n93917}),
.q({open_n93921,\UART_TX/FIFO/r_flag })); // ../rtl/peripherals/FIFO.v(45)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("P2"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("BI"),
.TSMUX("INV"))
_al_u14 (
.do({open_n93923,open_n93924,open_n93925,SWDO}),
.ts(SWDOEN),
.di(SWDIO_pad),
.bpad(SWDIO)); // ../rtl/topmodule/CortexM0_SoC.v(36)
EG_PHY_PAD #(
//.LOCATION("D12"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u15 (
.do({open_n93938,open_n93939,open_n93940,TXD_pad}),
.opad(TXD)); // ../rtl/topmodule/CortexM0_SoC.v(12)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u159|RAMCODE_Interface/reg1_b3 (
.b({\Interconncet/SlaveMUX/hsel_reg [1],open_n93956}),
.c({\Interconncet/SlaveMUX/hsel_reg [2],HADDR[4]}),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [0],_al_u389_o}),
.mi({open_n93960,\FMDATA_Interface/sel0_b3_sel_o }),
.sr(cpuresetn),
.f({_al_u159_o,_al_u390_o}),
.q({open_n93975,\RAMCODE_Interface/size_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_PAD #(
//.LOCATION("N8"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u16 (
.do({open_n93977,open_n93978,open_n93979,LED_pad[7]}),
.opad(audio_pwm)); // ../rtl/topmodule/CortexM0_SoC.v(18)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u160|RAMDATA_Interface/wr_en_reg_reg (
.b({open_n93995,_al_u405_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],_al_u296_o}),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [4],_al_u351_o}),
.sr(cpuresetn),
.f({_al_u160_o,\RAMDATA_Interface/n10 }),
.q({open_n94012,\RAMDATA_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("~(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u161|FMDATA_Interface/reg1_b0 (
.a({open_n94013,_al_u246_o}),
.b({_al_u160_o,\Interconncet/SlaveMUX/hsel_reg [1]}),
.c(\Interconncet/SlaveMUX/hsel_reg [3:2]),
.ce(\FMDATA_Interface/n10 ),
.clk(clk_pad),
.d({_al_u159_o,\Interconncet/SlaveMUX/hsel_reg [3]}),
.mi({open_n94024,\FMDATA_Interface/sel0_b0_sel_o }),
.sr(cpuresetn),
.f({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,_al_u247_o}),
.q({open_n94028,\FMDATA_Interface/size_reg [0]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("R7"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
_al_u17 (
.ipad(clk),
.di(clk_pad)); // ../rtl/topmodule/CortexM0_SoC.v(7)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u175|pulse_gen_unit/reg0_b2 (
.c({\pulse_gen_unit/key_reg_2 [2],\pulse_gen_unit/key_reg_2 [11]}),
.clk(clk_pad),
.d({\filter_unit/n9 [2],\filter_unit/n9 [11]}),
.mi({open_n94061,\pulse_gen_unit/key_reg_1 [2]}),
.sr(RSTn_pad),
.f({key_interrupt[2],key_interrupt[11]}),
.q({open_n94065,\pulse_gen_unit/key_reg_2 [2]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u176|pulse_gen_unit/reg0_b3 (
.c({\pulse_gen_unit/key_reg_2 [3],\pulse_gen_unit/key_reg_2 [13]}),
.clk(clk_pad),
.d({\filter_unit/n9 [3],\filter_unit/n9 [13]}),
.mi({open_n94074,\pulse_gen_unit/key_reg_1 [3]}),
.sr(RSTn_pad),
.f({key_interrupt[3],key_interrupt[13]}),
.q({open_n94089,\pulse_gen_unit/key_reg_2 [3]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u178|pulse_gen_unit/reg0_b0 (
.c({\pulse_gen_unit/key_reg_2 [5],\pulse_gen_unit/key_reg_2 [0]}),
.clk(clk_pad),
.d({\filter_unit/n9 [5],\filter_unit/n9 [0]}),
.mi({open_n94098,\pulse_gen_unit/key_reg_1 [0]}),
.sr(RSTn_pad),
.f({key_interrupt[5],key_interrupt[0]}),
.q({open_n94113,\pulse_gen_unit/key_reg_2 [0]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("F10"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u18 (
.ipad(col[3]),
.di(col_pad[3])); // ../rtl/topmodule/CortexM0_SoC.v(21)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("C11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u19 (
.ipad(col[2]),
.di(col_pad[2])); // ../rtl/topmodule/CortexM0_SoC.v(21)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\_al_u196|_al_u199 (
.a({open_n94148,row_pad[0]}),
.b({open_n94149,row_pad[1]}),
.c({row_pad[1],row_pad[2]}),
.d({row_pad[0],row_pad[3]}),
.f({_al_u196_o,_al_u199_o}));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u197|_al_u215 (
.a({open_n94170,_al_u196_o}),
.b({row_pad[2],col_pad[0]}),
.c(row_pad[3:2]),
.d({_al_u196_o,row_pad[3]}),
.f({_al_u197_o,_al_u215_o}));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*~A)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(D*C*B*~A)"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0100000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u198|_al_u201 (
.a({row_pad[0],row_pad[0]}),
.b({row_pad[1],row_pad[1]}),
.c({row_pad[2],row_pad[2]}),
.d({row_pad[3],row_pad[3]}),
.f({_al_u198_o,_al_u201_o}));
EG_PHY_PAD #(
//.LOCATION("E13"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u2 (
.do({open_n94220,open_n94221,open_n94222,LED_pad[7]}),
.opad(LED[5])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("D11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u20 (
.ipad(col[1]),
.di(col_pad[1])); // ../rtl/topmodule/CortexM0_SoC.v(21)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u200|scan_unit/reg1_b7 (
.a({open_n94253,_al_u214_o}),
.b({_al_u198_o,_al_u197_o}),
.c({_al_u199_o,col_pad[3]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({_al_u197_o,key_in[7]}),
.f({_al_u200_o,open_n94268}),
.q({open_n94272,key_in[7]})); // ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u204|_al_u207 (
.b({_al_u198_o,_al_u199_o}),
.c({_al_u201_o,_al_u201_o}),
.d({_al_u197_o,_al_u197_o}),
.f({_al_u204_o,_al_u207_o}));
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("E11"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVTTL33"),
.MODE("IN"),
.TSMUX("1"))
_al_u21 (
.ipad(col[0]),
.di(col_pad[0])); // ../rtl/topmodule/CortexM0_SoC.v(21)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(C*~D))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("~(~B*~(C*~D))"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011111100),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b1100110011111100),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u214|scan_unit/reg1_b4 (
.b({_al_u199_o,_al_u215_o}),
.c({_al_u201_o,key_in[4]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({_al_u198_o,_al_u214_o}),
.f({_al_u214_o,open_n94336}),
.q({open_n94340,key_in[4]})); // ../rtl/peripherals/KeyScan.v(18)
EG_PHY_PAD #(
//.LOCATION("D9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u22 (
.do({open_n94342,open_n94343,open_n94344,row_pad[3]}),
.opad(row[3])); // ../rtl/topmodule/CortexM0_SoC.v(22)
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~((~D*A))+~(B)*C*~((~D*A))+B*~(C)*(~D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(C)*~((~D*A))+~(B)*C*~((~D*A))+B*~(C)*(~D*A))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110000011100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011110000011100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u223|UART_RX/reg0_b3 (
.a({open_n94358,interrupt_UART}),
.b({open_n94359,_al_u273_o}),
.c({\UART_RX/counter [0],\UART_RX/counter [3]}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({_al_u222_o,clk_uart}),
.sr(cpuresetn),
.f({interrupt_UART,open_n94376}),
.q({open_n94380,\UART_RX/counter [3]})); // ../rtl/peripherals/UART_RX.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*~(0*C)))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(~B*A*~(D*~(1*C)))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b0000000000100010),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0010000000100010),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u228|_al_u237 (
.a({open_n94381,_al_u228_o}),
.b({_al_u160_o,_al_u236_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [3],_al_u230_o}),
.d({_al_u159_o,_al_u160_o}),
.e({open_n94384,FMDATA_RDATA[10]}),
.f({_al_u228_o,HRDATA[10]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUT0(16'b1000110010101110),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"))
\_al_u229|_al_u232 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c(RAMCODE_RDATA[9:8]),
.d(RAMDATA_RDATA[9:8]),
.f({_al_u229_o,_al_u232_o}));
EG_PHY_PAD #(
//.LOCATION("F9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u23 (
.do({open_n94426,open_n94427,open_n94428,row_pad[2]}),
.opad(row[2])); // ../rtl/topmodule/CortexM0_SoC.v(22)
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u231 (
.a({_al_u228_o,_al_u228_o}),
.b({_al_u229_o,_al_u229_o}),
.c({_al_u230_o,_al_u230_o}),
.d({_al_u160_o,_al_u160_o}),
.mi({open_n94454,FMDATA_RDATA[9]}),
.fx({open_n94459,HRDATA[9]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*~(0*C)))"),
//.LUT1("(~B*A*~(D*~(1*C)))"),
.INIT_LUT0(16'b0000000000100010),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u233 (
.a({_al_u228_o,_al_u228_o}),
.b({_al_u232_o,_al_u232_o}),
.c({_al_u230_o,_al_u230_o}),
.d({_al_u160_o,_al_u160_o}),
.mi({open_n94474,FMDATA_RDATA[8]}),
.fx({open_n94479,HRDATA[8]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUT0(16'b1000110010101110),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"))
\_al_u234|_al_u236 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c(RAMCODE_RDATA[11:10]),
.d(RAMDATA_RDATA[11:10]),
.f({_al_u234_o,_al_u236_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~B*A*~(D*~(0*C)))"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~B*A*~(D*~(1*C)))"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000100010),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u235|_al_u386 (
.a({_al_u228_o,HADDR[11]}),
.b({_al_u234_o,HADDR[10]}),
.c({_al_u230_o,HADDR[9]}),
.d({_al_u160_o,HADDR[8]}),
.e({FMDATA_RDATA[11],open_n94504}),
.f({HRDATA[11],_al_u386_o}));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.INIT_LUT0(16'b1100101011110000),
.INIT_LUT1(16'b1000110010101110),
.MODE("LOGIC"))
\_al_u238|FM_HW/_al_u2207 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i10_007 }),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i11_007 }),
.c({RAMCODE_RDATA[13],\FM_HW/_al_u2206_o }),
.d({RAMDATA_RDATA[13],HADDR[7]}),
.f({_al_u238_o,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B1_2 }));
EG_PHY_PAD #(
//.LOCATION("C10"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u24 (
.do({open_n94546,open_n94547,open_n94548,row_pad[1]}),
.opad(row[1])); // ../rtl/topmodule/CortexM0_SoC.v(22)
// ../rtl/AHBsubordinate/AHBlite_UART.v(45)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000100010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u240|UART_Interface/wr_en_reg_reg (
.a({_al_u239_o,_al_u390_o}),
.b({_al_u160_o,_al_u392_o}),
.c({FMDATA_RDATA[13],_al_u396_o}),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [0],HWRITE}),
.sr(cpuresetn),
.f({HRDATA[13],open_n94579}),
.q({open_n94583,\UART_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_UART.v(45)
// ../rtl/demodulation/FM_RSSI.v(116)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000000000100010),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u243|FM_HW/FM_RSSI_SCAN/reg3_b13 (
.a({_al_u242_o,open_n94584}),
.b({_al_u160_o,open_n94585}),
.c({FMDATA_RDATA[12],HADDR[10]}),
.ce(\FM_HW/FM_RSSI_SCAN/n25 ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [0],HADDR[2]}),
.mi({open_n94589,\FM_HW/FM_RSSI_SCAN/RSSI_SUM [23]}),
.f({HRDATA[12],\u_logic/Gm9iu6_lutinv }),
.q({open_n94605,\FM_HW/rd_SCAN [13]})); // ../rtl/demodulation/FM_RSSI.v(116)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110010101110),
.INIT_LUTF1(16'b1000110010101110),
.INIT_LUTG0(16'b1000110010101110),
.INIT_LUTG1(16'b1000110010101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u244|FMDATA_Interface/reg0_b9 (
.a({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.b({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.c({RAMCODE_RDATA[15],RAMCODE_RDATA[16]}),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({RAMDATA_RDATA[15],RAMDATA_RDATA[16]}),
.mi({open_n94609,HADDR[11]}),
.sr(cpuresetn),
.f({_al_u244_o,_al_u251_o}),
.q({open_n94624,FMDATA_WADDR[9]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b1000000000100010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u248|RAMCODE_Interface/reg1_b2 (
.a({_al_u247_o,_al_u409_o}),
.b({_al_u160_o,\UART_Interface/addr_reg [0]}),
.c({FMDATA_RDATA[14],\UART_Interface/addr_reg [1]}),
.ce(\RAMCODE_Interface/n10 ),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/hsel_reg [0],\UART_Interface/addr_reg [3]}),
.mi({open_n94635,\FMDATA_Interface/sel0_b2_sel_o }),
.sr(cpuresetn),
.f({HRDATA[14],_al_u410_o}),
.q({open_n94639,\RAMCODE_Interface/size_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(51)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(66)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u249|FMDATA_Interface/wr_en_reg_reg (
.a({open_n94640,_al_u351_o}),
.b({open_n94641,_al_u405_o}),
.c({\Interconncet/SlaveMUX/hsel_reg [3],HADDR[31]}),
.clk(clk_pad),
.d({_al_u159_o,HADDR[30]}),
.sr(cpuresetn),
.f({_al_u249_o,\FMDATA_Interface/n10 }),
.q({open_n94662,\FMDATA_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(66)
EG_PHY_PAD #(
//.LOCATION("E10"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVTTL33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u25 (
.do({open_n94664,open_n94665,open_n94666,row_pad[0]}),
.opad(row[0])); // ../rtl/topmodule/CortexM0_SoC.v(22)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u250|RAMCODE_Interface/wr_en_reg_reg (
.a({_al_u249_o,open_n94680}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],open_n94681}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],_al_u405_o}),
.clk(clk_pad),
.d({RAMCODE_RDATA[17],HSEL_P0}),
.e({RAMDATA_RDATA[17],open_n94683}),
.sr(cpuresetn),
.f({HRDATA[17],\RAMCODE_Interface/n10 }),
.q({open_n94701,\RAMCODE_Interface/wr_en_reg })); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(66)
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u253 (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[19],RAMCODE_RDATA[19]}),
.mi({open_n94714,RAMDATA_RDATA[19]}),
.fx({open_n94719,HRDATA[19]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u254|_al_u265 (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[18],RAMCODE_RDATA[31]}),
.e({RAMDATA_RDATA[18],RAMDATA_RDATA[31]}),
.f({HRDATA[18],HRDATA[31]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u255|_al_u256 (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d(RAMCODE_RDATA[21:20]),
.e(RAMDATA_RDATA[21:20]),
.f(HRDATA[21:20]));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u258 (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[22],RAMCODE_RDATA[22]}),
.mi({open_n94778,RAMDATA_RDATA[22]}),
.fx({open_n94783,HRDATA[22]}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0010100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u264 (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[28],RAMCODE_RDATA[28]}),
.mi({open_n94798,RAMDATA_RDATA[28]}),
.fx({open_n94803,HRDATA[28]}));
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u267|SPI_TX/FIFO_SPI/reg1_b0 (
.b({\SPI_TX/FIFO_SPI/rp [1],open_n94808}),
.c({\SPI_TX/FIFO_SPI/rp [2],open_n94809}),
.ce(\SPI_TX/FIFOwr_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/rp [0],\SPI_TX/FIFO_SPI/wp [0]}),
.sr(cpuresetn),
.f({\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv ,open_n94826}),
.q({open_n94830,\SPI_TX/FIFO_SPI/wp [0]})); // ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\_al_u270|_al_u355 (
.a({open_n94831,\SPI_TX/FIFO_SPI/rp [0]}),
.b({\SPI_TX/FIFO_SPI/wp [1],\SPI_TX/FIFO_SPI/rp [1]}),
.c({\SPI_TX/FIFO_SPI/wp [2],\SPI_TX/FIFO_SPI/wp [0]}),
.d({\SPI_TX/FIFO_SPI/wp [0],\SPI_TX/FIFO_SPI/wp [1]}),
.f({\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ,_al_u355_o}));
// ../rtl/peripherals/UART_RX.v(32)
EG_PHY_MSLICE #(
//.LUT0("(C@(D*B*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111100011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u273|UART_RX/reg0_b2 (
.a({\UART_RX/counter [0],\UART_RX/counter [0]}),
.b({\UART_RX/counter [1],\UART_RX/counter [1]}),
.c({\UART_RX/counter [2],\UART_RX/counter [2]}),
.ce(bps_en_rx),
.clk(clk_pad),
.d({clk_uart,clk_uart}),
.sr(cpuresetn),
.f({_al_u273_o,open_n94864}),
.q({open_n94868,\UART_RX/counter [2]})); // ../rtl/peripherals/UART_RX.v(32)
// ../rtl/peripherals/UART_RX.v(13)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0101010100110011),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u276|UART_RX/reg2_b7 (
.a({\UART_TX/FIFOdata [6],\UART_TX/FIFOdata [2]}),
.b({\UART_TX/FIFOdata [4],\UART_TX/FIFOdata [1]}),
.c({\UART_TX/counter [1],\UART_TX/FIFOdata [0]}),
.clk(clk_pad),
.d({\UART_TX/counter [0],\UART_TX/counter [0]}),
.e({open_n94870,\UART_TX/counter [1]}),
.mi({open_n94872,RXD_pad}),
.sr(cpuresetn),
.f({_al_u276_o,_al_u278_o}),
.q({open_n94887,\UART_RX/shift_reg [7]})); // ../rtl/peripherals/UART_RX.v(13)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000111100110101),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000111100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u277|filter_unit/reg6_b0 (
.a({\UART_TX/FIFOdata [3],open_n94888}),
.b({\UART_TX/FIFOdata [5],open_n94889}),
.c({_al_u276_o,\UART_TX/trans_finish_lutinv }),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\UART_TX/counter [0],_al_u331_o}),
.mi({open_n94893,key_in[0]}),
.sr(RSTn_pad),
.f({_al_u277_o,\UART_TX/FIFOrd_en }),
.q({open_n94908,\filter_unit/key_reg0 [0]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(~B*~A)))"),
//.LUTF1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(D*~(C*~(~B*~A)))"),
//.LUTG1("(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001111100000000),
.INIT_LUTF1(16'b1111110000001100),
.INIT_LUTG0(16'b0001111100000000),
.INIT_LUTG1(16'b1111110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u279|filter_unit/reg6_b6 (
.a({open_n94909,\UART_TX/counter [1]}),
.b({_al_u278_o,\UART_TX/counter [2]}),
.c({\UART_TX/counter [2],\UART_TX/counter [3]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({_al_u277_o,clk_uart}),
.mi({open_n94913,key_in[6]}),
.sr(RSTn_pad),
.f({_al_u279_o,\UART_TX/n11 }),
.q({open_n94928,\filter_unit/key_reg0 [6]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/UART_TX.v(65)
EG_PHY_LSLICE #(
//.LUTF0("~(0*(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C))"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("~(1*(~D*~((~B*A))*~(C)+~D*(~B*A)*~(C)+~(~D)*(~B*A)*C+~D*(~B*A)*C))"),
//.LUTG1("(C*~(~B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111111111),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b1101111111010000),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u280|UART_TX/TXD_reg (
.a({open_n94929,_al_u279_o}),
.b({\UART_TX/counter [0],_al_u280_o}),
.c({\UART_TX/counter [3],\UART_TX/n11 }),
.clk(clk_pad),
.d({\UART_TX/FIFOdata [7],TXD_pad}),
.e({open_n94931,bps_en_tx}),
.sr(cpuresetn),
.f({_al_u280_o,open_n94946}),
.q({open_n94950,TXD_pad})); // ../rtl/peripherals/UART_TX.v(65)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u288|_al_u289 (
.a({_al_u287_o,\clkuart_pwm/cnt [10]}),
.b({\clkuart_pwm/cnt [7],\clkuart_pwm/cnt [11]}),
.c({\clkuart_pwm/cnt [8],\clkuart_pwm/cnt [12]}),
.d({\clkuart_pwm/cnt [9],\clkuart_pwm/cnt [2]}),
.f({_al_u288_o,_al_u289_o}));
// ../rtl/topmodule/cortexm0ds_logic.v(17997)
EG_PHY_MSLICE #(
//.LUT0("~(~C*A*~(D*B))"),
//.LUT1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110111110101),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u292|u_logic/Fl2qw6_reg (
.a({_al_u291_o,\u_logic/_al_u3069_o }),
.b({HADDR[20],\u_logic/Bo1iu6 }),
.c({HADDR[19],\u_logic/Qwpiu6_lutinv }),
.clk(clk_pad),
.d({HADDR[18],\u_logic/Q2ibx6 }),
.f({_al_u292_o,open_n94989}),
.q({open_n94993,\u_logic/Fl2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17997)
// ../rtl/topmodule/cortexm0ds_logic.v(20107)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010000110000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1111111010111010),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u293|u_logic/Qehbx6_reg (
.a({open_n94994,\u_logic/Oa4iu6 }),
.b({HADDR[28],\u_logic/n394 }),
.c({HADDR[17],\u_logic/I4rpw6 }),
.clk(clk_pad),
.d({_al_u292_o,\u_logic/Qehbx6 }),
.e({open_n94996,\u_logic/Vrkbx6 [1]}),
.f({_al_u293_o,open_n95012}),
.q({open_n95016,\u_logic/Qehbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20107)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u294|u_logic/_al_u2946 (
.a({open_n95017,\u_logic/Nwdbx6 }),
.b({HADDR[26],\u_logic/Q2ibx6 }),
.c({HADDR[25],\u_logic/Q4dbx6 }),
.d({HADDR[27],\u_logic/Ufebx6 }),
.f({_al_u294_o,\u_logic/_al_u2946_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19928)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*C)*~(0*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(A*~(D*C)*~(1*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010101010101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110111011101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u295|u_logic/L9bbx6_reg (
.a({open_n95042,\u_logic/_al_u3525_o }),
.b({open_n95043,\u_logic/Bo1iu6 }),
.c({HADDR[29],\u_logic/Qwpiu6_lutinv }),
.clk(clk_pad),
.d({_al_u294_o,\u_logic/Dugax6 }),
.e({open_n95045,\u_logic/Vj3qw6 }),
.f({_al_u295_o,open_n95061}),
.q({open_n95065,\u_logic/L9bbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19928)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u296|Interconncet/SlaveMUX/reg0_b0 (
.b({HADDR[30],HADDR[31]}),
.c({HADDR[16],HADDR[30]}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HADDR[31],_al_u351_o}),
.sr(cpuresetn),
.f({_al_u296_o,open_n95084}),
.q({open_n95088,\Interconncet/SlaveMUX/hsel_reg [0]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u298|_al_u438 (
.a({\SPI_TX/counter [10],_al_u437_o}),
.b({\SPI_TX/counter [3],\SPI_TX/counter [10]}),
.c({\SPI_TX/counter [4],\SPI_TX/counter [11]}),
.d({\SPI_TX/counter [8],\SPI_TX/counter [12]}),
.e({open_n95091,\SPI_TX/counter [8]}),
.f({_al_u298_o,_al_u438_o}));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u299 (
.a({_al_u298_o,_al_u298_o}),
.b({\SPI_TX/counter [11],\SPI_TX/counter [11]}),
.c({\SPI_TX/counter [12],\SPI_TX/counter [12]}),
.d({\SPI_TX/counter [13],\SPI_TX/counter [13]}),
.mi({open_n95124,\SPI_TX/counter [5]}),
.fx({open_n95129,_al_u299_o}));
EG_PHY_PAD #(
//.LOCATION("C16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u3 (
.do({open_n95133,open_n95134,open_n95135,LED_pad[7]}),
.opad(LED[4])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\_al_u300|_al_u459 (
.b({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [2]}),
.d({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.f({_al_u300_o,_al_u459_o}));
EG_PHY_MSLICE #(
//.LUT0("(0*D*~C*B*A)"),
//.LUT1("(1*D*~C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u301 (
.a({_al_u299_o,_al_u299_o}),
.b({_al_u300_o,_al_u300_o}),
.c({\SPI_TX/counter [6],\SPI_TX/counter [6]}),
.d({\SPI_TX/counter [7],\SPI_TX/counter [7]}),
.mi({open_n95183,\SPI_TX/counter [9]}),
.fx({open_n95188,\SPI_TX/trans_finish_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\_al_u316|_al_u319 (
.b({\UART_RX/counter [0],\UART_RX/counter [0]}),
.c({clk_uart,clk_uart}),
.d({bps_en_rx,bps_en_rx}),
.f({_al_u316_o,_al_u319_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(A*B*~(C)+~(A)*~(B)*C))"),
//.LUTF1("(~D*C*(B@A))"),
//.LUTG0("(~D*(A*B*~(C)+~(A)*~(B)*C))"),
//.LUTG1("(~D*C*(B@A))"),
.INIT_LUTF0(16'b0000000000011000),
.INIT_LUTF1(16'b0000000001100000),
.INIT_LUTG0(16'b0000000000011000),
.INIT_LUTG1(16'b0000000001100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u317|_al_u325 (
.a({\UART_RX/counter [0],\UART_RX/counter [0]}),
.b({\UART_RX/counter [1],\UART_RX/counter [1]}),
.c({\UART_RX/counter [2],\UART_RX/counter [2]}),
.d({\UART_RX/counter [3],\UART_RX/counter [3]}),
.f({_al_u317_o,_al_u325_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*(B@A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*~C*(B@A))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000000000110),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000000110),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u321|_al_u323 (
.a({open_n95237,\UART_RX/counter [0]}),
.b({bps_en_rx,\UART_RX/counter [1]}),
.c(\UART_RX/counter [3:2]),
.d({_al_u273_o,\UART_RX/counter [3]}),
.f({\UART_RX/mux5_b6_sel_is_3_o ,_al_u323_o}));
// ../rtl/demodulation/FM_HW.v(49)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~C*~(D*~A)))"),
//.LUT1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000100110000),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u322|FM_HW/adc_Power_down_reg (
.a({_al_u222_o,\FM_HW/_al_u640_o }),
.b({bps_en_rx,\FM_HW/_al_u620_o }),
.c({\UART_RX/counter [0],\FM_HW/_al_u621_o }),
.ce(\FM_HW/and_n4_n1_o ),
.clk(clk_pad),
.d({clk_uart,\FM_HW/adc_Power_down }),
.sr(RSTn_pad),
.f({\UART_RX/mux5_b7_sel_is_3_o ,open_n95274}),
.q({open_n95278,\FM_HW/adc_Power_down })); // ../rtl/demodulation/FM_HW.v(49)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u324|UART_RX/reg1_b2 (
.c({_al_u323_o,_al_u317_o}),
.ce(\UART_RX/mux5_b2_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u316_o,_al_u316_o}),
.mi({open_n95286,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b0_sel_is_3_o ,\UART_RX/mux5_b4_sel_is_3_o }),
.q({open_n95301,UART_RX_data[2]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u326|UART_RX/reg1_b1 (
.b({open_n95304,FM_HW_state[2]}),
.c({_al_u325_o,FM_HW_state[3]}),
.ce(\UART_RX/mux5_b1_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u319_o,FM_HW_state[1]}),
.mi({open_n95315,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b3_sel_is_3_o ,\FM_HW/_al_u578_o }),
.q({open_n95319,UART_RX_data[1]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u327|UART_RX/reg1_b7 (
.b({open_n95322,FM_HW_state[2]}),
.c({_al_u325_o,FM_HW_state[3]}),
.ce(\UART_RX/mux5_b7_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u316_o,FM_HW_state[1]}),
.mi({open_n95333,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b2_sel_is_3_o ,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.q({open_n95337,UART_RX_data[7]})); // ../rtl/peripherals/UART_RX.v(43)
// ../rtl/peripherals/UART_RX.v(43)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u328|UART_RX/reg1_b6 (
.a({open_n95338,\FM_HW/FM_Dump_Data_IQ/n58 }),
.b({open_n95339,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [0]}),
.c({_al_u323_o,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [1]}),
.ce(\UART_RX/mux5_b6_sel_is_3_o ),
.clk(clk_pad),
.d({_al_u319_o,\FM_HW/FM_Dump_Data_IQ/Data_dump_state [2]}),
.mi({open_n95343,RXD_pad}),
.sr(cpuresetn),
.f({\UART_RX/mux5_b1_sel_is_3_o ,\FM_HW/_al_u576_o }),
.q({open_n95358,UART_RX_data[6]})); // ../rtl/peripherals/UART_RX.v(43)
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
_al_u333 (
.b({open_n95361,\UART_TX/FIFO/r_flag }),
.c({open_n95362,\UART_TX/FIFO/w_flag }),
.d({open_n95365,\UART_TX/FIFO/n25_lutinv }),
.f({open_n95379,_al_u333_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\_al_u334|_al_u222 (
.b({open_n95387,\UART_RX/counter [2]}),
.c({\UART_Interface/wr_en_reg ,\UART_RX/counter [3]}),
.d({_al_u333_o,\UART_RX/counter [1]}),
.f({\UART_TX/FIFOwr_en ,_al_u222_o}));
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u335|filter_unit/reg5_b15 (
.a({\filter_unit/cnt [16],open_n95408}),
.b({\filter_unit/cnt [17],open_n95409}),
.c({\filter_unit/cnt [18],\filter_unit/n0 [15]}),
.clk(clk_pad),
.d({\filter_unit/cnt [19],\filter_unit/n3 }),
.sr(RSTn_pad),
.f({_al_u335_o,open_n95423}),
.q({open_n95427,\filter_unit/cnt [15]})); // ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u336 (
.a({_al_u335_o,_al_u335_o}),
.b({\filter_unit/cnt [2],\filter_unit/cnt [2]}),
.c({\filter_unit/cnt [3],\filter_unit/cnt [3]}),
.d({\filter_unit/cnt [4],\filter_unit/cnt [4]}),
.mi({open_n95440,\filter_unit/cnt [5]}),
.fx({open_n95445,_al_u336_o}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~D*C*~B*~A)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u337|_al_u287 (
.a({\filter_unit/cnt [12],\clkuart_pwm/cnt [3]}),
.b({\filter_unit/cnt [13],\clkuart_pwm/cnt [4]}),
.c({\filter_unit/cnt [14],\clkuart_pwm/cnt [5]}),
.d({\filter_unit/cnt [15],\clkuart_pwm/cnt [6]}),
.f({_al_u337_o,_al_u287_o}));
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u338|filter_unit/reg5_b0 (
.a({\filter_unit/cnt [0],open_n95472}),
.b({\filter_unit/cnt [1],open_n95473}),
.c({\filter_unit/cnt [10],\filter_unit/n0 [0]}),
.clk(clk_pad),
.d({\filter_unit/cnt [11],\filter_unit/n3 }),
.sr(RSTn_pad),
.f({_al_u338_o,open_n95487}),
.q({open_n95491,\filter_unit/cnt [0]})); // ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*~C*~B*~A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"))
\_al_u339|_al_u340 (
.a({\filter_unit/cnt [6],_al_u336_o}),
.b({\filter_unit/cnt [7],_al_u337_o}),
.c({\filter_unit/cnt [8],_al_u338_o}),
.d({\filter_unit/cnt [9],_al_u339_o}),
.f({_al_u339_o,\filter_unit/n3 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18041)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010000110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111111010111010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\_al_u351|u_logic/Vj3qw6_reg (
.a({open_n95512,\u_logic/Oa4iu6 }),
.b({_al_u294_o,\u_logic/n394 }),
.c({HADDR[29],\u_logic/M8ipw6 }),
.clk(clk_pad),
.d({_al_u293_o,\u_logic/Vj3qw6 }),
.e({open_n95514,\u_logic/Vrkbx6 [2]}),
.f({_al_u351_o,open_n95530}),
.q({open_n95534,\u_logic/Vj3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18041)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(B*A*~(D@C))"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(B*A*~(D@C))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1000000000001000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u356|_al_u399 (
.a({_al_u354_o,\SPI_TX/FIFOrd_en }),
.b({_al_u355_o,\SPI_TX/FIFO_SPI/add1/net_cout2_lutinv }),
.c({\SPI_TX/FIFO_SPI/rp [3],\SPI_TX/FIFO_SPI/rp [3]}),
.d({\SPI_TX/FIFO_SPI/wp [3],\SPI_TX/FIFO_SPI/rp [4]}),
.f({\SPI_TX/FIFO_SPI/n25_lutinv ,\SPI_TX/FIFO_SPI/u13_sel_is_3_o }));
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(~D)"),
//.LUTG1("(D*~(C@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u357|SPI_TX/FIFO_SPI/r_flag_reg (
.b({\SPI_TX/FIFO_SPI/r_flag ,open_n95561}),
.c({\SPI_TX/FIFO_SPI/w_flag ,open_n95562}),
.ce(\SPI_TX/FIFO_SPI/u13_sel_is_3_o ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/n25_lutinv ,\SPI_TX/FIFO_SPI/r_flag }),
.sr(cpuresetn),
.f({_al_u357_o,open_n95579}),
.q({open_n95583,\SPI_TX/FIFO_SPI/r_flag })); // ../rtl/peripherals/FIFO_SPI.v(47)
// ../rtl/peripherals/FIFO_SPI.v(31)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(B*~(A*(D@C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b1100010001001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u359|SPI_TX/FIFO_SPI/w_flag_reg (
.a({\SPI_TX/FIFO_SPI/n25_lutinv ,open_n95584}),
.b({\SPI_Interface/wr_en_reg ,open_n95585}),
.c({\SPI_TX/FIFO_SPI/r_flag ,open_n95586}),
.ce(\SPI_TX/FIFO_SPI/u7_sel_is_3_o ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/w_flag ,\SPI_TX/FIFO_SPI/w_flag }),
.sr(cpuresetn),
.f({\SPI_TX/FIFOwr_en ,open_n95599}),
.q({open_n95603,\SPI_TX/FIFO_SPI/w_flag })); // ../rtl/peripherals/FIFO_SPI.v(31)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u388|Interconncet/SlaveMUX/reg0_b5 (
.a({HADDR[15],open_n95604}),
.b({HADDR[14],_al_u295_o}),
.c({HADDR[13],_al_u296_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HADDR[12],_al_u293_o}),
.sr(cpuresetn),
.f({_al_u388_o,HSEL_P0}),
.q({open_n95624,\Interconncet/SlaveMUX/hsel_reg [5]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u389|Interconncet/SlaveMUX/reg0_b4 (
.a({_al_u387_o,open_n95625}),
.b({_al_u388_o,open_n95626}),
.c({HADDR[17],_al_u296_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HADDR[16],_al_u351_o}),
.sr(cpuresetn),
.f({_al_u389_o,open_n95639}),
.q({open_n95643,\Interconncet/SlaveMUX/hsel_reg [4]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u392|Interconncet/SlaveMUX/reg0_b1 (
.b({open_n95646,_al_u391_o}),
.c({HADDR[28],HADDR[28]}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({_al_u391_o,_al_u390_o}),
.sr(cpuresetn),
.f({_al_u392_o,open_n95659}),
.q({open_n95663,\Interconncet/SlaveMUX/hsel_reg [1]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u397|FMDATA_Interface/reg1_b2 (
.c({_al_u396_o,\FM_HW/rd_SCAN [11]}),
.ce(\FMDATA_Interface/n10 ),
.clk(clk_pad),
.d({HSEL_P0,\FM_HW/FM_RSSI_SCAN/n0_lutinv }),
.mi({open_n95671,\FMDATA_Interface/sel0_b2_sel_o }),
.sr(cpuresetn),
.f({\RAMCODE_Interface/n15 ,FMDATA_RDATA[11]}),
.q({open_n95686,\FMDATA_Interface/size_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(51)
EG_PHY_PAD #(
//.LOCATION("C15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u4 (
.do({open_n95688,open_n95689,open_n95690,LED_pad[3]}),
.opad(LED[3])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u401|_al_u354 (
.a({open_n95704,\SPI_TX/FIFO_SPI/rp [2]}),
.b({\SPI_TX/FIFO_SPI/add0/net_cout2_lutinv ,\SPI_TX/FIFO_SPI/rp [4]}),
.c(\SPI_TX/FIFO_SPI/wp [3:2]),
.d({\SPI_TX/FIFO_SPI/n2_1 ,\SPI_TX/FIFO_SPI/wp [4]}),
.f({\SPI_TX/FIFO_SPI/u7_sel_is_3_o ,_al_u354_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\_al_u402|_al_u400 (
.c({\SPI_TX/FIFO_SPI/wp [4],\SPI_TX/FIFO_SPI/wp [4]}),
.d({\SPI_TX/FIFOwr_en ,\SPI_TX/FIFOwr_en }),
.f({\SPI_TX/FIFO_SPI/n2_0 ,\SPI_TX/FIFO_SPI/n2_1 }));
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u403|Interconncet/SlaveMUX/reg0_b3 (
.a({_al_u351_o,open_n95753}),
.b({_al_u396_o,_al_u389_o}),
.c({HADDR[31],HADDR[4]}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({HADDR[30],_al_u392_o}),
.sr(cpuresetn),
.f({\FMDATA_Interface/n15 ,open_n95770}),
.q({open_n95774,\Interconncet/SlaveMUX/hsel_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u404|_al_u387 (
.a({open_n95775,_al_u386_o}),
.b({_al_u396_o,HADDR[7]}),
.c({_al_u296_o,HADDR[6]}),
.d({_al_u351_o,HADDR[5]}),
.f({\RAMDATA_Interface/n15 ,_al_u387_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*C*D*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUT1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*C*D*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1+~(B)*C*D*1))"),
.INIT_LUT0(16'b0010001000000010),
.INIT_LUT1(16'b0010101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u421 (
.a({_al_u228_o,_al_u228_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({RAMCODE_RDATA[4],RAMCODE_RDATA[4]}),
.mi({open_n95812,RAMDATA_RDATA[4]}),
.fx({open_n95817,_al_u421_o}));
// ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0100010101000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u437|SPI_TX/reg0_b11 (
.a({open_n95820,\SPI_TX/trans_finish_lutinv }),
.b({\SPI_TX/counter [7],\SPI_TX/n31 [11]}),
.c({\SPI_TX/counter [9],\SPI_TX/count_en }),
.clk(clk_pad),
.d({\SPI_TX/counter [13],\SPI_TX/counter [11]}),
.sr(cpuresetn),
.f({_al_u437_o,open_n95838}),
.q({open_n95842,\SPI_TX/counter [11]})); // ../rtl/peripherals/SPI_TX.v(59)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\_al_u439|_al_u445 (
.a({open_n95843,_al_u300_o}),
.b({open_n95844,_al_u440_o}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [3]}),
.d({\SPI_TX/counter [1],\SPI_TX/counter [4]}),
.f({_al_u439_o,_al_u445_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(0*D*~B))"),
//.LUT1("(C*A*~(1*D*~B))"),
.INIT_LUT0(16'b1010000010100000),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u441 (
.a({_al_u438_o,_al_u438_o}),
.b({_al_u439_o,_al_u439_o}),
.c({_al_u440_o,_al_u440_o}),
.d({\SPI_TX/counter [3],\SPI_TX/counter [3]}),
.mi({open_n95877,\SPI_TX/counter [4]}),
.fx({open_n95882,_al_u441_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\_al_u444|_al_u502 (
.a({open_n95885,_al_u438_o}),
.b({open_n95886,_al_u442_o}),
.c({_al_u443_o,_al_u465_o}),
.d({_al_u442_o,\SPI_TX/FIFOdata [6]}),
.f({_al_u444_o,\SPI_TX/sel1/B7 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\_al_u446|_al_u440 (
.a({_al_u441_o,open_n95907}),
.b({_al_u444_o,open_n95908}),
.c({_al_u445_o,\SPI_TX/counter [6]}),
.d({_al_u439_o,\SPI_TX/counter [5]}),
.f({_al_u446_o,_al_u440_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\_al_u452|_al_u467 (
.b({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [2]}),
.d({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.f({_al_u452_o,_al_u467_o}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
_al_u454 (
.b({open_n95953,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_005 }),
.c({open_n95954,\SPI_TX/FIFO_SPI/rp [4]}),
.d({open_n95957,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_005 }),
.f({open_n95971,_al_u454_o}));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u455|_al_u487 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_001 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_003 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_001 }),
.f({_al_u455_o,_al_u487_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~(~C*B)*~(~D*A))"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~(~C*B)*~(~D*A))"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b1111001101010001),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b1111001101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u456|_al_u460 (
.a({_al_u452_o,_al_u459_o}),
.b({_al_u453_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_007 }),
.c({_al_u454_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_007 }),
.d({_al_u455_o,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u456_o,_al_u460_o}));
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(1*~D*~(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000000001010011),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u457|_al_u473 (
.a({open_n96027,_al_u471_o}),
.b({\SPI_TX/counter [1],_al_u472_o}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [0]}),
.d({\SPI_TX/counter [0],\SPI_TX/counter [1]}),
.e({open_n96030,\SPI_TX/counter [2]}),
.f({_al_u457_o,_al_u473_o}));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*~B*~A))"),
//.LUTF1("(0*~(~D*~C*~B*A))"),
//.LUTG0("(1*~(~D*~C*~B*~A))"),
//.LUTG1("(1*~(~D*~C*~B*A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b1111111111111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u463|_al_u470 (
.a({_al_u456_o,_al_u464_o}),
.b({_al_u458_o,_al_u466_o}),
.c({_al_u460_o,_al_u468_o}),
.d({_al_u462_o,_al_u469_o}),
.e({_al_u442_o,_al_u443_o}),
.f({_al_u463_o,_al_u470_o}));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u465|_al_u461 (
.b({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.c({\SPI_TX/counter [2],\SPI_TX/counter [2]}),
.d({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.f({_al_u465_o,_al_u461_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u466|_al_u480 (
.a({_al_u465_o,_al_u300_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_014 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_016 }),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_014 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_016 }),
.d({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u466_o,_al_u480_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUT0(16'b1010000010001000),
.INIT_LUT1(16'b1010000010001000),
.MODE("LOGIC"))
\_al_u469|_al_u462 (
.a({_al_u452_o,_al_u461_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_002 }),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_011 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_002 }),
.d({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u469_o,_al_u462_o}));
EG_PHY_MSLICE #(
//.LUT0("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1100111111000000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u471|_al_u495 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_015 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_019 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_015 }),
.f({_al_u471_o,\SPI_TX/FIFOdata [15]}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u472|_al_u475 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_020 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_022 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_020 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_022 }),
.f({_al_u472_o,_al_u475_o}));
// ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\_al_u474|SPI_TX/FIFO_SPI/reg0_b0 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_024 ,open_n96189}),
.c({\SPI_TX/FIFO_SPI/rp [4],open_n96190}),
.ce(\SPI_TX/FIFOrd_en ),
.clk(clk_pad),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_024 ,\SPI_TX/FIFO_SPI/rp [0]}),
.sr(cpuresetn),
.f({_al_u474_o,open_n96203}),
.q({open_n96207,\SPI_TX/FIFO_SPI/rp [0]})); // ../rtl/peripherals/FIFO_SPI.v(47)
EG_PHY_MSLICE #(
//.LUT0("(~0*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
//.LUT1("(~1*~C*~(A*~(B)*~(D)+A*B*~(D)+~(A)*B*D+A*B*D))"),
.INIT_LUT0(16'b0000001100000101),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u476 (
.a({_al_u474_o,_al_u474_o}),
.b({_al_u475_o,_al_u475_o}),
.c({\SPI_TX/counter [0],\SPI_TX/counter [0]}),
.d({\SPI_TX/counter [1],\SPI_TX/counter [1]}),
.mi({open_n96220,\SPI_TX/counter [2]}),
.fx({open_n96225,_al_u476_o}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\_al_u477|_al_u443 (
.a({\SPI_TX/counter [3],\SPI_TX/counter [3]}),
.b({\SPI_TX/counter [4],\SPI_TX/counter [4]}),
.c({\SPI_TX/counter [5],\SPI_TX/counter [5]}),
.d({\SPI_TX/counter [6],\SPI_TX/counter [6]}),
.f({_al_u477_o,_al_u443_o}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u478|_al_u453 (
.b({_al_u476_o,\SPI_TX/counter [1]}),
.c({_al_u477_o,\SPI_TX/counter [2]}),
.d({_al_u473_o,\SPI_TX/counter [0]}),
.f({_al_u478_o,_al_u453_o}));
EG_PHY_MSLICE #(
//.LUT0("(B*A*(C*~(D)*~(0)+C*D*~(0)+~(C)*D*0+C*D*0))"),
//.LUT1("(B*A*(C*~(D)*~(1)+C*D*~(1)+~(C)*D*1+C*D*1))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b1000100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
_al_u479 (
.a({_al_u477_o,_al_u477_o}),
.b({_al_u453_o,_al_u453_o}),
.c({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_021 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_021 }),
.mi({open_n96286,\SPI_TX/FIFO_SPI/rp [4]}),
.fx({open_n96291,_al_u479_o}));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0011000000111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\_al_u481|_al_u499 (
.b({_al_u480_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_023 }),
.c({_al_u443_o,\SPI_TX/FIFO_SPI/rp [4]}),
.d({_al_u479_o,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_023 }),
.f({_al_u481_o,_al_u499_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b1100101000000000),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b1100101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u482|_al_u464 (
.a({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_012 ,_al_u453_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_012 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_013 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_013 }),
.d({_al_u457_o,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u482_o,_al_u464_o}));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u483|_al_u491 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_000 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_010 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/rp [4]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_000 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_010 }),
.f({_al_u483_o,_al_u491_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~(~D*C)*~(~0*B)))"),
//.LUTF1("(~(C*B)*~(~D*A))"),
//.LUTG0("(A*~(~(~D*C)*~(~1*B)))"),
//.LUTG1("(~(C*B)*~(~D*A))"),
.INIT_LUTF0(16'b1000100010101000),
.INIT_LUTF1(16'b0011111100010101),
.INIT_LUTG0(16'b0000000010100000),
.INIT_LUTG1(16'b0011111100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u484|_al_u488 (
.a({_al_u445_o,_al_u438_o}),
.b({_al_u482_o,_al_u442_o}),
.c({_al_u443_o,_al_u477_o}),
.d({_al_u483_o,_al_u486_o}),
.e({open_n96368,_al_u487_o}),
.f({_al_u484_o,_al_u488_o}));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1010000010001000),
.INIT_LUT1(16'b0011000000111111),
.MODE("LOGIC"))
\_al_u486|_al_u468 (
.a({open_n96389,_al_u467_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_017 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_009 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_009 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_017 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({_al_u486_o,_al_u468_o}));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(C*D)"),
//.LUTG1("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011000000111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u489|_al_u105 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_008 ,open_n96412}),
.c({\SPI_TX/FIFO_SPI/rp [4],HWDATA[23]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_008 ,\SPI_Interface/wr_en_reg }),
.f({_al_u489_o,SPI_TX_Data[23]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\_al_u490|_al_u492 (
.a({_al_u438_o,_al_u438_o}),
.b({_al_u442_o,_al_u443_o}),
.c({_al_u300_o,_al_u461_o}),
.d({_al_u489_o,_al_u491_o}),
.f({\SPI_TX/sel1/B9 ,\SPI_TX/sel1/B11 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*~B*~(D*A))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"))
\_al_u493|_al_u496 (
.a({_al_u488_o,_al_u438_o}),
.b({\SPI_TX/sel1/B9 ,_al_u443_o}),
.c({\SPI_TX/sel1/B11 ,_al_u459_o}),
.d({_al_u467_o,\SPI_TX/FIFOdata [15]}),
.f({_al_u493_o,\SPI_TX/sel1/B16 }));
// ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_LSLICE #(
//.LUTF0("~(D*C*B*~(0*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(D*C*B*~(1*~A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0111111111111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u494|SPI_TX/data_temp_reg (
.a({open_n96477,_al_u485_o}),
.b({_al_u477_o,_al_u493_o}),
.c({_al_u459_o,_al_u500_o}),
.ce(\SPI_TX/count_en ),
.clk(clk_pad),
.d({_al_u438_o,_al_u504_o}),
.e({open_n96478,_al_u438_o}),
.sr(cpuresetn),
.f({\SPI_TX/n77_lutinv ,open_n96493}),
.q({open_n96497,MSI_SDATA_pad})); // ../rtl/peripherals/SPI_TX.v(72)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100111111000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100111111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u497|_al_u106 (
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_018 ,open_n96500}),
.c({\SPI_TX/FIFO_SPI/rp [4],HWDATA[22]}),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_018 ,\SPI_Interface/wr_en_reg }),
.f({\SPI_TX/FIFOdata [18],SPI_TX_Data[22]}));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*~B*~A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*D*~C*~B*~A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u498|_al_u485 (
.a({_al_u438_o,_al_u463_o}),
.b({_al_u477_o,_al_u470_o}),
.c({_al_u461_o,_al_u478_o}),
.d({\SPI_TX/FIFOdata [18],_al_u481_o}),
.e({open_n96527,_al_u484_o}),
.f({\SPI_TX/sel1/B19 ,_al_u485_o}));
EG_PHY_PAD #(
//.LOCATION("B16"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u5 (
.do({open_n96549,open_n96550,open_n96551,LED_pad[3]}),
.opad(LED[2])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~B*~(~D*A))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000001100000001),
.MODE("LOGIC"))
\_al_u500|_al_u358 (
.a({\SPI_TX/n77_lutinv ,open_n96565}),
.b({\SPI_TX/sel1/B16 ,open_n96566}),
.c({\SPI_TX/sel1/B19 ,\SPI_TX/trans_finish_lutinv }),
.d({_al_u499_o,_al_u357_o}),
.f({_al_u500_o,\SPI_TX/FIFOrd_en }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG0("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b1010000010001000),
.INIT_LUTF1(16'b1100111111000000),
.INIT_LUTG0(16'b1010000010001000),
.INIT_LUTG1(16'b1100111111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u501|_al_u458 (
.a({open_n96587,_al_u457_o}),
.b({\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_006 ,\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_004 }),
.c({\SPI_TX/FIFO_SPI/rp [4],\SPI_TX/FIFO_SPI/al_ram_mem_do_i1_004 }),
.d({\SPI_TX/FIFO_SPI/al_ram_mem_do_i0_006 ,\SPI_TX/FIFO_SPI/rp [4]}),
.f({\SPI_TX/FIFOdata [6],_al_u458_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\_al_u503|_al_u442 (
.b({\SPI_TX/counter [3],\SPI_TX/counter [3]}),
.c({\SPI_TX/counter [4],\SPI_TX/counter [4]}),
.d({_al_u459_o,_al_u440_o}),
.f({_al_u503_o,_al_u442_o}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(D*~(~C*A)))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001000110011),
.MODE("LOGIC"))
\_al_u504|u_logic/_al_u4077 (
.a({_al_u441_o,open_n96634}),
.b({\SPI_TX/sel1/B7 ,open_n96635}),
.c({_al_u503_o,\u_logic/Xo1bx6 }),
.d({MSI_SDATA_pad,\u_logic/Gd0bx6 }),
.f({_al_u504_o,\u_logic/_al_u4077_o }));
EG_PHY_PAD #(
//.LOCATION("B15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u6 (
.do({open_n96657,open_n96658,open_n96659,LED_pad[3]}),
.opad(LED[1])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("B14"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u7 (
.do({open_n96674,open_n96675,open_n96676,LED_pad[3]}),
.opad(LED[0])); // ../rtl/topmodule/CortexM0_SoC.v(11)
EG_PHY_PAD #(
//.LOCATION("P9"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u8 (
.do({open_n96691,open_n96692,open_n96693,MSI_CS_pad}),
.opad(MSI_CS)); // ../rtl/topmodule/CortexM0_SoC.v(16)
EG_PHY_PAD #(
//.LOCATION("R15"),
//.PCICLAMP("OFF"),
//.PULLMODE("NONE"),
//.SLEWRATE("SLOW"),
.DRIVE("8"),
.IOTYPE("LVCMOS33"),
.MODE("OUT"),
.TSMUX("0"))
_al_u9 (
.do({open_n96708,open_n96709,open_n96710,MSI_REFCLK_pad}),
.opad(MSI_REFCLK)); // ../rtl/topmodule/CortexM0_SoC.v(14)
// ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u93|Interconncet/SlaveMUX/reg0_b2 (
.c({\RAMCODE_Interface/wr_en_reg ,_al_u392_o}),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\RAMCODE_Interface/size_reg [3],_al_u390_o}),
.sr(cpuresetn),
.f({RAMCODE_WRITE[3],open_n96740}),
.q({open_n96744,\Interconncet/SlaveMUX/hsel_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_SlaveMUX.v(53)
// ../rtl/topmodule/cortexm0ds_logic.v(17590)
EG_PHY_LSLICE #(
//.LUTF0("(C@D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C@D)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\_al_u97|u_logic/Xxqpw6_reg (
.c({\RAMDATA_Interface/wr_en_reg ,\u_logic/Xxqpw6 }),
.clk(clk_pad),
.d({\RAMDATA_Interface/size_reg [3],\u_logic/Qsfax6 }),
.mi({open_n96753,\u_logic/Xvqpw6 }),
.sr(RSTn_pad),
.f({RAMDATA_WRITE[3],\u_logic/Iqzhu6_lutinv }),
.q({open_n96768,\u_logic/Xxqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17590)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\_al_u98|u_logic/_al_u2 (
.c({\RAMDATA_Interface/wr_en_reg ,\u_logic/Utqpw6 }),
.d({\RAMDATA_Interface/size_reg [2],\u_logic/Qwfax6 }),
.f({RAMDATA_WRITE[2],\u_logic/n276 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\_al_u99|FM_HW/_al_u3930 (
.b({open_n96799,\FM_HW/_al_u3459_o }),
.c({\RAMDATA_Interface/wr_en_reg ,\FM_HW/_al_u3437_o }),
.d({\RAMDATA_Interface/size_reg [1],\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1 }),
.f({RAMDATA_WRITE[1],\FM_HW/FM_Dump_Data_IQ/n57_0_0_0_1_1_0_0_1_0 }));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin (
.a({\clkuart_pwm/cnt [0],1'b0}),
.b({1'b1,open_n96820}),
.f({\clkuart_pwm/n4 [0],open_n96840}),
.fco(\clkuart_pwm/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u10|clkuart_pwm/add0/u9 (
.a(\clkuart_pwm/cnt [10:9]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c9 ),
.f(\clkuart_pwm/n4 [10:9]),
.fco(\clkuart_pwm/add0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u12|clkuart_pwm/add0/u11 (
.a(\clkuart_pwm/cnt [12:11]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c11 ),
.f(\clkuart_pwm/n4 [12:11]));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u2|clkuart_pwm/add0/u1 (
.a(\clkuart_pwm/cnt [2:1]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c1 ),
.f(\clkuart_pwm/n4 [2:1]),
.fco(\clkuart_pwm/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u4|clkuart_pwm/add0/u3 (
.a(\clkuart_pwm/cnt [4:3]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c3 ),
.f(\clkuart_pwm/n4 [4:3]),
.fco(\clkuart_pwm/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u6|clkuart_pwm/add0/u5 (
.a(\clkuart_pwm/cnt [6:5]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c5 ),
.f(\clkuart_pwm/n4 [6:5]),
.fco(\clkuart_pwm/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/add0/u0|clkuart_pwm/add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\clkuart_pwm/add0/u8|clkuart_pwm/add0/u7 (
.a(\clkuart_pwm/cnt [8:7]),
.b(2'b00),
.fci(\clkuart_pwm/add0/c7 ),
.f(\clkuart_pwm/n4 [8:7]),
.fco(\clkuart_pwm/add0/c9 ));
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(20)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/clk_uart_reg|clkuart_pwm/reg0_b0 (
.a({_al_u288_o,bps_en_rx}),
.b({_al_u289_o,bps_en_tx}),
.c({\clkuart_pwm/cnt [0],\clkuart_pwm/n4 [0]}),
.clk(clk_pad),
.d({\clkuart_pwm/cnt [1],\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({clk_uart,\clkuart_pwm/cnt [0]})); // ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("A_LE_B_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin (
.a(2'b11),
.b({\clkuart_pwm/cnt [0],open_n96996}),
.fco(\clkuart_pwm/lt0_c1 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_10|clkuart_pwm/lt0_9 (
.a(2'b00),
.b(\clkuart_pwm/cnt [10:9]),
.fci(\clkuart_pwm/lt0_c9 ),
.fco(\clkuart_pwm/lt0_c11 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_12|clkuart_pwm/lt0_11 (
.a(2'b00),
.b(\clkuart_pwm/cnt [12:11]),
.fci(\clkuart_pwm/lt0_c11 ),
.fco(\clkuart_pwm/lt0_c13 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_2|clkuart_pwm/lt0_1 (
.a(2'b00),
.b(\clkuart_pwm/cnt [2:1]),
.fci(\clkuart_pwm/lt0_c1 ),
.fco(\clkuart_pwm/lt0_c3 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_4|clkuart_pwm/lt0_3 (
.a(2'b10),
.b(\clkuart_pwm/cnt [4:3]),
.fci(\clkuart_pwm/lt0_c3 ),
.fco(\clkuart_pwm/lt0_c5 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_6|clkuart_pwm/lt0_5 (
.a(2'b01),
.b(\clkuart_pwm/cnt [6:5]),
.fci(\clkuart_pwm/lt0_c5 ),
.fco(\clkuart_pwm/lt0_c7 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_8|clkuart_pwm/lt0_7 (
.a(2'b11),
.b(\clkuart_pwm/cnt [8:7]),
.fci(\clkuart_pwm/lt0_c7 ),
.fco(\clkuart_pwm/lt0_c9 ));
EG_PHY_MSLICE #(
//.MACRO("clkuart_pwm/lt0_0|clkuart_pwm/lt0_cin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("A_LE_B"),
.INIT_LUT0(16'b1001100110011100),
.INIT_LUT1(16'b1001100110011100),
.MODE("RIPPLE"))
\clkuart_pwm/lt0_cout_al_u536 (
.a({open_n97166,1'b0}),
.b({open_n97167,1'b1}),
.fci(\clkuart_pwm/lt0_c13 ),
.f({open_n97186,\clkuart_pwm/n1 }));
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b10|clkuart_pwm/reg0_b7 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [10],\clkuart_pwm/n4 [7]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [10],\clkuart_pwm/cnt [7]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b11|clkuart_pwm/reg0_b12 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [11],\clkuart_pwm/n4 [12]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [11],\clkuart_pwm/cnt [12]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b1|clkuart_pwm/reg0_b2 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [1],\clkuart_pwm/n4 [2]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [1],\clkuart_pwm/cnt [2]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000011100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b3|clkuart_pwm/reg0_b4 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [3],\clkuart_pwm/n4 [4]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [3],\clkuart_pwm/cnt [4]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~(~B*~A))"),
//.LUT1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011100000),
.INIT_LUT1(16'b0000000011100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b5|clkuart_pwm/reg0_b6 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [5],\clkuart_pwm/n4 [6]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [5],\clkuart_pwm/cnt [6]})); // ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
// ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~(~B*~A))"),
//.LUTF1("(~D*C*~(~B*~A))"),
//.LUTG0("(~D*C*~(~B*~A))"),
//.LUTG1("(~D*C*~(~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b0000000011100000),
.INIT_LUTG0(16'b0000000011100000),
.INIT_LUTG1(16'b0000000011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\clkuart_pwm/reg0_b8|clkuart_pwm/reg0_b9 (
.a({bps_en_rx,bps_en_rx}),
.b({bps_en_tx,bps_en_tx}),
.c({\clkuart_pwm/n4 [8],\clkuart_pwm/n4 [9]}),
.clk(clk_pad),
.d({\clkuart_pwm/n1 ,\clkuart_pwm/n1 }),
.sr(cpuresetn),
.q({\clkuart_pwm/cnt [8],\clkuart_pwm/cnt [9]})); // ../rtl/peripherals/clkuart_pwm.v(14)
EG_PHY_CONFIG #(
.DONE_PERSISTN("ENABLE"),
.INIT_PERSISTN("ENABLE"),
.JTAG_PERSISTN("DISABLE"),
.PROGRAMN_PERSISTN("DISABLE"))
config_inst ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1005 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1006 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(A)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1012 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(D)"),
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1018 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1019 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(D)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1020 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1024 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1026 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1029 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1032 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1033 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1039 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1042 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1046 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1048 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1052 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1056 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1057 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1058 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1060 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1063 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1067 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1068 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1073 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1076 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1079 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1084 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1092 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(C)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1094 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1099 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1108 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1110 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1111 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1115 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1117 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1120 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1127 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1133 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1134 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1135 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1136 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1141 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1144 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u1151 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u1169 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u539 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(B)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u541 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(D)"),
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u546 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u551 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u553 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u558 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u559 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u560 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u561 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u562 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u564 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u565 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u566 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u569 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u571 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u572 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(D)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u574 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u575 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u576 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u577 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u578 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u579 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u580 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u582 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u583 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u584 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(C)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u585 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u586 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u587 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u588 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u591 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u593 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(A)"),
//.LUTG0("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u594 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u595 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u598 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u599 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u600 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u608 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u609 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u610 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u617 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u618 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u619 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u621 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u622 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u623 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u624 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u625 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u628 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u630 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u631 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u632 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u633 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u634 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u635 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u636 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u637 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u638 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u639 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u640 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u641 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(C)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u643 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u647 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u648 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u651 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u653 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u654 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u655 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(C)"),
//.LUTG0("(D)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u656 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u657 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u658 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u660 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u662 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u663 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u664 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u665 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u667 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u668 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u670 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u672 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u673 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u674 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u676 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u677 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u678 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u679 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u680 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u682 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u685 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u686 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(B)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u687 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u689 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u691 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u692 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u693 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u694 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u697 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u698 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u699 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u700 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u703 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u704 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u707 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u708 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u709 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG0("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u711 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u713 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u715 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u716 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u718 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u719 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG1("(C)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u720 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u721 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u723 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(B)"),
//.LUTG1("(D)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u724 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u725 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u726 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u727 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u729 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u730 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u731 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(C)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u733 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u735 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u737 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u738 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u739 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u741 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u743 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u744 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u746 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u747 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u749 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u750 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u752 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u755 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u757 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u758 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u759 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(B)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u760 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u761 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u763 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u765 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u767 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u769 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u770 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u772 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u773 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u774 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u775 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u777 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u778 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u779 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG1("(B)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u780 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u785 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u786 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u788 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u791 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u793 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u796 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u797 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u798 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u799 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u800 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u801 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u804 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u805 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u806 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u808 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u810 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u811 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u812 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u813 ();
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u818 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(A)"),
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u819 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u824 ();
EG_PHY_LSLICE #(
//.LUTF1("(C)"),
//.LUTG0("(D)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u825 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u826 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u827 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(B)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u828 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u829 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u831 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u834 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(D)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u835 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u836 ();
EG_PHY_LSLICE #(
//.LUTG1("(C)"),
.INIT_LUTG1(16'b1111000011110000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u838 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u840 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u841 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u845 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(C)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u849 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u850 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(C)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u853 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u859 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(A)"),
//.LUTG0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u860 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u862 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u865 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(A)"),
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u866 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u867 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u871 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u873 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTG0("(B)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u876 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(A)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u878 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(A)"),
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u882 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u888 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG0("(D)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u895 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG1("(D)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u896 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u897 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u898 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(A)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u903 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u904 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
.INIT_LUTF0(16'b1010101010101010),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u910 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(C)"),
//.LUTG0("(A)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u911 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTF1("(B)"),
//.LUTG0("(A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u914 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u920 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(B)"),
//.LUTG0("(D)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u921 ();
EG_PHY_LSLICE #(
//.LUTG0("(A)"),
//.LUTG1("(D)"),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u927 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u928 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
.INIT_LUTG0(16'b1111000011110000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u937 ();
EG_PHY_LSLICE #(
//.LUTF1("(D)"),
//.LUTG0("(D)"),
//.LUTG1("(A)"),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u939 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(A)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u940 ();
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(B)"),
//.LUTG0("(B)"),
//.LUTG1("(C)"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u941 ();
EG_PHY_LSLICE #(
//.LUTG1("(B)"),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u946 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u948 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(B)"),
//.LUTG0("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u962 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTF1("(C)"),
//.LUTG0("(D)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111111100000000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u965 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
.INIT_LUTF0(16'b1100110011001100),
.LSFMUX0("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u970 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(A)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u973 ();
EG_PHY_LSLICE #(
//.LUTG1("(D)"),
.INIT_LUTG1(16'b1111111100000000),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u974 ();
EG_PHY_LSLICE #(
//.LUTG0("(D)"),
.INIT_LUTG0(16'b1111111100000000),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u977 ();
EG_PHY_LSLICE #(
//.LUTF1("(B)"),
.INIT_LUTF1(16'b1100110011001100),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
feed_through_lslice_al_u979 ();
EG_PHY_LSLICE #(
//.LUTG0("(B)"),
.INIT_LUTG0(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u980 ();
EG_PHY_LSLICE #(
//.LUTF0("(D)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u986 ();
EG_PHY_LSLICE #(
//.LUTF0("(B)"),
//.LUTG0("(C)"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u987 ();
EG_PHY_LSLICE #(
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u988 ();
EG_PHY_LSLICE #(
//.LUTF0("(A)"),
//.LUTF1("(C)"),
//.LUTG0("(C)"),
//.LUTG1("(B)"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1111000011110000),
.INIT_LUTG1(16'b1100110011001100),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u990 ();
EG_PHY_LSLICE #(
//.LUTF1("(A)"),
//.LUTG0("(C)"),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000011110000),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.MODE("LOGIC"))
feed_through_lslice_al_u991 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1000 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1001 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1002 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1003 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1004 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1007 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1008 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1009 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1010 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1011 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1013 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1014 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1015 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1016 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1017 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1021 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1022 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1023 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1025 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1027 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1028 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1030 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1031 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1034 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1035 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1036 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1037 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1038 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1040 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1041 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1043 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1044 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1045 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1047 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1049 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1050 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1051 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1053 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1054 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1055 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1059 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1061 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1062 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1064 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1065 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1066 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1069 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1070 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1071 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1072 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1074 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1075 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1077 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1078 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1080 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1081 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1082 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1083 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1085 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1086 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1087 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1088 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1089 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1090 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1091 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1093 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1095 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1096 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1097 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1098 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1100 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1101 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1102 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1103 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1104 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1105 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1106 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1107 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1109 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1112 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1113 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1114 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1116 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1118 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1119 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1121 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1122 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1123 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1124 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1125 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1126 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1128 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1129 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1130 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1131 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1132 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1137 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1138 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1139 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1140 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1142 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1143 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1145 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1146 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1147 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1148 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1149 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1150 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1152 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1153 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1154 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1155 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1156 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1157 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1158 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1159 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1160 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1161 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1162 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1163 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u1164 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1165 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u1166 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u1167 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1168 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u1170 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u537 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u538 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u540 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u542 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u543 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u544 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u545 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u547 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u548 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u549 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u550 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u552 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u554 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u555 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u556 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u557 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u563 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u567 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u568 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u570 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u573 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u581 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u589 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u590 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u592 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u596 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u597 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u601 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u602 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u603 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u604 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u605 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u606 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u607 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u611 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u612 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u613 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u614 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u615 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u616 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u620 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u626 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u627 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u629 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u642 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u644 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u645 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u646 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u649 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u650 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u652 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u659 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u661 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u666 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u669 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u671 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u675 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u681 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u683 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u684 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u688 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u690 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u695 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u696 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u701 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u702 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u705 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u706 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u710 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u712 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u714 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u717 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u722 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u728 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u732 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u734 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u736 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u740 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u742 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u745 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u748 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u751 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u753 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u754 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u756 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u762 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u764 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u766 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u768 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u771 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u776 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u781 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u782 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u783 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u784 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u787 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u789 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u790 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u792 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u794 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u795 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u802 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u803 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u807 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u809 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u814 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u815 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u816 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u817 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u820 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u821 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u822 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u823 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u830 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u832 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u833 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u837 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u839 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u842 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u843 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u844 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u846 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u847 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u848 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u851 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u852 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u854 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u855 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u856 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u857 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u858 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u861 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u863 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u864 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u868 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u869 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u870 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u872 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u874 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u875 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u877 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u879 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u880 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u881 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u883 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u884 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u885 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u886 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u887 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u889 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u890 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u891 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u892 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u893 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u894 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u899 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u900 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u901 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u902 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u905 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u906 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u907 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u908 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u909 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u912 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u913 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u915 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u916 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u917 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u918 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u919 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u922 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u923 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u924 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u925 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u926 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u929 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u930 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u931 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u932 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u933 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u934 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
.INIT_LUT0(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u935 ();
EG_PHY_MSLICE #(
//.LUT1("(C)"),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u936 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u938 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u942 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u943 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u944 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u945 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u947 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u949 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u950 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u951 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u952 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u953 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u954 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u955 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u956 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u957 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u958 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u959 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u960 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u961 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u963 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u964 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u966 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u967 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u968 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
.INIT_LUT0(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u969 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u971 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u972 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u975 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u976 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u978 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u981 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u982 ();
EG_PHY_MSLICE #(
//.LUT1("(D)"),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u983 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u984 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u985 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u989 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
.INIT_LUT0(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u992 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
feed_through_mslice_al_u993 ();
EG_PHY_MSLICE #(
//.LUT0("(C)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u994 ();
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u995 ();
EG_PHY_MSLICE #(
//.LUT0("(A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u996 ();
EG_PHY_MSLICE #(
//.LUT0("(B)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b1100110011001100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
feed_through_mslice_al_u997 ();
EG_PHY_MSLICE #(
//.LUT0("(D)"),
.INIT_LUT0(16'b1111111100000000),
.MODE("LOGIC"))
feed_through_mslice_al_u998 ();
EG_PHY_MSLICE #(
//.LUT1("(A)"),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
feed_through_mslice_al_u999 ();
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u11_al_u532 (
.a({\filter_unit/cnt [13],\filter_unit/cnt [11]}),
.b({\filter_unit/cnt [14],\filter_unit/cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c11 ),
.f({\filter_unit/n0 [13],\filter_unit/n0 [11]}),
.fco(\filter_unit/add0/c15 ),
.fx({\filter_unit/n0 [14],\filter_unit/n0 [12]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u15_al_u533 (
.a({\filter_unit/cnt [17],\filter_unit/cnt [15]}),
.b({\filter_unit/cnt [18],\filter_unit/cnt [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c15 ),
.f({\filter_unit/n0 [17],\filter_unit/n0 [15]}),
.fco(\filter_unit/add0/c19 ),
.fx({\filter_unit/n0 [18],\filter_unit/n0 [16]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u19_al_u534 (
.a({open_n117603,\filter_unit/cnt [19]}),
.c(2'b00),
.d({open_n117608,1'b0}),
.fci(\filter_unit/add0/c19 ),
.f({open_n117625,\filter_unit/n0 [19]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u3_al_u530 (
.a({\filter_unit/cnt [5],\filter_unit/cnt [3]}),
.b({\filter_unit/cnt [6],\filter_unit/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c3 ),
.f({\filter_unit/n0 [5],\filter_unit/n0 [3]}),
.fco(\filter_unit/add0/c7 ),
.fx({\filter_unit/n0 [6],\filter_unit/n0 [4]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/u7_al_u531 (
.a({\filter_unit/cnt [9],\filter_unit/cnt [7]}),
.b({\filter_unit/cnt [10],\filter_unit/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\filter_unit/add0/c7 ),
.f({\filter_unit/n0 [9],\filter_unit/n0 [7]}),
.fco(\filter_unit/add0/c11 ),
.fx({\filter_unit/n0 [10],\filter_unit/n0 [8]}));
EG_PHY_LSLICE #(
//.MACRO("filter_unit/add0/ucin_al_u529"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\filter_unit/add0/ucin_al_u529 (
.a({\filter_unit/cnt [1],1'b0}),
.b({\filter_unit/cnt [2],\filter_unit/cnt [0]}),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\filter_unit/n0 [1],open_n117684}),
.fco(\filter_unit/add0/c3 ),
.fx({\filter_unit/n0 [2],\filter_unit/n0 [0]}));
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b0|filter_unit/reg0_b4 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [0],\filter_unit/key_reg0 [4]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [0],\filter_unit/key_reg1 [4]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b10|filter_unit/reg0_b15 (
.c({HWDATA[10],HWDATA[11]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({\filter_unit/key_reg0 [10],\filter_unit/key_reg0 [15]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[10],SPI_TX_Data[11]}),
.q({\filter_unit/key_reg1 [10],\filter_unit/key_reg1 [15]})); // ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTG1(16'b1010101010101010),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b11 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({open_n117742,\filter_unit/key_reg0 [11]}),
.sr(RSTn_pad),
.q({open_n117759,\filter_unit/key_reg1 [11]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b13|filter_unit/reg0_b2 (
.c({HWDATA[6],HWDATA[7]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({\filter_unit/key_reg0 [13],\filter_unit/key_reg0 [2]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[6],SPI_TX_Data[7]}),
.q({\filter_unit/key_reg1 [13],\filter_unit/key_reg1 [2]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b14|filter_unit/reg0_b5 (
.c({HWDATA[8],HWDATA[4]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({\filter_unit/key_reg0 [14],\filter_unit/key_reg0 [5]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[8],SPI_TX_Data[4]}),
.q({\filter_unit/key_reg1 [14],\filter_unit/key_reg1 [5]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b1|filter_unit/reg0_b12 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({\filter_unit/key_reg0 [1],\filter_unit/key_reg0 [12]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg1 [1],\filter_unit/key_reg1 [12]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b7|filter_unit/reg0_b6 (
.c(HWDATA[1:0]),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi(\filter_unit/key_reg0 [7:6]),
.sr(RSTn_pad),
.f(SPI_TX_Data[1:0]),
.q(\filter_unit/key_reg1 [7:6])); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg0_b8|filter_unit/reg0_b9 (
.c({HWDATA[2],HWDATA[3]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\SPI_Interface/wr_en_reg ,\SPI_Interface/wr_en_reg }),
.mi({\filter_unit/key_reg0 [8],\filter_unit/key_reg0 [9]}),
.sr(RSTn_pad),
.f({SPI_TX_Data[2],SPI_TX_Data[3]}),
.q({\filter_unit/key_reg1 [8],\filter_unit/key_reg1 [9]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b10|filter_unit/reg5_b7 (
.c({\filter_unit/n0 [10],\filter_unit/n0 [7]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [10],\filter_unit/cnt [7]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b11|filter_unit/reg5_b12 (
.c({\filter_unit/n0 [11],\filter_unit/n0 [12]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [11],\filter_unit/cnt [12]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b13|filter_unit/reg5_b14 (
.c({\filter_unit/n0 [13],\filter_unit/n0 [14]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [13],\filter_unit/cnt [14]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b16|filter_unit/reg5_b17 (
.c({\filter_unit/n0 [16],\filter_unit/n0 [17]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [16],\filter_unit/cnt [17]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b18|filter_unit/reg5_b19 (
.c({\filter_unit/n0 [18],\filter_unit/n0 [19]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [18],\filter_unit/cnt [19]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b1|filter_unit/reg5_b2 (
.c({\filter_unit/n0 [1],\filter_unit/n0 [2]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [1],\filter_unit/cnt [2]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b3|filter_unit/reg5_b4 (
.c({\filter_unit/n0 [3],\filter_unit/n0 [4]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [3],\filter_unit/cnt [4]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b5|filter_unit/reg5_b6 (
.c({\filter_unit/n0 [5],\filter_unit/n0 [6]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [5],\filter_unit/cnt [6]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(38)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg5_b8|filter_unit/reg5_b9 (
.c({\filter_unit/n0 [8],\filter_unit/n0 [9]}),
.clk(clk_pad),
.d({\filter_unit/n3 ,\filter_unit/n3 }),
.sr(RSTn_pad),
.q({\filter_unit/cnt [8],\filter_unit/cnt [9]})); // ../rtl/peripherals/KeyScan.v(38)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b11|filter_unit/reg6_b2 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[11],key_in[2]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [11],\filter_unit/key_reg0 [2]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b12|filter_unit/reg6_b13 (
.b({\FM_HW/_al_u3474_o ,\FM_HW/_al_u3474_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u653_o }),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.mi({key_in[12],key_in[13]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_0_1_1_1 }),
.q({\filter_unit/key_reg0 [12],\filter_unit/key_reg0 [13]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b14|filter_unit/reg6_b15 (
.b({open_n118141,\UART_TX/counter [2]}),
.c({\UART_TX/counter [1],\UART_TX/counter [3]}),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\UART_TX/counter [0],_al_u165_o}),
.mi({key_in[14],key_in[15]}),
.sr(RSTn_pad),
.f({_al_u165_o,\UART_TX/trans_finish_lutinv }),
.q({\filter_unit/key_reg0 [14],\filter_unit/key_reg0 [15]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_MSLICE #(
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b1|filter_unit/reg6_b10 (
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.mi({key_in[1],key_in[10]}),
.sr(RSTn_pad),
.q({\filter_unit/key_reg0 [1],\filter_unit/key_reg0 [10]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b3|filter_unit/reg6_b4 (
.a({HADDR[6],HADDR[6]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_005 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_004 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_005 }),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({HADDR[7],HADDR[7]}),
.mi({key_in[3],key_in[4]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u3175_o ,\FM_HW/_al_u2736_o }),
.q({\filter_unit/key_reg0 [3],\filter_unit/key_reg0 [4]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1110110001100100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1110110001100100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b5|filter_unit/reg6_b7 (
.a(HADDR[7:6]),
.b({HADDR[6],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_007 }),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_006 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i206_007 }),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i207_006 ,HADDR[7]}),
.mi({key_in[5],key_in[7]}),
.sr(RSTn_pad),
.f({\FM_HW/_al_u2480_o ,\FM_HW/_al_u2222_o }),
.q({\filter_unit/key_reg0 [5],\filter_unit/key_reg0 [7]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(50)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.REG1_REGSET("SET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\filter_unit/reg6_b8|filter_unit/reg6_b9 (
.b({\FM_HW/_al_u3464_o ,\FM_HW/_al_u3464_o }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/_al_u653_o }),
.ce(\filter_unit/n3 ),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0 }),
.mi({key_in[8],key_in[9]}),
.sr(RSTn_pad),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_0 ,\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_0_0_1_1_1_1 }),
.q({\filter_unit/key_reg0 [8],\filter_unit/key_reg0 [9]})); // ../rtl/peripherals/KeyScan.v(50)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b14|pulse_gen_unit/reg0_b9 (
.c({\pulse_gen_unit/key_reg_2 [9],\pulse_gen_unit/key_reg_2 [14]}),
.clk(clk_pad),
.d({\filter_unit/n9 [9],\filter_unit/n9 [14]}),
.mi({\pulse_gen_unit/key_reg_1 [14],\pulse_gen_unit/key_reg_1 [9]}),
.sr(RSTn_pad),
.f({key_interrupt[9],key_interrupt[14]}),
.q({\pulse_gen_unit/key_reg_2 [14],\pulse_gen_unit/key_reg_2 [9]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b1|pulse_gen_unit/reg0_b8 (
.c({\pulse_gen_unit/key_reg_2 [1],\pulse_gen_unit/key_reg_2 [8]}),
.clk(clk_pad),
.d({\filter_unit/n9 [1],\filter_unit/n9 [8]}),
.mi({\pulse_gen_unit/key_reg_1 [1],\pulse_gen_unit/key_reg_1 [8]}),
.sr(RSTn_pad),
.f({key_interrupt[1],key_interrupt[8]}),
.q({\pulse_gen_unit/key_reg_2 [1],\pulse_gen_unit/key_reg_2 [8]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b4|pulse_gen_unit/reg0_b5 (
.c({\pulse_gen_unit/key_reg_2 [4],\pulse_gen_unit/key_reg_2 [7]}),
.clk(clk_pad),
.d({\filter_unit/n9 [4],\filter_unit/n9 [7]}),
.mi({\pulse_gen_unit/key_reg_1 [4],\pulse_gen_unit/key_reg_1 [5]}),
.sr(RSTn_pad),
.f({key_interrupt[4],key_interrupt[7]}),
.q({\pulse_gen_unit/key_reg_2 [4],\pulse_gen_unit/key_reg_2 [5]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg0_b6|pulse_gen_unit/reg0_b7 (
.c({\pulse_gen_unit/key_reg_2 [15],\pulse_gen_unit/key_reg_2 [6]}),
.clk(clk_pad),
.d({\filter_unit/n9 [15],\filter_unit/n9 [6]}),
.mi({\pulse_gen_unit/key_reg_1 [6],\pulse_gen_unit/key_reg_1 [7]}),
.sr(RSTn_pad),
.f({key_interrupt[15],key_interrupt[6]}),
.q({\pulse_gen_unit/key_reg_2 [6],\pulse_gen_unit/key_reg_2 [7]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b0|pulse_gen_unit/reg1_b8 (
.c({\filter_unit/key_reg0 [0],\filter_unit/key_reg0 [8]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [0],\filter_unit/key_reg1 [8]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [0],\filter_unit/n9 [8]}),
.q({\pulse_gen_unit/key_reg_1 [0],\pulse_gen_unit/key_reg_1 [8]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b10|pulse_gen_unit/reg1_b12 (
.c({\filter_unit/key_reg0 [10],\filter_unit/key_reg0 [12]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [10],\filter_unit/key_reg1 [12]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [10],\filter_unit/n9 [12]}),
.q({\pulse_gen_unit/key_reg_1 [10],\pulse_gen_unit/key_reg_1 [12]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b11|pulse_gen_unit/reg1_b2 (
.c({\filter_unit/key_reg0 [11],\filter_unit/key_reg0 [2]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [11],\filter_unit/key_reg1 [2]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [11],\filter_unit/n9 [2]}),
.q({\pulse_gen_unit/key_reg_1 [11],\pulse_gen_unit/key_reg_1 [2]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b13|pulse_gen_unit/reg1_b3 (
.c({\filter_unit/key_reg0 [13],\filter_unit/key_reg0 [3]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [13],\filter_unit/key_reg1 [3]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [13],\filter_unit/n9 [3]}),
.q({\pulse_gen_unit/key_reg_1 [13],\pulse_gen_unit/key_reg_1 [3]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b14|pulse_gen_unit/reg1_b9 (
.c({\filter_unit/key_reg0 [14],\filter_unit/key_reg0 [9]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [14],\filter_unit/key_reg1 [9]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [14],\filter_unit/n9 [9]}),
.q({\pulse_gen_unit/key_reg_1 [14],\pulse_gen_unit/key_reg_1 [9]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b15|pulse_gen_unit/reg1_b6 (
.c({\filter_unit/key_reg0 [15],\filter_unit/key_reg0 [6]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [15],\filter_unit/key_reg1 [6]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [15],\filter_unit/n9 [6]}),
.q({\pulse_gen_unit/key_reg_1 [15],\pulse_gen_unit/key_reg_1 [6]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b1|pulse_gen_unit/reg1_b4 (
.c({\filter_unit/key_reg0 [1],\filter_unit/key_reg0 [4]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [1],\filter_unit/key_reg1 [4]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [1],\filter_unit/n9 [4]}),
.q({\pulse_gen_unit/key_reg_1 [1],\pulse_gen_unit/key_reg_1 [4]})); // ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\pulse_gen_unit/reg1_b5|pulse_gen_unit/reg1_b7 (
.c({\filter_unit/key_reg0 [5],\filter_unit/key_reg0 [7]}),
.clk(clk_pad),
.d({\filter_unit/key_reg1 [5],\filter_unit/key_reg1 [7]}),
.sr(RSTn_pad),
.f({\filter_unit/n9 [5],\filter_unit/n9 [7]}),
.q({\pulse_gen_unit/key_reg_1 [5],\pulse_gen_unit/key_reg_1 [7]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u11_al_u523 (
.a({\scan_unit/cnt [13],\scan_unit/cnt [11]}),
.b({\scan_unit/cnt [14],\scan_unit/cnt [12]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c11 ),
.f({\scan_unit/n2 [13],\scan_unit/n2 [11]}),
.fco(\scan_unit/add0/c15 ),
.fx({\scan_unit/n2 [14],\scan_unit/n2 [12]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u15_al_u524 (
.a({\scan_unit/cnt [17],\scan_unit/cnt [15]}),
.b({\scan_unit/cnt [18],\scan_unit/cnt [16]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c15 ),
.f({\scan_unit/n2 [17],\scan_unit/n2 [15]}),
.fco(\scan_unit/add0/c19 ),
.fx({\scan_unit/n2 [18],\scan_unit/n2 [16]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u19_al_u525 (
.a({\scan_unit/cnt [21],\scan_unit/cnt [19]}),
.b({\scan_unit/cnt [22],\scan_unit/cnt [20]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c19 ),
.f({\scan_unit/n2 [21],\scan_unit/n2 [19]}),
.fco(\scan_unit/add0/c23 ),
.fx({\scan_unit/n2 [22],\scan_unit/n2 [20]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u23_al_u526 (
.a({\scan_unit/cnt [25],\scan_unit/cnt [23]}),
.b({\scan_unit/cnt [26],\scan_unit/cnt [24]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c23 ),
.f({\scan_unit/n2 [25],\scan_unit/n2 [23]}),
.fco(\scan_unit/add0/c27 ),
.fx({\scan_unit/n2 [26],\scan_unit/n2 [24]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u27_al_u527 (
.a({\scan_unit/cnt [29],\scan_unit/cnt [27]}),
.b({\scan_unit/cnt [30],\scan_unit/cnt [28]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c27 ),
.f({\scan_unit/n2 [29],\scan_unit/n2 [27]}),
.fco(\scan_unit/add0/c31 ),
.fx({\scan_unit/n2 [30],\scan_unit/n2 [28]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u31_al_u528 (
.a({open_n118577,\scan_unit/cnt [31]}),
.c(2'b00),
.d({open_n118582,1'b0}),
.fci(\scan_unit/add0/c31 ),
.f({open_n118599,\scan_unit/n2 [31]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u3_al_u521 (
.a({\scan_unit/cnt [5],\scan_unit/cnt [3]}),
.b({\scan_unit/cnt [6],\scan_unit/cnt [4]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c3 ),
.f({\scan_unit/n2 [5],\scan_unit/n2 [3]}),
.fco(\scan_unit/add0/c7 ),
.fx({\scan_unit/n2 [6],\scan_unit/n2 [4]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\scan_unit/add0/u7_al_u522 (
.a({\scan_unit/cnt [9],\scan_unit/cnt [7]}),
.b({\scan_unit/cnt [10],\scan_unit/cnt [8]}),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\scan_unit/add0/c7 ),
.f({\scan_unit/n2 [9],\scan_unit/n2 [7]}),
.fco(\scan_unit/add0/c11 ),
.fx({\scan_unit/n2 [10],\scan_unit/n2 [8]}));
EG_PHY_LSLICE #(
//.MACRO("scan_unit/add0/ucin_al_u520"),
//.R_POSITION("X0Y0Z0"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DEMUX0("E"),
.DEMUX1("E"),
.DFFMODE("FF"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/add0/ucin_al_u520 (
.a({\scan_unit/cnt [1],1'b0}),
.b({\scan_unit/cnt [2],\scan_unit/cnt [0]}),
.c(2'b00),
.clk(clk_pad),
.d(2'b01),
.e(2'b01),
.mi(\scan_unit/n2 [5:4]),
.sr(\scan_unit/n0 ),
.f({\scan_unit/n2 [1],open_n118654}),
.fco(\scan_unit/add0/c3 ),
.fx({\scan_unit/n2 [2],\scan_unit/n2 [0]}),
.q(\scan_unit/cnt [5:4]));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg0_b0|FM_HW/_al_u2216 (
.a({open_n118655,HADDR[6]}),
.b({\FM_HW/_al_u3474_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i231_007 }),
.c({\FM_HW/_al_u3437_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i230_007 }),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1 ,HADDR[7]}),
.mi({row_pad[3],open_n118660}),
.f({\FM_HW/FM_Dump_Data_IQ/n57_0_1_1_1_0_0_1_1_0 ,\FM_HW/_al_u2216_o }),
.q({row_pad[0],open_n118676})); // ../rtl/peripherals/KeyScan.v(16)
EG_PHY_MSLICE #(
//.LUT1("(B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg0_b1 (
.clk(\scan_unit/scan_clk_gclk_net ),
.mi({open_n118696,row_pad[0]}),
.q({open_n118703,row_pad[1]})); // ../rtl/peripherals/KeyScan.v(16)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b0|scan_unit/reg1_b8 (
.a({_al_u200_o,_al_u204_o}),
.b({_al_u201_o,_al_u199_o}),
.c({col_pad[0],col_pad[0]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[0],key_in[8]}),
.q({key_in[0],key_in[8]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b10|scan_unit/reg1_b2 (
.a({_al_u204_o,_al_u200_o}),
.b({_al_u199_o,_al_u201_o}),
.c({col_pad[2],col_pad[2]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[10],key_in[2]}),
.q({key_in[10],key_in[2]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b11|scan_unit/reg1_b3 (
.a({_al_u204_o,_al_u200_o}),
.b({_al_u199_o,_al_u201_o}),
.c({col_pad[3],col_pad[3]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[11],key_in[3]}),
.q({key_in[11],key_in[3]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b13|scan_unit/reg1_b15 (
.a({_al_u207_o,_al_u207_o}),
.b({_al_u198_o,_al_u198_o}),
.c({col_pad[1],col_pad[3]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[13],key_in[15]}),
.q({key_in[13],key_in[15]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b1|scan_unit/reg1_b9 (
.a({_al_u200_o,_al_u204_o}),
.b({_al_u201_o,_al_u199_o}),
.c({col_pad[1],col_pad[1]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[1],key_in[9]}),
.q({key_in[1],key_in[9]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(D*~A))"),
//.LUTF1("~(~(C*B)*~(D*~A))"),
//.LUTG0("~(~(C*B)*~(D*~A))"),
//.LUTG1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111000000),
.INIT_LUTF1(16'b1101010111000000),
.INIT_LUTG0(16'b1101010111000000),
.INIT_LUTG1(16'b1101010111000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b5|scan_unit/reg1_b12 (
.a({_al_u214_o,_al_u207_o}),
.b({_al_u197_o,_al_u198_o}),
.c(col_pad[1:0]),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[5],key_in[12]}),
.q({key_in[5],key_in[12]})); // ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
// ../rtl/peripherals/KeyScan.v(18)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*~A))"),
//.LUT1("~(~(C*B)*~(D*~A))"),
.CEMUX("1"),
.CLKMUX("INV"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101010111000000),
.INIT_LUT1(16'b1101010111000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/reg1_b6|scan_unit/reg1_b14 (
.a({_al_u214_o,_al_u207_o}),
.b({_al_u197_o,_al_u198_o}),
.c({col_pad[2],col_pad[2]}),
.clk(\scan_unit/scan_clk_gclk_net ),
.d({key_in[6],key_in[14]}),
.q({key_in[6],key_in[14]})); // ../rtl/peripherals/KeyScan.v(18)
EG_PHY_LSLICE #(
//.LUTF0("(C)"),
//.LUTF1("(D)"),
//.LUTG0("(D)"),
//.LUTG1("(C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("LUTF"),
.LSFMUX1("LUTF"),
.LSFXMUX0("LUTG"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b11 (
.clk(clk_pad),
.mi({open_n118861,\scan_unit/n2 [11]}),
.sr(\scan_unit/n0 ),
.q({open_n118878,\scan_unit/cnt [11]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b12|scan_unit/reg2_b13 (
.clk(clk_pad),
.mi({\scan_unit/n2 [12],\scan_unit/n2 [13]}),
.sr(\scan_unit/n0 ),
.q({\scan_unit/cnt [12],\scan_unit/cnt [13]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*~(C)*~(D)*0+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*~(C)*~(D)*1+~(A)*C*~(D)*1+~(A)*C*D*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101100010101010),
.INIT_LUTF1(16'b0100100001001100),
.INIT_LUTG0(16'b1101100010101010),
.INIT_LUTG1(16'b0100000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b14|scan_unit/reg2_b16 (
.a({\FM_HW/_al_u1020_o ,HADDR[6]}),
.b({\FM_HW/_al_u674_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_001 }),
.c({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_001 }),
.clk(clk_pad),
.d({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i380_002 ,HADDR[7]}),
.e({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i381_002 ,open_n118908}),
.mi({\scan_unit/n2 [14],\scan_unit/n2 [16]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1021_o ,\FM_HW/_al_u1515_o }),
.q({\scan_unit/cnt [14],\scan_unit/cnt [16]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(~C*~(B)*~(0)+~C*B*~(0)+~(~C)*B*0+~C*B*0)))"),
//.LUTF1("(~D*~A*~(0*~(~C*~B)))"),
//.LUTG0("(~A*~(D*~(~C*~(B)*~(1)+~C*B*~(1)+~(~C)*B*1+~C*B*1)))"),
//.LUTG1("(~D*~A*~(1*~(~C*~B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b0000000001010101),
.INIT_LUTG0(16'b0100010001010101),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b15|scan_unit/reg2_b29 (
.a({\FM_HW/_al_u2944_o ,\FM_HW/_al_u2079_o }),
.b({\FM_HW/_al_u2965_o ,\FM_HW/_al_u2100_o }),
.c({\FM_HW/_al_u2986_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_mux_b7/B4_10 }),
.clk(clk_pad),
.d(HADDR[13:12]),
.e(HADDR[12:11]),
.mi({\scan_unit/n2 [15],\scan_unit/n2 [29]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u2987_o ,\FM_HW/_al_u2123_o }),
.q({\scan_unit/cnt [15],\scan_unit/cnt [29]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110001100100),
.INIT_LUTF1(16'b1101100010101010),
.INIT_LUTG0(16'b1110110001100100),
.INIT_LUTG1(16'b1101100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b17|scan_unit/reg2_b18 (
.a({HADDR[6],HADDR[7]}),
.b({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_003 ,HADDR[6]}),
.c({\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_003 ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i382_002 }),
.clk(clk_pad),
.d({HADDR[7],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i383_002 }),
.mi({\scan_unit/n2 [17],\scan_unit/n2 [18]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u988_o ,\FM_HW/_al_u1020_o }),
.q({\scan_unit/cnt [17],\scan_unit/cnt [18]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~B*~A*~(D*~C))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0001000000010001),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b19|scan_unit/reg2_b27 (
.a({\FM_HW/_al_u1024_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_000 }),
.b({\FM_HW/_al_u1026_o ,\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_000 }),
.c({\FM_HW/_al_u1028_o ,\FM_HW/_al_u1979_o }),
.clk(clk_pad),
.d({\FM_HW/_al_u674_o ,HADDR[7]}),
.mi({\scan_unit/n2 [19],\scan_unit/n2 [27]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1029_o ,\FM_HW/_al_u1980_o }),
.q({\scan_unit/cnt [19],\scan_unit/cnt [27]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b21|scan_unit/reg2_b7 (
.a({\scan_unit/cnt [30],\scan_unit/cnt [12]}),
.b({\scan_unit/cnt [31],\scan_unit/cnt [13]}),
.c({\scan_unit/cnt [4],\scan_unit/cnt [14]}),
.clk(clk_pad),
.d({\scan_unit/cnt [5],\scan_unit/cnt [15]}),
.mi({\scan_unit/n2 [21],\scan_unit/n2 [7]}),
.sr(\scan_unit/n0 ),
.f({_al_u346_o,_al_u341_o}),
.q({\scan_unit/cnt [21],\scan_unit/cnt [7]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b22|scan_unit/reg2_b28 (
.a({\scan_unit/cnt [2],\scan_unit/cnt [27]}),
.b({\scan_unit/cnt [20],\scan_unit/cnt [28]}),
.c({\scan_unit/cnt [21],\scan_unit/cnt [29]}),
.clk(clk_pad),
.d({\scan_unit/cnt [22],\scan_unit/cnt [3]}),
.mi({\scan_unit/n2 [22],\scan_unit/n2 [28]}),
.sr(\scan_unit/n0 ),
.f({_al_u343_o,_al_u348_o}),
.q({\scan_unit/cnt [22],\scan_unit/cnt [28]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b24|scan_unit/reg2_b23 (
.a({\scan_unit/cnt [16],_al_u345_o}),
.b({\scan_unit/cnt [17],_al_u347_o}),
.c({\scan_unit/cnt [18],_al_u348_o}),
.clk(clk_pad),
.d({\scan_unit/cnt [19],_al_u349_o}),
.mi(\scan_unit/n2 [24:23]),
.sr(\scan_unit/n0 ),
.f({_al_u344_o,\scan_unit/n0 }),
.q(\scan_unit/cnt [24:23])); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0+~(A)*~(B)*C*0+~(A)*B*C*0+A*B*C*0))"),
//.LUTF1("(~D*A*~(C*~B))"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1+~(A)*~(B)*C*1+~(A)*B*C*1+A*B*C*1))"),
//.LUTG1("(~D*A*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b0000000010001010),
.INIT_LUTG0(16'b0000000011011000),
.INIT_LUTG1(16'b0000000010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b25|scan_unit/reg2_b26 (
.a({\FM_HW/_al_u1029_o ,\FM_HW/_al_u1976_o }),
.b({\FM_HW/_al_u1031_o ,\FM_HW/_al_u1978_o }),
.c({\FM_HW/_al_u668_o ,\FM_HW/_al_u1980_o }),
.clk(clk_pad),
.d(HADDR[11:10]),
.e({open_n119027,HADDR[9]}),
.mi({\scan_unit/n2 [25],\scan_unit/n2 [26]}),
.sr(\scan_unit/n0 ),
.f({\FM_HW/_al_u1032_o ,\FM_HW/_al_u1981_o }),
.q({\scan_unit/cnt [25],\scan_unit/cnt [26]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100110101),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000111100110101),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b30|FM_HW/_al_u1028 (
.a({\scan_unit/cnt [23],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i332_002 }),
.b({\scan_unit/cnt [24],\FM_HW/FM_Dump_Data_IQ/al_ram_mem_IQ_do_i333_002 }),
.c({\scan_unit/cnt [25],\FM_HW/_al_u1027_o }),
.clk(clk_pad),
.d({\scan_unit/cnt [26],HADDR[7]}),
.mi({\scan_unit/n2 [30],open_n119047}),
.sr(\scan_unit/n0 ),
.f({_al_u349_o,\FM_HW/_al_u1028_o }),
.q({\scan_unit/cnt [30],open_n119062})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(0*~C*~B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~A*~(1*~C*~B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000001010101),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000001010100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b3|scan_unit/reg2_b20 (
.a({_al_u341_o,\FM_HW/_al_u2428_o }),
.b({_al_u342_o,\FM_HW/_al_u2449_o }),
.c({_al_u343_o,\FM_HW/_al_u2472_o }),
.clk(clk_pad),
.d({_al_u344_o,HADDR[13]}),
.e({open_n119064,HADDR[12]}),
.mi({\scan_unit/n2 [3],\scan_unit/n2 [20]}),
.sr(\scan_unit/n0 ),
.f({_al_u345_o,\FM_HW/_al_u2473_o }),
.q({\scan_unit/cnt [3],\scan_unit/cnt [20]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~A*~(B*~(~D*C)))"),
//.LUTF1("(~0*D*C*B*A)"),
//.LUTG0("(~1*~A*~(B*~(~D*C)))"),
//.LUTG1("(~1*D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000101010001),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b8|scan_unit/reg2_b2 (
.a({_al_u346_o,\FM_HW/_al_u1731_o }),
.b({\scan_unit/cnt [6],\FM_HW/_al_u1752_o }),
.c({\scan_unit/cnt [7],\FM_HW/_al_u1763_o }),
.clk(clk_pad),
.d({\scan_unit/cnt [8],\FM_HW/_al_u1773_o }),
.e({\scan_unit/cnt [9],HADDR[13]}),
.mi({\scan_unit/n2 [8],\scan_unit/n2 [2]}),
.sr(\scan_unit/n0 ),
.f({_al_u347_o,\FM_HW/_al_u1774_o }),
.q({\scan_unit/cnt [8],\scan_unit/cnt [2]})); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
// ../rtl/peripherals/KeyScan.v(8)
EG_PHY_LSLICE #(
//.LUTF0("~((~D*~C)*~((~B*~A))*~(0)+(~D*~C)*(~B*~A)*~(0)+~((~D*~C))*(~B*~A)*0+(~D*~C)*(~B*~A)*0)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("~((~D*~C)*~((~B*~A))*~(1)+(~D*~C)*(~B*~A)*~(1)+~((~D*~C))*(~B*~A)*1+(~D*~C)*(~B*~A)*1)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b1110111011101110),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("SR"))
\scan_unit/reg2_b9|scan_unit/reg2_b6 (
.a({\scan_unit/cnt [0],\FM_HW/_al_u1960_o }),
.b({\scan_unit/cnt [1],\FM_HW/_al_u1971_o }),
.c({\scan_unit/cnt [10],\FM_HW/_al_u1981_o }),
.clk(clk_pad),
.d({\scan_unit/cnt [11],\FM_HW/_al_u1991_o }),
.e({open_n119097,HADDR[11]}),
.mi({\scan_unit/n2 [9],\scan_unit/n2 [6]}),
.sr(\scan_unit/n0 ),
.f({_al_u342_o,\FM_HW/_al_u1992_o }),
.q({\scan_unit/cnt [9],\scan_unit/cnt [6]})); // ../rtl/peripherals/KeyScan.v(8)
EG_PHY_GCLK \scan_unit/scan_clk_gclk_inst (
.clki(\scan_unit/scan_clk ),
.clko(\scan_unit/scan_clk_gclk_net ));
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\scan_unit/scan_clk_reg (
.ce(\scan_unit/n0 ),
.clk(clk_pad),
.d({open_n119119,\scan_unit/scan_clk }),
.q({open_n119138,\scan_unit/scan_clk })); // ../rtl/peripherals/KeyScan.v(8)
// ../rtl/topmodule/cortexm0ds_logic.v(20167)
// ../rtl/topmodule/cortexm0ds_logic.v(18823)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/A1qax6_reg|u_logic/R7ibx6_reg (
.a({\u_logic/E8now6_lutinv ,\u_logic/Xpqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r4_o[30] ,\u_logic/vis_r3_o[28] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[30] ,\u_logic/vis_r7_o[28] }),
.mi({\u_logic/K39iu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u311_o ,\u_logic/R9wow6 }),
.q({\u_logic/vis_r2_o[30] ,\u_logic/vis_r2_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20167)
// ../rtl/topmodule/cortexm0ds_logic.v(18165)
// ../rtl/topmodule/cortexm0ds_logic.v(18163)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010011111011),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100010000111011),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ab9ax6_reg|u_logic/Ue9ax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u2522_o }),
.b({\u_logic/Iv1iu6 ,\u_logic/Nvkbx6 [10]}),
.c({\u_logic/D99ax6 ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[23] ,\u_logic/Im9ax6 }),
.e({open_n119153,\u_logic/vis_pc_o[9] }),
.mi({\u_logic/U64iu6 ,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3072_o ,\u_logic/_al_u3372_o }),
.q({\u_logic/Ab9ax6 ,\u_logic/Ue9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18165)
// ../rtl/topmodule/cortexm0ds_logic.v(18903)
// ../rtl/topmodule/cortexm0ds_logic.v(18901)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Acuax6_reg|u_logic/Yfuax6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r4_o[4] ,\u_logic/vis_r1_o[4] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[4] ,\u_logic/vis_r7_o[4] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u338_o ,\u_logic/_al_u335_o }),
.q({\u_logic/vis_r4_o[3] ,\u_logic/vis_r4_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18903)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*~A)"),
//.LUTG0("(D*~C*B*~A)"),
//.LUTG1("(D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010000000000),
.INIT_LUTG0(16'b0000010000000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ahdbx6_reg (
.a({open_n119184,\u_logic/A6cbx6 }),
.b({open_n119185,\u_logic/Ahdbx6 }),
.c({open_n119186,\u_logic/Bp2qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({open_n119187,\u_logic/Cfvpw6 }),
.mi({open_n119191,\u_logic/Jfdbx6 }),
.f({open_n119204,\u_logic/_al_u403_o }),
.q({open_n119208,\u_logic/Ahdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19975)
// ../rtl/topmodule/cortexm0ds_logic.v(17377)
// ../rtl/topmodule/cortexm0ds_logic.v(17359)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~A*~(D*C))"),
//.LUT1("~(~(D*~B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111011101110),
.INIT_LUT1(16'b0111001101010000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ahlpw6_reg|u_logic/Pmlpw6_reg (
.a({\u_logic/_al_u1713_o ,\u_logic/_al_u1047_o }),
.b({\u_logic/_al_u1714_o ,\u_logic/_al_u1050_o }),
.c({\u_logic/U5yhu6 ,\u_logic/_al_u1045_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ahlpw6 ,\u_logic/Rilpw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({\u_logic/Ahlpw6 ,\u_logic/Pmlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17377)
// ../rtl/topmodule/cortexm0ds_logic.v(17602)
// ../rtl/topmodule/cortexm0ds_logic.v(18610)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~(0*~A))))"),
//.LUTF1("~(B*~(D*~(C*~(0*~A))))"),
//.LUTG0("~(C*~(D*~(B*~(1*~A))))"),
//.LUTG1("~(B*~(D*~(C*~(1*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100001111),
.INIT_LUTF1(16'b0011111100110011),
.INIT_LUTG0(16'b0111111100001111),
.INIT_LUTG1(16'b0111111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Aniax6_reg|u_logic/Pcrpw6_reg (
.a({\u_logic/_al_u4609_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u4698_o ,\u_logic/_al_u4631_o }),
.c({\u_logic/_al_u4611_o ,\u_logic/_al_u4706_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4696_o ,\u_logic/_al_u4696_o }),
.e({\u_logic/n3708 ,\u_logic/n3708 }),
.sr(cpuresetn),
.q({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17602)
// ../rtl/topmodule/cortexm0ds_logic.v(18326)
// ../rtl/topmodule/cortexm0ds_logic.v(18317)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Aoeax6_reg|u_logic/U4fax6_reg (
.a({\u_logic/_al_u4251_o ,\u_logic/_al_u3175_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Xs1iu6 }),
.c({\u_logic/Iv1iu6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[15] ,\u_logic/Kn1qw6 }),
.e({\u_logic/Ue9ax6 ,\u_logic/Xajbx6 }),
.mi({\u_logic/Z54iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u4252_o ,\u_logic/_al_u3176_o }),
.q({\u_logic/Aoeax6 ,\u_logic/U4fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18326)
// ../rtl/topmodule/cortexm0ds_logic.v(18275)
// ../rtl/topmodule/cortexm0ds_logic.v(18269)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*~(~C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Apcax6_reg|u_logic/I0dax6_reg (
.a({open_n119263,\u_logic/Xs1iu6 }),
.b({\u_logic/Ljcax6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/Nnfbx6 ,\u_logic/Bwdax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u2502_o ,\u_logic/I0dax6 }),
.mi({\u_logic/K84iu6 ,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3552_o ,\u_logic/_al_u4335_o }),
.q({\u_logic/Apcax6 ,\u_logic/I0dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18275)
// ../rtl/topmodule/cortexm0ds_logic.v(18741)
// ../rtl/topmodule/cortexm0ds_logic.v(18745)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Aqlax6_reg|u_logic/Cilax6_reg (
.a({\u_logic/_al_u1170_o ,\u_logic/_al_u1164_o }),
.b({\u_logic/Yfqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/Gkqow6 ,\u_logic/Qiqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[2] ,\u_logic/vis_r10_o[3] }),
.e({\u_logic/vis_r8_o[4] ,\u_logic/vis_r9_o[3] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u1171_o ,\u_logic/_al_u1165_o }),
.q({\u_logic/vis_r10_o[5] ,\u_logic/vis_r10_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18741)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Aurpw6_reg (
.a({open_n119298,\u_logic/Fnqow6 }),
.b({open_n119299,\u_logic/Eqqow6 }),
.c({open_n119300,\u_logic/vis_r5_o[7] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({open_n119301,\u_logic/vis_r7_o[7] }),
.mi({open_n119305,\u_logic/Drkiu6 }),
.f({open_n119318,\u_logic/_al_u489_o }),
.q({open_n119322,\u_logic/vis_r5_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17630)
// ../rtl/topmodule/cortexm0ds_logic.v(19656)
// ../rtl/topmodule/cortexm0ds_logic.v(19668)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1101010011110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Aw4bx6_reg|u_logic/Wr4bx6_reg (
.a({\u_logic/Mfyax6 ,\u_logic/C0fiu6 }),
.b({\u_logic/Ohyax6 ,\u_logic/I3fiu6 }),
.c({\u_logic/Qjyax6 ,\u_logic/Cy4bx6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/T3abx6 ,\u_logic/Eyyax6 }),
.mi({HWDATA[30],\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4129_o ,\u_logic/_al_u2999_o }),
.q({\u_logic/Aw4bx6 ,\u_logic/Wr4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19656)
// ../rtl/topmodule/cortexm0ds_logic.v(17256)
// ../rtl/topmodule/cortexm0ds_logic.v(17726)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("~(C*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1011111100001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Awupw6_reg|u_logic/Lqjpw6_reg (
.a({\u_logic/_al_u4662_o ,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u4691_o ,\u_logic/_al_u4667_o }),
.c({\u_logic/_al_u4739_o ,\u_logic/_al_u4771_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[30] ,\u_logic/vis_pc_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17256)
// ../rtl/topmodule/cortexm0ds_logic.v(17447)
// ../rtl/topmodule/cortexm0ds_logic.v(17638)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B0spw6_reg|u_logic/Fzmpw6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r5_o[6] ,\u_logic/vis_r6_o[6] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[6] ,\u_logic/vis_r3_o[6] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u320_o ,\u_logic/_al_u319_o }),
.q({\u_logic/vis_r0_o[6] ,\u_logic/vis_r0_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17447)
// ../rtl/topmodule/cortexm0ds_logic.v(20216)
// ../rtl/topmodule/cortexm0ds_logic.v(20034)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111000011111000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/B3gbx6_reg|u_logic/S0kbx6_reg (
.a({HWDATA[22],HWDATA[31]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/B3gbx6 ,\u_logic/S0kbx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/B3gbx6 ,\u_logic/S0kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20216)
// ../rtl/topmodule/cortexm0ds_logic.v(17894)
// ../rtl/topmodule/cortexm0ds_logic.v(17898)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B5zpw6_reg|u_logic/Exypw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.b({\u_logic/_al_u740_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r14_o[29] ,\u_logic/vis_r11_o[6] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[27] ,\u_logic/vis_r10_o[6] }),
.mi({\u_logic/Sokiu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u859_o ,\u_logic/_al_u875_o }),
.q({\u_logic/vis_psp_o[4] ,\u_logic/vis_psp_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17894)
// ../rtl/topmodule/cortexm0ds_logic.v(20172)
// ../rtl/topmodule/cortexm0ds_logic.v(18898)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B6uax6_reg|u_logic/Rhibx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r1_o[28] ,\u_logic/vis_r0_o[29] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[28] ,\u_logic/vis_r6_o[29] }),
.mi({\u_logic/K39iu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u302_o ,\u_logic/_al_u307_o }),
.q({\u_logic/vis_r4_o[30] ,\u_logic/vis_r4_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20172)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B8uax6_reg (
.a({open_n119422,\u_logic/_al_u329_o }),
.b({open_n119423,\u_logic/_al_u330_o }),
.c({open_n119424,\u_logic/_al_u331_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n119425,\u_logic/_al_u332_o }),
.mi({open_n119429,\u_logic/D39iu6 }),
.f({open_n119442,\u_logic/R50iu6 }),
.q({open_n119446,\u_logic/vis_r4_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18899)
// ../rtl/topmodule/cortexm0ds_logic.v(18320)
// ../rtl/topmodule/cortexm0ds_logic.v(20186)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/B9jbx6_reg|u_logic/Rteax6_reg (
.a({\u_logic/_al_u2478_o ,\u_logic/_al_u4253_o }),
.b({\u_logic/_al_u2490_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/Owcax6 ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Uscax6 ,\u_logic/Owcax6 }),
.e({open_n119447,\u_logic/V0cax6 }),
.mi({\u_logic/Ym4iu6 ,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3527_o ,\u_logic/_al_u4254_o }),
.q({\u_logic/B9jbx6 ,\u_logic/Rteax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18320)
// ../rtl/topmodule/cortexm0ds_logic.v(18909)
// ../rtl/topmodule/cortexm0ds_logic.v(18900)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bauax6_reg|u_logic/Wruax6_reg (
.a({\u_logic/_al_u475_o ,\u_logic/N9now6_lutinv }),
.b({\u_logic/_al_u476_o ,\u_logic/C7now6_lutinv }),
.c({\u_logic/_al_u477_o ,\u_logic/vis_r6_o[0] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u478_o ,\u_logic/vis_r1_o[0] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/Zvzhu6 ,\u_logic/_al_u178_o }),
.q({\u_logic/vis_r4_o[0] ,\u_logic/vis_r4_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18909)
EG_PHY_MSLICE #(
//.LUT0("~(~(~0*C)*~(D*~(B*~A)))"),
//.LUT1("~(~(~1*C)*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101111110000),
.INIT_LUT1(16'b1011101100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Bclpw6_reg (
.a({\u_logic/X9zhu6 ,\u_logic/X9zhu6 }),
.b({\u_logic/_al_u1870_o ,\u_logic/_al_u1870_o }),
.c({\u_logic/T8yhu6_lutinv ,\u_logic/T8yhu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.mi({open_n119493,\u_logic/Bclpw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({open_n119499,\u_logic/Bclpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17341)
// ../rtl/topmodule/cortexm0ds_logic.v(18190)
// ../rtl/topmodule/cortexm0ds_logic.v(18186)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Biaax6_reg|u_logic/Npaax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Cs1iu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Ab9ax6 ,\u_logic/J5jbx6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Bxbax6 ,\u_logic/N3jbx6 }),
.mi({\u_logic/X44iu6 ,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3139_o ,\u_logic/_al_u3175_o }),
.q({\u_logic/Biaax6 ,\u_logic/Npaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18190)
// ../rtl/topmodule/cortexm0ds_logic.v(19817)
// ../rtl/topmodule/cortexm0ds_logic.v(18410)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bngax6_reg|u_logic/Dk9bx6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/_al_u4422_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Kpfbx6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Qlfbx6 ,\u_logic/vis_pc_o[22] }),
.e({open_n119514,\u_logic/Wxgbx6 }),
.mi({\u_logic/F94iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3104_o ,\u_logic/_al_u4423_o }),
.q({\u_logic/Bngax6 ,\u_logic/Dk9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19817)
// ../rtl/topmodule/cortexm0ds_logic.v(17775)
// ../rtl/topmodule/cortexm0ds_logic.v(17999)
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bp2qw6_reg|u_logic/Cfvpw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Jfdbx6 ,\u_logic/Kadbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ldvpw6 ,\u_logic/Stkpw6 }),
.mi({\u_logic/Kn2qw6 ,\u_logic/Ldvpw6 }),
.f({\u_logic/_al_u997_o ,\u_logic/Xd2iu6 }),
.q({\u_logic/Bp2qw6 ,\u_logic/Cfvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17775)
// ../rtl/topmodule/cortexm0ds_logic.v(17420)
// ../rtl/topmodule/cortexm0ds_logic.v(17629)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Bsrpw6_reg|u_logic/Qbmpw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r12_o[30] ,\u_logic/vis_r9_o[4] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[30] ,\u_logic/vis_r14_o[4] }),
.mi({\u_logic/Drkiu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u868_o ,\u_logic/_al_u896_o }),
.q({\u_logic/vis_r11_o[7] ,\u_logic/vis_r11_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17420)
// ../rtl/topmodule/cortexm0ds_logic.v(18273)
// ../rtl/topmodule/cortexm0ds_logic.v(19895)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Buabx6_reg|u_logic/Owcax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/Dw1iu6 }),
.b({\u_logic/Ajuiu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/_al_u3113_o ,\u_logic/Cndbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({HRDATA[21],\u_logic/Wqdbx6 }),
.mi({\u_logic/L54iu6 ,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3114_o ,\u_logic/_al_u3124_o }),
.q({\u_logic/Buabx6 ,\u_logic/Owcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18273)
// ../rtl/topmodule/cortexm0ds_logic.v(19584)
// ../rtl/topmodule/cortexm0ds_logic.v(19578)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(B*A*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1000100000001000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/C14bx6_reg|u_logic/E34bx6_reg (
.a({\u_logic/G2fiu6 ,\u_logic/_al_u1886_o }),
.b({\u_logic/I3fiu6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Cwyax6 ,\u_logic/vis_ipsr_o[1] }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/M85bx6 ,\u_logic/Tgzax6 }),
.e({open_n119581,\u_logic/Wmzax6 }),
.mi({HWDATA[23],HWDATA[30]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3009_o ,\u_logic/_al_u2197_o }),
.q({\u_logic/C14bx6 ,\u_logic/E34bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19584)
// ../rtl/topmodule/cortexm0ds_logic.v(18323)
// ../rtl/topmodule/cortexm0ds_logic.v(18324)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C1fax6_reg|u_logic/Gzeax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/Cs1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Gkeax6 ,\u_logic/Gwxpw6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Q8aax6 ,\u_logic/J8cax6 }),
.mi({\u_logic/Oh4iu6 ,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3132_o ,\u_logic/Cfsiu6 }),
.q({\u_logic/C1fax6 ,\u_logic/Gzeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18323)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C27bx6_reg (
.a({open_n119611,\u_logic/Cpqow6 }),
.b({open_n119612,\u_logic/Xpqow6 }),
.c({open_n119613,\u_logic/vis_r0_o[11] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({open_n119614,\u_logic/vis_r3_o[11] }),
.mi({open_n119625,\u_logic/Vrmiu6 }),
.f({open_n119627,\u_logic/_al_u448_o }),
.q({open_n119631,\u_logic/vis_r0_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19766)
// ../rtl/topmodule/cortexm0ds_logic.v(18895)
// ../rtl/topmodule/cortexm0ds_logic.v(18896)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C2uax6_reg|u_logic/D0uax6_reg (
.a({\u_logic/_al_u463_o ,\u_logic/Xpqow6 }),
.b({\u_logic/_al_u464_o ,\u_logic/Mnqow6 }),
.c({\u_logic/_al_u465_o ,\u_logic/vis_r4_o[2] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u466_o ,\u_logic/vis_r3_o[2] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/Gwzhu6 ,\u_logic/_al_u429_o }),
.q({\u_logic/vis_r4_o[4] ,\u_logic/vis_r4_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18895)
// ../rtl/topmodule/cortexm0ds_logic.v(17453)
// ../rtl/topmodule/cortexm0ds_logic.v(17808)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C5wpw6_reg|u_logic/Ebnpw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r6_o[26] ,\u_logic/vis_r3_o[26] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[26] ,\u_logic/vis_r4_o[26] }),
.mi({\u_logic/Admiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u287_o ,\u_logic/_al_u290_o }),
.q({\u_logic/vis_r0_o[16] ,\u_logic/vis_r0_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17453)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C7wpw6_reg (
.a({open_n119664,\u_logic/N9now6_lutinv }),
.b({open_n119665,\u_logic/E8now6_lutinv }),
.c({open_n119666,\u_logic/vis_r1_o[16] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({open_n119667,\u_logic/vis_r4_o[16] }),
.mi({open_n119678,\u_logic/Admiu6 }),
.f({open_n119680,\u_logic/_al_u224_o }),
.q({open_n119684,\u_logic/vis_r1_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17809)
// ../rtl/topmodule/cortexm0ds_logic.v(17528)
// ../rtl/topmodule/cortexm0ds_logic.v(17810)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/C9wpw6_reg|u_logic/Oxopw6_reg (
.a({\u_logic/L90iu6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u790_o ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/_al_u791_o ,\u_logic/vis_r14_o[26] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u792_o ,\u_logic/vis_r11_o[26] }),
.mi({\u_logic/Admiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u793_o ,\u_logic/_al_u838_o }),
.q({\u_logic/vis_r9_o[16] ,\u_logic/vis_r9_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(17528)
// ../rtl/topmodule/cortexm0ds_logic.v(19791)
// ../rtl/topmodule/cortexm0ds_logic.v(17811)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cbwpw6_reg|u_logic/Z58bx6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r10_o[15] ,\u_logic/vis_r8_o[17] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[15] ,\u_logic/vis_r9_o[17] }),
.mi({\u_logic/Admiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/L20pw6 ,\u_logic/_al_u778_o }),
.q({\u_logic/vis_r11_o[16] ,\u_logic/vis_r11_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19791)
// ../rtl/topmodule/cortexm0ds_logic.v(20014)
// ../rtl/topmodule/cortexm0ds_logic.v(19948)
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~C*A*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b0000100000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cccbx6_reg|u_logic/Qlfbx6_reg (
.a({\u_logic/_al_u3439_o ,\u_logic/_al_u2542_o }),
.b({\u_logic/Iqihu6 ,\u_logic/_al_u3379_o }),
.c({\u_logic/eq0/xor_i0[14]_i1[14]_o_lutinv ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Wxgbx6 ,\u_logic/vis_pc_o[14] }),
.mi({\u_logic/R84iu6 ,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3443_o ,\u_logic/Wfihu6 }),
.q({\u_logic/Cccbx6 ,\u_logic/Qlfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20014)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cdwpw6_reg (
.a({open_n119731,\u_logic/V6now6_lutinv }),
.b({open_n119732,\u_logic/U9now6_lutinv }),
.c({open_n119733,\u_logic/vis_r0_o[16] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({open_n119734,\u_logic/vis_r2_o[16] }),
.mi({open_n119745,\u_logic/Admiu6 }),
.f({open_n119747,\u_logic/_al_u223_o }),
.q({open_n119751,\u_logic/vis_r5_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17812)
// ../rtl/topmodule/cortexm0ds_logic.v(17233)
// ../rtl/topmodule/cortexm0ds_logic.v(17813)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cfwpw6_reg|u_logic/Bdjpw6_reg (
.a({\u_logic/Ga0iu6 ,\u_logic/_al_u1324_o }),
.b({\u_logic/_al_u769_o ,\u_logic/Yfqow6 }),
.c({\u_logic/_al_u770_o ,\u_logic/Sjqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u771_o ,\u_logic/vis_psp_o[19] }),
.e({open_n119752,\u_logic/vis_r10_o[21] }),
.mi({\u_logic/Admiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u772_o ,\u_logic/_al_u1325_o }),
.q({\u_logic/vis_psp_o[14] ,\u_logic/vis_psp_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17233)
// ../rtl/topmodule/cortexm0ds_logic.v(17706)
// ../rtl/topmodule/cortexm0ds_logic.v(19773)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cg7bx6_reg|u_logic/Ydupw6_reg (
.a({\u_logic/Z90iu6 ,\u_logic/_al_u775_o }),
.b({\u_logic/_al_u776_o ,\u_logic/_al_u739_o }),
.c({\u_logic/_al_u777_o ,\u_logic/_al_u740_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u778_o ,\u_logic/vis_msp_o[15] }),
.e({open_n119769,\u_logic/vis_psp_o[15] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u779_o ,\u_logic/_al_u776_o }),
.q({\u_logic/vis_r11_o[11] ,\u_logic/vis_r11_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17706)
// ../rtl/topmodule/cortexm0ds_logic.v(18387)
// ../rtl/topmodule/cortexm0ds_logic.v(17563)
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(C*~(0*B)))"),
//.LUTF1("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+D*B*C)"),
//.LUTG0("(D*A*~(C*~(1*B)))"),
//.LUTG1("(D*~(B)*~(C)+~(D)*B*~(C)+D*B*~(C)+D*B*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101000000000),
.INIT_LUTF1(16'b1100111100001100),
.INIT_LUTG0(16'b1000101000000000),
.INIT_LUTG1(16'b1100111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Cjqpw6_reg|u_logic/Qwfax6_reg (
.a({open_n119786,\u_logic/Cl1iu6 }),
.b({\u_logic/Ehqpw6 ,\u_logic/Cjqpw6 }),
.c({\u_logic/T0ipw6 ,\u_logic/Ehqpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cjqpw6 ,\u_logic/T0ipw6 }),
.e({open_n119788,CDBGPWRUPREQ}),
.mi({open_n119790,\u_logic/Qufax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n119802,\u_logic/_al_u1018_o }),
.q({\u_logic/Cjqpw6 ,\u_logic/Qwfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18387)
// ../rtl/topmodule/cortexm0ds_logic.v(18088)
// ../rtl/topmodule/cortexm0ds_logic.v(17815)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(C*~(0*D)))"),
//.LUTF1("~(A*~(C*~(D*B)))"),
//.LUTG0("~(B*~A*~(C*~(1*D)))"),
//.LUTG1("~(A*~(C*~(D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101111111011),
.INIT_LUTF1(16'b0111010111110101),
.INIT_LUTG0(16'b1011101111111011),
.INIT_LUTG1(16'b0111010111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cjwpw6_reg|u_logic/Z67ax6_reg (
.a({\u_logic/_al_u4236_o ,\u_logic/_al_u3003_o }),
.b({\u_logic/_al_u4250_o ,\u_logic/Iatiu6 }),
.c({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u4255_o ,\u_logic/_al_u4285_o }),
.e({open_n119807,\u_logic/_al_u4290_o }),
.q({\u_logic/Cjwpw6 ,\u_logic/Z67ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18088)
// ../rtl/topmodule/cortexm0ds_logic.v(18738)
// ../rtl/topmodule/cortexm0ds_logic.v(18743)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cmlax6_reg|u_logic/Eclax6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r12_o[1] ,\u_logic/vis_r10_o[2] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[1] ,\u_logic/vis_r14_o[2] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u1199_o ,\u_logic/_al_u904_o }),
.q({\u_logic/vis_r10_o[0] ,\u_logic/vis_r10_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18738)
// ../rtl/topmodule/cortexm0ds_logic.v(18134)
// ../rtl/topmodule/cortexm0ds_logic.v(18045)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cq3qw6_reg|u_logic/Su8ax6_reg (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Xs1iu6 ,\u_logic/Cs1iu6 }),
.mi({\u_logic/M94iu6 ,\u_logic/X44iu6 }),
.f({\u_logic/n526 ,\u_logic/n528 }),
.q({\u_logic/Cq3qw6 ,\u_logic/Su8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18134)
// ../rtl/topmodule/cortexm0ds_logic.v(18522)
// ../rtl/topmodule/cortexm0ds_logic.v(19779)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("~(C*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1011111100001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Cq7bx6_reg|u_logic/Hphax6_reg (
.a({\u_logic/_al_u4556_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u4569_o ,\u_logic/_al_u4581_o }),
.c({\u_logic/_al_u4709_o ,\u_logic/_al_u4751_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18522)
// ../rtl/topmodule/cortexm0ds_logic.v(17640)
// ../rtl/topmodule/cortexm0ds_logic.v(17628)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cqrpw6_reg|u_logic/Z3spw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u873_o }),
.b({\u_logic/_al_u740_o ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_psp_o[4] ,\u_logic/_al_u739_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[6] ,\u_logic/vis_r12_o[6] }),
.e({open_n119878,\u_logic/vis_msp_o[4] }),
.mi({\u_logic/Drkiu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u873_o ,\u_logic/_al_u874_o }),
.q({\u_logic/vis_r9_o[7] ,\u_logic/vis_r9_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17640)
// ../rtl/topmodule/cortexm0ds_logic.v(18834)
// ../rtl/topmodule/cortexm0ds_logic.v(18820)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Cvpax6_reg|u_logic/Vmqax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Dmqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r2_o[2] ,\u_logic/vis_r4_o[0] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[2] ,\u_logic/vis_r0_o[0] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u430_o ,\u_logic/_al_u184_o }),
.q({\u_logic/vis_r2_o[2] ,\u_logic/vis_r2_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18834)
// ../rtl/topmodule/cortexm0ds_logic.v(17407)
// ../rtl/topmodule/cortexm0ds_logic.v(19044)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C)*~(0*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~B*~(D*C)*~(1*A))"),
//.LUTG1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Cwyax6_reg|u_logic/S3mpw6_reg (
.a({open_n119909,\u_logic/_al_u2026_o }),
.b({\u_logic/_al_u1062_o ,\u_logic/_al_u2228_o }),
.c({\u_logic/Pczax6 ,\u_logic/Qrgiu6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u2039_o ,\u_logic/Tl4bx6 }),
.e({open_n119910,\u_logic/X7abx6 }),
.mi({HWDATA[30],HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2228_o ,\u_logic/_al_u2229_o }),
.q({\u_logic/Cwyax6 ,\u_logic/S3mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17407)
// ../rtl/topmodule/cortexm0ds_logic.v(17971)
// ../rtl/topmodule/cortexm0ds_logic.v(17596)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D2rpw6_reg|u_logic/Ra2qw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Hlwpw6 ,\u_logic/Oh8ax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ufbbx6 ,\u_logic/Wq8ax6 }),
.mi({\u_logic/Nfqpw6 ,\u_logic/C72qw6 }),
.f({\u_logic/Gm2iu6 ,\u_logic/Vq2iu6 }),
.q({\u_logic/D2rpw6 ,\u_logic/Ra2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17971)
// ../rtl/topmodule/cortexm0ds_logic.v(20000)
// ../rtl/topmodule/cortexm0ds_logic.v(19749)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D46bx6_reg|u_logic/Ttebx6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r7_o[18] ,\u_logic/vis_r0_o[18] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[18] ,\u_logic/vis_r4_o[18] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u514_o ,\u_logic/_al_u236_o }),
.q({\u_logic/vis_r3_o[21] ,\u_logic/vis_r3_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20000)
// ../rtl/topmodule/cortexm0ds_logic.v(19752)
// ../rtl/topmodule/cortexm0ds_logic.v(19751)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/D86bx6_reg|u_logic/Da6bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r3_o[18] ,\u_logic/vis_r0_o[18] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[18] ,\u_logic/vis_r5_o[18] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u513_o ,\u_logic/_al_u512_o }),
.q({\u_logic/vis_r3_o[19] ,\u_logic/vis_r3_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(19752)
// ../rtl/topmodule/cortexm0ds_logic.v(18574)
// ../rtl/topmodule/cortexm0ds_logic.v(18568)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*(~C*~B)*D*~(0)+~(A)*~((~C*~B))*~(D)*0+A*~((~C*~B))*~(D)*0+~(A)*(~C*~B)*~(D)*0+A*(~C*~B)*~(D)*0+~(A)*(~C*~B)*D*0+A*(~C*~B)*D*0)"),
//.LUTF1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTG0("(~(A)*(~C*~B)*D*~(1)+~(A)*~((~C*~B))*~(D)*1+A*~((~C*~B))*~(D)*1+~(A)*(~C*~B)*~(D)*1+A*(~C*~B)*~(D)*1+~(A)*(~C*~B)*D*1+A*(~C*~B)*D*1)"),
//.LUTG1("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1100000011110011),
.INIT_LUTG0(16'b0000001111111111),
.INIT_LUTG1(16'b1100000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Daiax6_reg|u_logic/Bciax6_reg (
.a({open_n119976,\u_logic/U6piu6 }),
.b({\u_logic/n3724 ,\u_logic/_al_u4292_o }),
.c({\u_logic/Daiax6 ,\u_logic/Wofiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/X5phu6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n119978,\u_logic/Bciax6 }),
.sr(cpuresetn),
.q({\u_logic/Daiax6 ,\u_logic/Bciax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18574)
// ../rtl/topmodule/cortexm0ds_logic.v(19753)
// ../rtl/topmodule/cortexm0ds_logic.v(19754)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/De6bx6_reg|u_logic/Dc6bx6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r3_o[16] ,\u_logic/vis_r5_o[16] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[16] ,\u_logic/vis_r6_o[16] }),
.mi({\u_logic/Admiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/Je8pw6 ,\u_logic/_al_u222_o }),
.q({\u_logic/vis_r3_o[16] ,\u_logic/vis_r3_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(19753)
// ../rtl/topmodule/cortexm0ds_logic.v(18093)
// ../rtl/topmodule/cortexm0ds_logic.v(18036)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("~(~B*~A*~(D*~C))"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("~(~B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b1110111111101110),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Di3qw6_reg|u_logic/Pe7ax6_reg (
.a({\u_logic/_al_u2950_o ,\u_logic/_al_u2950_o }),
.b({\u_logic/_al_u2957_o ,\u_logic/_al_u2953_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Di3qw6 ,\u_logic/Pe7ax6 }),
.sr(RSTn_pad),
.q({\u_logic/Di3qw6 ,\u_logic/Pe7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18093)
// ../rtl/topmodule/cortexm0ds_logic.v(19756)
// ../rtl/topmodule/cortexm0ds_logic.v(19757)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dk6bx6_reg|u_logic/Di6bx6_reg (
.a({\u_logic/_al_u233_o ,\u_logic/Kmqow6 }),
.b({\u_logic/_al_u234_o ,\u_logic/Xpqow6 }),
.c({\u_logic/_al_u235_o ,\u_logic/vis_r3_o[13] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u236_o ,\u_logic/vis_r6_o[13] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/S90iu6 ,\u_logic/_al_u471_o }),
.q({\u_logic/vis_r3_o[12] ,\u_logic/vis_r3_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(19756)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dmeax6_reg (
.a({open_n120048,\u_logic/_al_u3550_o }),
.b({open_n120049,\u_logic/_al_u3573_o }),
.c({open_n120050,\u_logic/Bcgax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({open_n120051,\u_logic/K6gax6 }),
.mi({open_n120055,\u_logic/N64iu6 }),
.f({open_n120068,\u_logic/_al_u3633_o }),
.q({open_n120072,\u_logic/Dmeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18316)
// ../rtl/topmodule/cortexm0ds_logic.v(18271)
// ../rtl/topmodule/cortexm0ds_logic.v(18268)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~C*A*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dncax6_reg|u_logic/Uscax6_reg (
.a({\u_logic/_al_u3633_o ,\u_logic/_al_u3550_o }),
.b({\u_logic/_al_u3601_o ,\u_logic/_al_u3573_o }),
.c({\u_logic/_al_u3634_o ,\u_logic/Dncax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/H8gax6 ,\u_logic/Krbax6 }),
.mi({\u_logic/T94iu6 ,\u_logic/U64iu6 }),
.f({\u_logic/_al_u3635_o ,\u_logic/_al_u3574_o }),
.q({\u_logic/Dncax6 ,\u_logic/Uscax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18271)
// ../rtl/topmodule/cortexm0ds_logic.v(17859)
// ../rtl/topmodule/cortexm0ds_logic.v(17818)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dpwpw6_reg|u_logic/H4ypw6_reg (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Dw1iu6 ,\u_logic/Vr1iu6 }),
.mi({\u_logic/Z54iu6 ,\u_logic/J44iu6 }),
.f({\u_logic/n527 ,\u_logic/n525 }),
.q({\u_logic/Dpwpw6 ,\u_logic/H4ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17859)
// ../rtl/topmodule/cortexm0ds_logic.v(19530)
// ../rtl/topmodule/cortexm0ds_logic.v(19350)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dt1bx6_reg|u_logic/Mk3bx6_reg (
.a({open_n120105,\u_logic/_al_u1908_o }),
.b({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.c({\u_logic/_al_u2040_o ,\u_logic/_al_u1904_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2038_o ,\u_logic/_al_u1909_o }),
.sr(cpuresetn),
.f({\u_logic/I1phu6 ,\u_logic/A4phu6 }),
.q({\u_logic/Dt1bx6 ,\u_logic/Mk3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19530)
// ../rtl/topmodule/cortexm0ds_logic.v(18796)
// ../rtl/topmodule/cortexm0ds_logic.v(18819)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dtpax6_reg|u_logic/Kjoax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Xpqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r2_o[31] ,\u_logic/vis_r3_o[9] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[31] ,\u_logic/vis_r7_o[9] }),
.mi({\u_logic/X6niu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u598_o ,\u_logic/V3xow6 }),
.q({\u_logic/vis_r6_o[8] ,\u_logic/vis_r6_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18796)
// ../rtl/topmodule/cortexm0ds_logic.v(19152)
// ../rtl/topmodule/cortexm0ds_logic.v(19458)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~A*~(D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Dv2bx6_reg|u_logic/Cxzax6_reg (
.a({\u_logic/_al_u1934_o ,HWDATA[1]}),
.b({\u_logic/_al_u1061_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1935_o ,\u_logic/Cxzax6 }),
.clk(clk_pad),
.d({\u_logic/Ukbpw6_lutinv ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Nwdpw6 ,open_n120149}),
.q({\u_logic/Dv2bx6 ,\u_logic/Cxzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19152)
// ../rtl/topmodule/cortexm0ds_logic.v(17520)
// ../rtl/topmodule/cortexm0ds_logic.v(17795)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*~C))"),
//.LUT1("~(B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111101110111),
.INIT_LUT1(16'b0111111101110111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Dzvpw6_reg|u_logic/Shopw6_reg (
.a({\u_logic/Ir6ow6 ,\u_logic/Ir6ow6 }),
.b({\u_logic/Fobow6 ,\u_logic/Nq6ow6 }),
.c({\u_logic/_al_u711_o ,\u_logic/_al_u711_o }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/Irmpw6 ,\u_logic/Wfspw6 }),
.q({\u_logic/Dzvpw6 ,\u_logic/Shopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17520)
// ../rtl/topmodule/cortexm0ds_logic.v(17703)
// ../rtl/topmodule/cortexm0ds_logic.v(17448)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E1npw6_reg|u_logic/Y7upw6_reg (
.a({\u_logic/Voqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Mnqow6 ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r1_o[16] ,\u_logic/vis_r6_o[17] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[16] ,\u_logic/vis_r1_o[17] }),
.mi({\u_logic/X1liu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u608_o ,\u_logic/_al_u228_o }),
.q({\u_logic/vis_r0_o[27] ,\u_logic/vis_r0_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17703)
// ../rtl/topmodule/cortexm0ds_logic.v(17445)
// ../rtl/topmodule/cortexm0ds_logic.v(17449)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E3npw6_reg|u_logic/Gvmpw6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r0_o[28] ,\u_logic/vis_r1_o[29] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[28] ,\u_logic/vis_r2_o[29] }),
.mi({\u_logic/P4liu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u301_o ,\u_logic/_al_u603_o }),
.q({\u_logic/vis_r0_o[29] ,\u_logic/vis_r0_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17445)
// ../rtl/topmodule/cortexm0ds_logic.v(17452)
// ../rtl/topmodule/cortexm0ds_logic.v(17450)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E5npw6_reg|u_logic/E9npw6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r0_o[13] ,\u_logic/vis_r3_o[13] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[13] ,\u_logic/vis_r7_o[13] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u206_o ,\u_logic/J69pw6 }),
.q({\u_logic/vis_r0_o[21] ,\u_logic/vis_r0_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17452)
// ../rtl/topmodule/cortexm0ds_logic.v(20174)
// ../rtl/topmodule/cortexm0ds_logic.v(18807)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E5pax6_reg|u_logic/Rlibx6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r5_o[28] ,\u_logic/vis_r5_o[29] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[28] ,\u_logic/vis_r3_o[29] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u300_o ,\u_logic/_al_u305_o }),
.q({\u_logic/vis_r6_o[25] ,\u_logic/vis_r6_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20174)
// ../rtl/topmodule/cortexm0ds_logic.v(20007)
// ../rtl/topmodule/cortexm0ds_logic.v(18808)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E7pax6_reg|u_logic/T7fbx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[13] ,\u_logic/vis_r6_o[21] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[13] ,\u_logic/vis_r5_o[21] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u205_o ,\u_logic/_al_u259_o }),
.q({\u_logic/vis_r6_o[21] ,\u_logic/vis_r6_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20007)
// ../rtl/topmodule/cortexm0ds_logic.v(18622)
// ../rtl/topmodule/cortexm0ds_logic.v(18566)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTF1("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG0("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG1("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1110111111101100),
.INIT_LUTG1(16'b1110111111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E8iax6_reg|u_logic/Ysiax6_reg (
.a({\u_logic/_al_u4392_o ,\u_logic/_al_u4392_o }),
.b({\u_logic/_al_u4393_o ,\u_logic/_al_u4397_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.e({\u_logic/Xlfpw6 [1],\u_logic/Xlfpw6 [3]}),
.q({\u_logic/E8iax6 ,\u_logic/Ysiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18622)
// ../rtl/topmodule/cortexm0ds_logic.v(18121)
// ../rtl/topmodule/cortexm0ds_logic.v(18089)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111001100110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/E97ax6_reg|u_logic/Xf8ax6_reg (
.b({\u_logic/_al_u989_o ,\u_logic/_al_u987_o }),
.c({\u_logic/Z67ax6 ,\u_logic/Sd8ax6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.q({\u_logic/E97ax6 ,\u_logic/Xf8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18121)
// ../rtl/topmodule/cortexm0ds_logic.v(18290)
// ../rtl/topmodule/cortexm0ds_logic.v(18403)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Eagax6_reg|u_logic/Widax6_reg (
.a({\u_logic/_al_u2985_o ,\u_logic/_al_u3104_o }),
.b({\u_logic/Xs1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Zt1iu6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Eagax6 ,\u_logic/vis_pc_o[21] }),
.e({\u_logic/N4gax6 ,\u_logic/Tjfbx6 }),
.mi({\u_logic/Lm1iu6 ,\u_logic/T94iu6 }),
.f({\u_logic/_al_u2986_o ,\u_logic/_al_u3105_o }),
.q({\u_logic/Eagax6 ,\u_logic/Widax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18290)
// ../rtl/topmodule/cortexm0ds_logic.v(18811)
// ../rtl/topmodule/cortexm0ds_logic.v(18810)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ebpax6_reg|u_logic/Edpax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Dmqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r1_o[18] ,\u_logic/vis_r5_o[22] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[18] ,\u_logic/vis_r6_o[22] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u511_o ,\u_logic/_al_u538_o }),
.q({\u_logic/vis_r6_o[19] ,\u_logic/vis_r6_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18811)
// ../rtl/topmodule/cortexm0ds_logic.v(17216)
// ../rtl/topmodule/cortexm0ds_logic.v(17455)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Efnpw6_reg|u_logic/Uoipw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1387_o }),
.b({\u_logic/Gkqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/vis_r11_o[31] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[31] ,\u_logic/vis_r10_o[30] }),
.e({open_n120329,\u_logic/vis_psp_o[28] }),
.mi({\u_logic/D39iu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u1394_o ,\u_logic/_al_u1388_o }),
.q({\u_logic/vis_r11_o[31] ,\u_logic/vis_r11_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17216)
// ../rtl/topmodule/cortexm0ds_logic.v(17945)
// ../rtl/topmodule/cortexm0ds_logic.v(17456)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ehnpw6_reg|u_logic/Jp1qw6_reg (
.a({\u_logic/Voqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r0_o[31] ,\u_logic/vis_r6_o[9] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[31] ,\u_logic/vis_r1_o[9] }),
.mi({\u_logic/D39iu6 ,\u_logic/K3niu6 }),
.f({\u_logic/Fpvow6 ,\u_logic/_al_u323_o }),
.q({\u_logic/vis_r0_o[31] ,\u_logic/vis_r0_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17945)
// ../rtl/topmodule/cortexm0ds_logic.v(18804)
// ../rtl/topmodule/cortexm0ds_logic.v(18813)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ehpax6_reg|u_logic/Fzoax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r2_o[26] ,\u_logic/vis_r7_o[16] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[26] ,\u_logic/vis_r2_o[16] }),
.mi({\u_logic/Admiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u289_o ,\u_logic/_al_u607_o }),
.q({\u_logic/vis_r6_o[16] ,\u_logic/vis_r6_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18804)
// ../rtl/topmodule/cortexm0ds_logic.v(17946)
// ../rtl/topmodule/cortexm0ds_logic.v(17457)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ejnpw6_reg|u_logic/Ir1qw6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r5_o[9] ,\u_logic/vis_r7_o[9] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[9] ,\u_logic/vis_r2_o[9] }),
.mi({\u_logic/D39iu6 ,\u_logic/K3niu6 }),
.f({\u_logic/_al_u568_o ,\u_logic/_al_u324_o }),
.q({\u_logic/vis_r1_o[31] ,\u_logic/vis_r1_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17946)
// ../rtl/topmodule/cortexm0ds_logic.v(20016)
// ../rtl/topmodule/cortexm0ds_logic.v(18409)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Elgax6_reg|u_logic/Kpfbx6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/_al_u4424_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Daebx6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/J6ebx6 ,\u_logic/Ztgbx6 }),
.e({open_n120388,\u_logic/Zvgbx6 }),
.mi({\u_logic/F94iu6 ,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3115_o ,\u_logic/_al_u4425_o }),
.q({\u_logic/Elgax6 ,\u_logic/Kpfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20016)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Elpax6_reg (
.a({open_n120405,\u_logic/C7now6_lutinv }),
.b({open_n120406,\u_logic/E8now6_lutinv }),
.c({open_n120407,\u_logic/vis_r6_o[13] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({open_n120408,\u_logic/vis_r4_o[13] }),
.mi({open_n120412,\u_logic/Jlmiu6 }),
.f({open_n120425,\u_logic/_al_u203_o }),
.q({open_n120429,\u_logic/vis_r6_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18815)
// ../rtl/topmodule/cortexm0ds_logic.v(18893)
// ../rtl/topmodule/cortexm0ds_logic.v(18888)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Emtax6_reg|u_logic/Ewtax6_reg (
.a({open_n120430,\u_logic/_al_u1256_o }),
.b({\u_logic/_al_u672_o ,\u_logic/Z20iu6 }),
.c({\u_logic/Sb8ax6 ,\u_logic/_al_u1257_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u758_o ,\u_logic/Ahqow6 }),
.e({open_n120431,\u_logic/vis_r14_o[11] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u759_o ,\u_logic/_al_u1258_o }),
.q({\u_logic/vis_r12_o[17] ,\u_logic/vis_r12_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18893)
// ../rtl/topmodule/cortexm0ds_logic.v(18812)
// ../rtl/topmodule/cortexm0ds_logic.v(18816)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Enpax6_reg|u_logic/Efpax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r3_o[12] ,\u_logic/vis_r5_o[12] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[12] ,\u_logic/vis_r4_o[12] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u459_o ,\u_logic/Vk1pw6 }),
.q({\u_logic/vis_r6_o[12] ,\u_logic/vis_r6_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18812)
// ../rtl/topmodule/cortexm0ds_logic.v(18879)
// ../rtl/topmodule/cortexm0ds_logic.v(18889)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Eotax6_reg|u_logic/F4tax6_reg (
.a({\u_logic/_al_u1255_o ,\u_logic/_al_u1254_o }),
.b({\u_logic/Fgqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/Qiqow6 ,\u_logic/Ljqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[11] ,\u_logic/vis_r11_o[11] }),
.e({\u_logic/vis_msp_o[9] ,\u_logic/vis_psp_o[9] }),
.mi({\u_logic/Admiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u1256_o ,\u_logic/_al_u1255_o }),
.q({\u_logic/vis_r12_o[16] ,\u_logic/vis_r12_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18879)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Eppax6_reg (
.a({open_n120478,\u_logic/_al_u433_o }),
.b({open_n120479,\u_logic/_al_u434_o }),
.c({open_n120480,\u_logic/_al_u435_o }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({open_n120481,\u_logic/_al_u436_o }),
.mi({open_n120492,\u_logic/Hymiu6 }),
.f({open_n120494,\u_logic/G30iu6 }),
.q({open_n120498,\u_logic/vis_r6_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18817)
// ../rtl/topmodule/cortexm0ds_logic.v(18292)
// ../rtl/topmodule/cortexm0ds_logic.v(19937)
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Erbbx6_reg|u_logic/Qmdax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u2979_o }),
.b({\u_logic/Owviu6 ,\u_logic/_al_u3146_o }),
.c({\u_logic/_al_u3035_o ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({HRDATA[28],\u_logic/vis_pc_o[17] }),
.mi({\u_logic/G64iu6 ,\u_logic/D84iu6 }),
.f({\u_logic/_al_u3036_o ,\u_logic/_al_u3147_o }),
.q({\u_logic/Erbbx6 ,\u_logic/Qmdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18292)
// ../rtl/topmodule/cortexm0ds_logic.v(20009)
// ../rtl/topmodule/cortexm0ds_logic.v(18891)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Estax6_reg|u_logic/Tbfbx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[21] ,\u_logic/vis_r8_o[26] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[21] ,\u_logic/vis_r10_o[26] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u806_o ,\u_logic/_al_u1368_o }),
.q({\u_logic/vis_r12_o[13] ,\u_logic/vis_r12_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20009)
// ../rtl/topmodule/cortexm0ds_logic.v(18260)
// ../rtl/topmodule/cortexm0ds_logic.v(18248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Evbax6_reg|u_logic/Phcax6_reg (
.a({\u_logic/_al_u2979_o ,\u_logic/Cs1iu6 }),
.b({\u_logic/_al_u3227_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/Kw1iu6_lutinv ,\u_logic/Phcax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Tc9bx6 ,\u_logic/T5yax6 }),
.mi({\u_logic/D84iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3228_o ,\u_logic/Hgqiu6 }),
.q({\u_logic/Evbax6 ,\u_logic/Phcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18260)
// ../rtl/topmodule/cortexm0ds_logic.v(17157)
// ../rtl/topmodule/cortexm0ds_logic.v(17151)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*~B*A)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(1*D*C*~B*A)"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Evhpw6_reg|u_logic/Hwhpw6_reg (
.a({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.b({\u_logic/_al_u2976_o ,\u_logic/_al_u2976_o }),
.c({\u_logic/_al_u128_o ,\u_logic/Iqzhu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u126_o ,\u_logic/Nmfax6 }),
.e({open_n120554,\u_logic/Nrqpw6 }),
.mi({1'b1,\u_logic/Evhpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Bo1iu6 ,\u_logic/_al_u3002_o }),
.q({\u_logic/Evhpw6 ,\u_logic/Hwhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17157)
// ../rtl/topmodule/cortexm0ds_logic.v(17896)
// ../rtl/topmodule/cortexm0ds_logic.v(17893)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Evypw6_reg|u_logic/D1zpw6_reg (
.a({\u_logic/_al_u740_o ,\u_logic/_al_u1395_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r10_o[31] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[29] ,\u_logic/vis_r10_o[31] }),
.e({open_n120570,\u_logic/vis_msp_o[29] }),
.mi({\u_logic/D39iu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u887_o ,\u_logic/_al_u1396_o }),
.q({\u_logic/vis_psp_o[29] ,\u_logic/vis_psp_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17896)
// ../rtl/topmodule/cortexm0ds_logic.v(18927)
// ../rtl/topmodule/cortexm0ds_logic.v(17950)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ez1qw6_reg|u_logic/Rrvax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r3_o[9] ,\u_logic/vis_r1_o[9] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[9] ,\u_logic/vis_r4_o[9] }),
.mi({\u_logic/K3niu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u326_o ,\u_logic/_al_u565_o }),
.q({\u_logic/vis_r7_o[9] ,\u_logic/vis_r7_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18927)
// ../rtl/topmodule/cortexm0ds_logic.v(20178)
// ../rtl/topmodule/cortexm0ds_logic.v(17895)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ezypw6_reg|u_logic/Rtibx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1177_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r8_o[4] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[4] ,\u_logic/vis_psp_o[3] }),
.e({open_n120601,\u_logic/vis_msp_o[3] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u897_o ,\u_logic/_al_u1178_o }),
.q({\u_logic/vis_psp_o[2] ,\u_logic/vis_psp_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(20178)
// ../rtl/topmodule/cortexm0ds_logic.v(18792)
// ../rtl/topmodule/cortexm0ds_logic.v(18806)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F3pax6_reg|u_logic/Mboax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r7_o[2] ,\u_logic/vis_r3_o[1] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[2] ,\u_logic/vis_r1_o[1] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u428_o ,\u_logic/_al_u507_o }),
.q({\u_logic/vis_r6_o[1] ,\u_logic/vis_r6_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18792)
// ../rtl/topmodule/cortexm0ds_logic.v(18282)
// ../rtl/topmodule/cortexm0ds_logic.v(19809)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0100000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F59bx6_reg|u_logic/Iddax6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u3072_o }),
.b({\u_logic/_al_u3123_o ,\u_logic/Z6viu6 }),
.c({\u_logic/_al_u3124_o ,\u_logic/Dw1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({HRDATA[20],\u_logic/Jieax6 }),
.mi({\u_logic/Q44iu6 ,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3125_o ,\u_logic/_al_u3074_o }),
.q({\u_logic/F59bx6 ,\u_logic/Iddax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18282)
// ../rtl/topmodule/cortexm0ds_logic.v(18296)
// ../rtl/topmodule/cortexm0ds_logic.v(18303)
EG_PHY_LSLICE #(
//.LUTF0("(A*(0@C)*(D@B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(A*(1@C)*(D@B))"),
//.LUTG1("(B*A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000010000000),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0000001000001000),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/F7eax6_reg|u_logic/Eudax6_reg (
.a({\u_logic/_al_u3592_o ,\u_logic/_al_u3555_o }),
.b({\u_logic/_al_u3600_o ,\u_logic/_al_u2490_o }),
.c({\u_logic/_al_u2757_o ,\u_logic/_al_u2571_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Tceax6 ,\u_logic/Bxbax6 }),
.e({open_n120646,\u_logic/Evbax6 }),
.mi({\u_logic/H34iu6 ,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3601_o ,\u_logic/_al_u3556_o }),
.q({\u_logic/F7eax6 ,\u_logic/Eudax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18296)
// ../rtl/topmodule/cortexm0ds_logic.v(18252)
// ../rtl/topmodule/cortexm0ds_logic.v(18256)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Facax6_reg|u_logic/S2cax6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/Vr1iu6 }),
.b({\u_logic/Dw1iu6 ,\u_logic/Cs1iu6 }),
.c({\u_logic/Hi9bx6 ,\u_logic/Hjgax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Zl9bx6 ,\u_logic/Yogax6 }),
.mi({\u_logic/Pl4iu6 ,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3229_o ,\u_logic/Owviu6 }),
.q({\u_logic/Facax6 ,\u_logic/S2cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18252)
// ../rtl/topmodule/cortexm0ds_logic.v(18406)
// ../rtl/topmodule/cortexm0ds_logic.v(19947)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Facbx6_reg|u_logic/Nfgax6_reg (
.a({\u_logic/Gglhu6 ,\u_logic/C4ihu6 }),
.b({\u_logic/Y5lhu6 ,\u_logic/Clihu6 }),
.c({\u_logic/Fldbx6 ,\u_logic/Pe9bx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Nfgax6 ,\u_logic/Q8aax6 }),
.mi({\u_logic/R84iu6 ,\u_logic/F94iu6 }),
.f({\u_logic/_al_u3368_o ,\u_logic/_al_u3439_o }),
.q({\u_logic/Facbx6 ,\u_logic/Nfgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18406)
// ../rtl/topmodule/cortexm0ds_logic.v(17311)
// ../rtl/topmodule/cortexm0ds_logic.v(18123)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0010001111101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fj8ax6_reg|u_logic/Jvkpw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Gw6bx6 ,\u_logic/Ldvpw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Wq8ax6 ,\u_logic/Puwpw6 }),
.mi({\u_logic/Oh8ax6 ,\u_logic/Stkpw6 }),
.f({\u_logic/_al_u1040_o ,\u_logic/_al_u995_o }),
.q({\u_logic/Fj8ax6 ,\u_logic/Jvkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17311)
// ../rtl/topmodule/cortexm0ds_logic.v(19996)
// ../rtl/topmodule/cortexm0ds_logic.v(19976)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(D*~(0*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0100010011111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1111010011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fjdbx6_reg|u_logic/Tlebx6_reg (
.a({\u_logic/_al_u2494_o ,\u_logic/_al_u2502_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Hzliu6 ,\u_logic/Ctliu6 }),
.e({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[21] }),
.q({\u_logic/Fjdbx6 ,\u_logic/Tlebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19996)
// ../rtl/topmodule/cortexm0ds_logic.v(18803)
// ../rtl/topmodule/cortexm0ds_logic.v(18802)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fvoax6_reg|u_logic/Fxoax6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r5_o[13] ,\u_logic/vis_r7_o[13] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[13] ,\u_logic/vis_r2_o[13] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/I31pw6 ,\u_logic/_al_u469_o }),
.q({\u_logic/vis_r6_o[24] ,\u_logic/vis_r6_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18803)
// ../rtl/topmodule/cortexm0ds_logic.v(17534)
// ../rtl/topmodule/cortexm0ds_logic.v(17949)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Fx1qw6_reg|u_logic/N9ppw6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r0_o[9] ,\u_logic/vis_r6_o[9] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[9] ,\u_logic/vis_r2_o[9] }),
.mi({\u_logic/K3niu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u325_o ,\u_logic/_al_u566_o }),
.q({\u_logic/vis_r5_o[9] ,\u_logic/vis_r5_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(17534)
// ../rtl/topmodule/cortexm0ds_logic.v(18881)
// ../rtl/topmodule/cortexm0ds_logic.v(18877)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/G0tax6_reg|u_logic/F8tax6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/vis_r12_o[7] ,\u_logic/vis_r12_o[7] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[7] ,\u_logic/vis_r8_o[7] }),
.mi({\u_logic/Drkiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/J80pw6 ,\u_logic/_al_u932_o }),
.q({\u_logic/vis_r12_o[7] ,\u_logic/vis_r12_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18881)
// ../rtl/topmodule/cortexm0ds_logic.v(19692)
// ../rtl/topmodule/cortexm0ds_logic.v(19686)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(~D*B)*~(~C*A))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010100110001),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/G25bx6_reg|u_logic/I45bx6_reg (
.a({open_n120784,\u_logic/Qyohu6 }),
.b({open_n120785,\u_logic/Jyohu6 }),
.c({\u_logic/Rm2bx6 ,\u_logic/Bc3bx6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Pv0bx6 ,\u_logic/Tcipw6 }),
.mi({HWDATA[14],\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/Qusow6 ,\u_logic/Nj5iu6 }),
.q({\u_logic/G25bx6 ,\u_logic/I45bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19692)
// ../rtl/topmodule/cortexm0ds_logic.v(19852)
// ../rtl/topmodule/cortexm0ds_logic.v(19590)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/G54bx6_reg|u_logic/R1abx6_reg (
.a({\u_logic/S1fiu6 ,\u_logic/G2fiu6 }),
.b({\u_logic/U2fiu6 ,\u_logic/Q0fiu6 }),
.c({\u_logic/Nhgbx6 ,\u_logic/G54bx6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Rlgbx6 ,\u_logic/Oa5bx6 }),
.mi({HWDATA[31],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3099_o ,\u_logic/_al_u2993_o }),
.q({\u_logic/G54bx6 ,\u_logic/R1abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19852)
// ../rtl/topmodule/cortexm0ds_logic.v(18160)
// ../rtl/topmodule/cortexm0ds_logic.v(18161)
EG_PHY_LSLICE #(
//.LUTF0("~(D@(~B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(C*A*~(D@B))"),
//.LUTG0("~(D@(~B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(C*A*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000111111110),
.INIT_LUTF1(16'b1000000000100000),
.INIT_LUTG0(16'b0011000111001110),
.INIT_LUTG1(16'b1000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/G79ax6_reg|u_logic/J59ax6_reg (
.a({\u_logic/_al_u3425_o ,\u_logic/_al_u2490_o }),
.b({\u_logic/Mzihu6 ,\u_logic/_al_u3315_o }),
.c({\u_logic/_al_u3428_o ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Z2aax6 ,\u_logic/Ab9ax6 }),
.e({open_n120816,\u_logic/vis_pc_o[18] }),
.mi({\u_logic/K84iu6 ,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3429_o ,\u_logic/_al_u3316_o }),
.q({\u_logic/G79ax6 ,\u_logic/J59ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18160)
// ../rtl/topmodule/cortexm0ds_logic.v(17943)
// ../rtl/topmodule/cortexm0ds_logic.v(17938)
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*A))"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100110001011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gc1qw6_reg|u_logic/Gl1qw6_reg (
.a({open_n120833,\u_logic/_al_u3002_o }),
.b({open_n120834,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/_al_u128_o ,\u_logic/_al_u128_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u3002_o ,\u_logic/Cydbx6 }),
.mi({\u_logic/Qa1qw6 ,\u_logic/Qj1qw6 }),
.f({\u_logic/_al_u3059_o ,\u_logic/_al_u3122_o }),
.q({\u_logic/Gc1qw6 ,\u_logic/Gl1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17943)
// ../rtl/topmodule/cortexm0ds_logic.v(18314)
// ../rtl/topmodule/cortexm0ds_logic.v(18315)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gkeax6_reg|u_logic/Jieax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/Dw1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Egaax6 ,\u_logic/Dmeax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Ureax6 ,\u_logic/Naaax6 }),
.mi({\u_logic/U64iu6 ,\u_logic/D84iu6 }),
.f({\u_logic/_al_u4339_o ,\u_logic/_al_u3150_o }),
.q({\u_logic/Gkeax6 ,\u_logic/Jieax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18314)
// ../rtl/topmodule/cortexm0ds_logic.v(17385)
// ../rtl/topmodule/cortexm0ds_logic.v(17382)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B*~(~0*~A)))"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(~C*~(~D*B*~(~1*~A)))"),
//.LUTG1("(D*~(C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000111),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Golpw6_reg|u_logic/Zslpw6_reg (
.a({open_n120867,\u_logic/_al_u615_o }),
.b({\u_logic/Krlpw6 ,\u_logic/A1zhu6_lutinv }),
.c({\u_logic/Vplpw6 ,\u_logic/Ahlpw6 }),
.ce(\u_logic/U03iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Golpw6 ,\u_logic/Pmlpw6 }),
.e({open_n120868,\u_logic/Y8lpw6 }),
.mi({\u_logic/Rilpw6 ,\u_logic/Krlpw6 }),
.f({\u_logic/_al_u1867_o ,\u_logic/_al_u1211_o }),
.q({\u_logic/Golpw6 ,\u_logic/Zslpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17385)
// ../rtl/topmodule/cortexm0ds_logic.v(19344)
// ../rtl/topmodule/cortexm0ds_logic.v(19356)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Gv1bx6_reg|u_logic/Ar1bx6_reg (
.a({\u_logic/_al_u2347_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/_al_u2052_o ,HWDATA[17]}),
.c({\u_logic/_al_u2389_o ,\u_logic/_al_u2420_o }),
.clk(clk_pad),
.d({key_interrupt[12],\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/Gv1bx6 ,\u_logic/Ar1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19344)
// ../rtl/topmodule/cortexm0ds_logic.v(17826)
// ../rtl/topmodule/cortexm0ds_logic.v(19763)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111001100110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gw6bx6_reg|u_logic/Puwpw6_reg (
.b({\u_logic/_al_u1040_o ,\u_logic/_al_u995_o }),
.c({\u_logic/Bu6bx6 ,\u_logic/Kswpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.q({\u_logic/Gw6bx6 ,\u_logic/Puwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17826)
// ../rtl/topmodule/cortexm0ds_logic.v(18133)
// ../rtl/topmodule/cortexm0ds_logic.v(17827)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gwwpw6_reg|u_logic/Ns8ax6_reg (
.b({\u_logic/_al_u2502_o ,\u_logic/_al_u2498_o }),
.c({\u_logic/Bvfbx6 ,\u_logic/Ufebx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/n5754 ,\u_logic/n5754 }),
.mi({\u_logic/Puwpw6 ,\u_logic/Wq8ax6 }),
.f(HADDR[22:21]),
.q({\u_logic/Gwwpw6 ,\u_logic/Ns8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18133)
// ../rtl/topmodule/cortexm0ds_logic.v(19765)
// ../rtl/topmodule/cortexm0ds_logic.v(17855)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(D*~(0*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0100010011111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1111010011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Gwxpw6_reg|u_logic/C07bx6_reg (
.a({\u_logic/_al_u2522_o ,\u_logic/_al_u2526_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ivmiu6 ,\u_logic/Womiu6 }),
.e({\u_logic/vis_pc_o[9] ,\u_logic/vis_pc_o[10] }),
.q({\u_logic/Gwxpw6 ,\u_logic/C07bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19765)
// ../rtl/topmodule/cortexm0ds_logic.v(19945)
// ../rtl/topmodule/cortexm0ds_logic.v(19985)
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/H0ebx6_reg|u_logic/A6cbx6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Bcdbx6 ,\u_logic/Jfdbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kadbx6 ,\u_logic/Sddbx6 }),
.mi({\u_logic/Sddbx6 ,\u_logic/J4cbx6 }),
.f({\u_logic/_al_u1003_o ,\u_logic/Rh2iu6 }),
.q({\u_logic/H0ebx6 ,\u_logic/A6cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19945)
// ../rtl/topmodule/cortexm0ds_logic.v(19074)
// ../rtl/topmodule/cortexm0ds_logic.v(19068)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/H4zax6_reg|u_logic/J6zax6_reg (
.a({\u_logic/_al_u2989_o ,\u_logic/_al_u4281_o }),
.b({\u_logic/_al_u2991_o ,\u_logic/Tzdiu6 }),
.c({\u_logic/_al_u2993_o ,\u_logic/I3fiu6 }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/_al_u2276_o ,\u_logic/Wmzax6 }),
.e({open_n120987,\u_logic/Yryax6 }),
.mi({HWDATA[7],HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2994_o ,\u_logic/_al_u4282_o }),
.q({\u_logic/H4zax6 ,\u_logic/J6zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19074)
// ../rtl/topmodule/cortexm0ds_logic.v(18224)
// ../rtl/topmodule/cortexm0ds_logic.v(18223)
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*D))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hdbax6_reg|u_logic/Dfbax6_reg (
.b({open_n121005,\u_logic/Pkkbx6 }),
.c({\u_logic/T7bax6 ,\u_logic/X5bax6 }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/Nvkbx6 [7],\u_logic/_al_u3292_o }),
.mi({\u_logic/O34iu6 ,\u_logic/Ud4iu6 }),
.f({\u_logic/Nvkbx6 [3],\u_logic/_al_u3303_o }),
.q({\u_logic/Hdbax6 ,\u_logic/Dfbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18224)
// ../rtl/topmodule/cortexm0ds_logic.v(18007)
// ../rtl/topmodule/cortexm0ds_logic.v(18098)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*~C)*~(D*B))"),
//.LUTF1("~(~A*~(D*~C)*~(0*B))"),
//.LUTG0("~(~A*~(1*~C)*~(D*B))"),
//.LUTG1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111010101010),
.INIT_LUTF1(16'b1010111110101010),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hg7ax6_reg|u_logic/Xu2qw6_reg (
.a({\u_logic/_al_u3290_o ,\u_logic/_al_u3270_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Hg7ax6 ,\u_logic/P23qw6 }),
.e({\u_logic/Xn7ax6 ,\u_logic/Xu2qw6 }),
.q({\u_logic/Hg7ax6 ,\u_logic/Xu2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18007)
// ../rtl/topmodule/cortexm0ds_logic.v(20185)
// ../rtl/topmodule/cortexm0ds_logic.v(18408)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(~(C*B)*~(~D*~A))"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(~(C*B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0011111100101010),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0011111100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hjgax6_reg|u_logic/F7jbx6_reg (
.a({\u_logic/_al_u2514_o ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u2610_o ,\u_logic/_al_u3052_o }),
.c({\u_logic/U4fax6 ,\u_logic/_al_u3055_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Zycbx6 ,\u_logic/_al_u3057_o }),
.mi({\u_logic/F94iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3586_o ,\u_logic/_al_u3058_o }),
.q({\u_logic/Hjgax6 ,\u_logic/F7jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20185)
// ../rtl/topmodule/cortexm0ds_logic.v(18132)
// ../rtl/topmodule/cortexm0ds_logic.v(17816)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*B*~(D*A))"),
//.LUTF1("~(C*~B*~(D*A))"),
//.LUTG0("~(~C*B*~(D*A))"),
//.LUTG1("~(C*~B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101111110011),
.INIT_LUTF1(16'b1110111111001111),
.INIT_LUTG0(16'b1111101111110011),
.INIT_LUTG1(16'b1110111111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hlwpw6_reg|u_logic/Wq8ax6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u983_o ,\u_logic/Vq2iu6 }),
.c({\u_logic/Gm2iu6 ,\u_logic/_al_u983_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cjwpw6 ,\u_logic/Ro8ax6 }),
.q({\u_logic/Hlwpw6 ,\u_logic/Wq8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18132)
// ../rtl/topmodule/cortexm0ds_logic.v(17662)
// ../rtl/topmodule/cortexm0ds_logic.v(17851)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hoxpw6_reg|u_logic/Yrspw6_reg (
.a({\u_logic/Nq4ju6_lutinv ,\u_logic/_al_u1318_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r10_o[11] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[11] ,\u_logic/vis_msp_o[18] }),
.e({open_n121082,\u_logic/vis_psp_o[18] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u947_o ,\u_logic/_al_u1319_o }),
.q({\u_logic/vis_r9_o[10] ,\u_logic/vis_r9_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17662)
// ../rtl/topmodule/cortexm0ds_logic.v(19950)
// ../rtl/topmodule/cortexm0ds_logic.v(19936)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hpbbx6_reg|u_logic/Wfcbx6_reg (
.a({\u_logic/_al_u3062_o ,\u_logic/_al_u3046_o }),
.b({\u_logic/_al_u3063_o ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/_al_u3064_o ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Xs1iu6 ,\u_logic/Itcbx6 }),
.e({\u_logic/Tkdax6 ,\u_logic/T2dbx6 }),
.mi({\u_logic/G64iu6 ,\u_logic/R84iu6 }),
.f({\u_logic/_al_u3065_o ,\u_logic/_al_u3047_o }),
.q({\u_logic/Hpbbx6 ,\u_logic/Wfcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19950)
// ../rtl/topmodule/cortexm0ds_logic.v(19981)
// ../rtl/topmodule/cortexm0ds_logic.v(20017)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(~C*~B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0101010011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hrfbx6_reg|u_logic/Tsdbx6_reg (
.a({\u_logic/_al_u2538_o ,\u_logic/_al_u2478_o }),
.b({\u_logic/_al_u2546_o ,\u_logic/_al_u2486_o }),
.c({\u_logic/Dk9bx6 ,\u_logic/Hsdax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Esabx6 ,\u_logic/Kqdax6 }),
.mi({\u_logic/P74iu6 ,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3614_o ,\u_logic/_al_u3604_o }),
.q({\u_logic/Hrfbx6 ,\u_logic/Tsdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19981)
// ../rtl/topmodule/cortexm0ds_logic.v(18793)
// ../rtl/topmodule/cortexm0ds_logic.v(18800)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hroax6_reg|u_logic/Ldoax6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r3_o[4] ,\u_logic/vis_r4_o[3] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[4] ,\u_logic/vis_r1_o[3] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/Iv1pw6 ,\u_logic/_al_u442_o }),
.q({\u_logic/vis_r6_o[6] ,\u_logic/vis_r6_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18793)
// ../rtl/topmodule/cortexm0ds_logic.v(18253)
// ../rtl/topmodule/cortexm0ds_logic.v(18247)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Htbax6_reg|u_logic/P4cax6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/_al_u3106_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/Xs1iu6 }),
.c({\u_logic/Apcax6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Htbax6 ,\u_logic/Etfbx6 }),
.e({open_n121143,\u_logic/Hrfbx6 }),
.mi({\u_logic/K84iu6 ,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3063_o ,\u_logic/_al_u3107_o }),
.q({\u_logic/Htbax6 ,\u_logic/P4cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18253)
// ../rtl/topmodule/cortexm0ds_logic.v(20176)
// ../rtl/topmodule/cortexm0ds_logic.v(18876)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Hysax6_reg|u_logic/Rpibx6_reg (
.a({\u_logic/_al_u740_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r10_o[4] ,\u_logic/vis_r10_o[30] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[2] ,\u_logic/vis_r14_o[30] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u894_o ,\u_logic/_al_u869_o }),
.q({\u_logic/vis_r12_o[6] ,\u_logic/vis_r12_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20176)
EG_PHY_MSLICE #(
//.LUT0("~(~(~C*B)*~(D*~(0*A)))"),
//.LUT1("~(~(~C*B)*~(D*~(1*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100001100),
.INIT_LUT1(16'b0101110100001100),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/I0opw6_reg (
.a({\u_logic/Di1iu6 ,\u_logic/Di1iu6 }),
.b({\u_logic/_al_u658_o ,\u_logic/_al_u658_o }),
.c({\u_logic/_al_u659_o ,\u_logic/_al_u659_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/I0opw6 ,\u_logic/I0opw6 }),
.mi({open_n121189,\u_logic/Li7ax6 }),
.sr(\u_logic/Kxhpw6 ),
.q({open_n121195,\u_logic/I0opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17487)
// ../rtl/topmodule/cortexm0ds_logic.v(17692)
// ../rtl/topmodule/cortexm0ds_logic.v(17550)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/I5qpw6_reg|u_logic/Xvtpw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r7_o[26] ,\u_logic/vis_r7_o[24] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[26] ,\u_logic/vis_r5_o[24] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u574_o ,\u_logic/_al_u547_o }),
.q({\u_logic/vis_r1_o[13] ,\u_logic/vis_r1_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17692)
// ../rtl/topmodule/cortexm0ds_logic.v(18978)
// ../rtl/topmodule/cortexm0ds_logic.v(18953)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(~0*~(C*~(~B*~A))))"),
//.LUTF1("~(D*~(~0*~(C*~(~B*~A))))"),
//.LUTG0("~(D*~(~1*~(C*~(~B*~A))))"),
//.LUTG1("~(D*~(~1*~(C*~(~B*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001111111111111),
.INIT_LUTF1(16'b0001111111111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/I5xax6_reg|u_logic/R9yax6_reg (
.a({\u_logic/_al_u3985_o ,\u_logic/_al_u3985_o }),
.b({\u_logic/_al_u3992_o ,\u_logic/_al_u3992_o }),
.c({\u_logic/_al_u3998_o ,\u_logic/_al_u3998_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4010_o ,\u_logic/_al_u4008_o }),
.e({\u_logic/_al_u2971_o ,\u_logic/_al_u2971_o }),
.sr(cpuresetn),
.q({\u_logic/I5xax6 ,\u_logic/R9yax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18978)
// ../rtl/topmodule/cortexm0ds_logic.v(17661)
// ../rtl/topmodule/cortexm0ds_logic.v(17551)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/I7qpw6_reg|u_logic/Ypspw6_reg (
.a({\u_logic/Voqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r0_o[12] ,\u_logic/vis_r6_o[12] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[12] ,\u_logic/vis_r5_o[12] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u458_o ,\u_logic/_al_u197_o }),
.q({\u_logic/vis_r1_o[12] ,\u_logic/vis_r1_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17661)
// ../rtl/topmodule/cortexm0ds_logic.v(17547)
// ../rtl/topmodule/cortexm0ds_logic.v(17552)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/I9qpw6_reg|u_logic/Izppw6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r7_o[29] ,\u_logic/vis_r5_o[29] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[29] ,\u_logic/vis_r3_o[29] }),
.mi({\u_logic/Gfniu6 ,\u_logic/P4liu6 }),
.f({\u_logic/_al_u602_o ,\u_logic/_al_u601_o }),
.q({\u_logic/vis_r1_o[28] ,\u_logic/vis_r1_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17547)
// ../rtl/topmodule/cortexm0ds_logic.v(19762)
// ../rtl/topmodule/cortexm0ds_logic.v(17554)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*~C)*~(D*B))"),
//.LUTF1("~(~A*~(D*~C)*~(0*B))"),
//.LUTG0("~(~A*~(1*~C)*~(D*B))"),
//.LUTG1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111010101010),
.INIT_LUTF1(16'b1010111110101010),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Idqpw6_reg|u_logic/Bu6bx6_reg (
.a({\u_logic/_al_u3041_o ,\u_logic/_al_u3162_o }),
.b({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Idqpw6 ,\u_logic/B79bx6 }),
.e({\u_logic/Vqgax6 ,\u_logic/Bu6bx6 }),
.q({\u_logic/Idqpw6 ,\u_logic/Bu6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19762)
// ../rtl/topmodule/cortexm0ds_logic.v(19284)
// ../rtl/topmodule/cortexm0ds_logic.v(19308)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ie1bx6_reg|u_logic/W51bx6_reg (
.a({\u_logic/_al_u2347_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/_al_u2020_o ,HWDATA[12]}),
.c({\u_logic/_al_u2399_o ,\u_logic/_al_u2401_o }),
.clk(clk_pad),
.d({key_interrupt[7],\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/Ie1bx6 ,\u_logic/W51bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19284)
// ../rtl/topmodule/cortexm0ds_logic.v(18659)
// ../rtl/topmodule/cortexm0ds_logic.v(17439)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Irmpw6_reg|u_logic/Sojax6_reg (
.a({\u_logic/_al_u2870_o ,\u_logic/Onciu6 }),
.b({\u_logic/_al_u2872_o ,\u_logic/Anciu6 }),
.c({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({HRDATA[2],HRDATA[11]}),
.sr(cpuresetn),
.q({\u_logic/Irmpw6 ,\u_logic/Sojax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18659)
// ../rtl/topmodule/cortexm0ds_logic.v(18874)
// ../rtl/topmodule/cortexm0ds_logic.v(18875)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Iwsax6_reg|u_logic/Jusax6_reg (
.a({\u_logic/_al_u1166_o ,\u_logic/_al_u1172_o }),
.b({\u_logic/Uwzhu6 ,\u_logic/Nwzhu6 }),
.c({\u_logic/J62pw6 ,\u_logic/Wo1pw6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.e({\u_logic/T5yax6 ,\u_logic/Wtxax6 }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u1168_o ,\u_logic/_al_u1174_o }),
.q({\u_logic/vis_r12_o[5] ,\u_logic/vis_r12_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18874)
// ../rtl/topmodule/cortexm0ds_logic.v(18361)
// ../rtl/topmodule/cortexm0ds_logic.v(18398)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*C*~A))"),
//.LUT1("~(~B*~(D*C*~A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000110011001100),
.INIT_LUT1(16'b1101110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J0gax6_reg|u_logic/Nmfax6_reg (
.a({\u_logic/Cjqpw6 ,\u_logic/Cjqpw6 }),
.b({\u_logic/Pifax6 ,\u_logic/Okfax6 }),
.c({\u_logic/Qwfax6 ,\u_logic/Qwfax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Utqpw6 ,\u_logic/Utqpw6 }),
.q({\u_logic/J0gax6 ,\u_logic/Nmfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18361)
// ../rtl/topmodule/cortexm0ds_logic.v(19716)
// ../rtl/topmodule/cortexm0ds_logic.v(18951)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J3xax6_reg|u_logic/Pe5bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r6_o[4] ,\u_logic/vis_r4_o[4] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[4] ,\u_logic/vis_r1_o[4] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u453_o ,\u_logic/_al_u451_o }),
.q({\u_logic/vis_r3_o[4] ,\u_logic/vis_r3_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(19716)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(0*C)*~(D*A))"),
//.LUT1("~(B*~(1*C)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101100110011),
.INIT_LUT1(16'b1111101111110011),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J4cbx6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/P92iu6 ,\u_logic/P92iu6 }),
.c({\u_logic/Cl1iu6 ,\u_logic/Cl1iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cncbx6 ,\u_logic/Cncbx6 }),
.mi({open_n121390,\u_logic/Hpcbx6 }),
.q({open_n121397,\u_logic/J4cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19944)
// ../rtl/topmodule/cortexm0ds_logic.v(18184)
// ../rtl/topmodule/cortexm0ds_logic.v(19988)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/J6ebx6_reg|u_logic/Heaax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Gzviu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Asupw6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Bq9ax6 ,\u_logic/vis_pc_o[27] }),
.e({open_n121398,\u_logic/Khgax6 }),
.mi({\u_logic/I74iu6 ,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3197_o ,\u_logic/_al_u3038_o }),
.q({\u_logic/J6ebx6 ,\u_logic/Heaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18184)
// ../rtl/topmodule/cortexm0ds_logic.v(19973)
// ../rtl/topmodule/cortexm0ds_logic.v(19974)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(D*A))"),
//.LUT1("~(C*~B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111001111),
.INIT_LUT1(16'b1110111111001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jfdbx6_reg|u_logic/Sddbx6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u983_o ,\u_logic/_al_u983_o }),
.c({\u_logic/Rh2iu6 ,\u_logic/Ig2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cydbx6 ,\u_logic/Jhebx6 }),
.q({\u_logic/Jfdbx6 ,\u_logic/Sddbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19973)
// ../rtl/topmodule/cortexm0ds_logic.v(19984)
// ../rtl/topmodule/cortexm0ds_logic.v(19994)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(~D*C)*~(0*B))"),
//.LUTF1("~(B*~A*~(D*C))"),
//.LUTG0("~(A*~(~D*C)*~(1*B))"),
//.LUTG1("~(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010111110101),
.INIT_LUTF1(16'b1111101110111011),
.INIT_LUTG0(16'b1101110111111101),
.INIT_LUTG1(16'b1111101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jhebx6_reg|u_logic/Cydbx6_reg (
.a({\u_logic/_al_u3119_o ,\u_logic/_al_u3122_o }),
.b({\u_logic/_al_u3120_o ,\u_logic/Bo1iu6 }),
.c({\u_logic/Bo1iu6 ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Ufebx6 ,\u_logic/_al_u3130_o }),
.e({open_n121435,\u_logic/Nwdbx6 }),
.q({\u_logic/Jhebx6 ,\u_logic/Cydbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19984)
// ../rtl/topmodule/cortexm0ds_logic.v(19997)
// ../rtl/topmodule/cortexm0ds_logic.v(17777)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jjvpw6_reg|u_logic/Tnebx6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r7_o[22] ,\u_logic/vis_r0_o[19] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[22] ,\u_logic/vis_r5_o[19] }),
.mi({\u_logic/D4miu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u537_o ,\u_logic/_al_u241_o }),
.q({\u_logic/vis_r0_o[19] ,\u_logic/vis_r0_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(19997)
// ../rtl/topmodule/cortexm0ds_logic.v(18091)
// ../rtl/topmodule/cortexm0ds_logic.v(18042)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(B*~(D*C)))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(B*~(D*C)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1010001000100010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jl3qw6_reg|u_logic/Ad7ax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/_al_u3156_o }),
.b({\u_logic/_al_u3191_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/Iv1iu6 ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[7] ,\u_logic/N19bx6 }),
.e({open_n121470,\u_logic/Rz8bx6 }),
.mi({\u_logic/N64iu6 ,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3192_o ,\u_logic/_al_u3157_o }),
.q({\u_logic/Jl3qw6 ,\u_logic/Ad7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18091)
// ../rtl/topmodule/cortexm0ds_logic.v(19998)
// ../rtl/topmodule/cortexm0ds_logic.v(17778)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jlvpw6_reg|u_logic/Tpebx6_reg (
.a({\u_logic/Voqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r0_o[22] ,\u_logic/vis_r6_o[19] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[22] ,\u_logic/vis_r7_o[19] }),
.mi({\u_logic/D4miu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/Wdyow6 ,\u_logic/_al_u240_o }),
.q({\u_logic/vis_r1_o[19] ,\u_logic/vis_r1_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(19998)
// ../rtl/topmodule/cortexm0ds_logic.v(17495)
// ../rtl/topmodule/cortexm0ds_logic.v(20127)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Johbx6_reg|u_logic/Y7opw6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [4],\u_logic/L6gpw6 [5]}),
.clk(clk_pad),
.d({\u_logic/_al_u1556_o ,\u_logic/_al_u1554_o }),
.q({\u_logic/Johbx6 ,\u_logic/Y7opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17495)
// ../rtl/topmodule/cortexm0ds_logic.v(17650)
// ../rtl/topmodule/cortexm0ds_logic.v(17437)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(C*D))"),
//.LUTF1("~(~B*~(C*D))"),
//.LUTG0("~(~B*~(C*D))"),
//.LUTG1("~(~B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110011001100),
.INIT_LUTF1(16'b1111110011001100),
.INIT_LUTG0(16'b1111110011001100),
.INIT_LUTG1(16'b1111110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Jpmpw6_reg|u_logic/Xdspw6_reg (
.b({\u_logic/_al_u4379_o ,\u_logic/_al_u4409_o }),
.c({HRDATA[2],HRDATA[0]}),
.clk(clk_pad),
.d({\u_logic/Mnbiu6 ,\u_logic/Mnbiu6 }),
.q({\u_logic/Jpmpw6 ,\u_logic/Xdspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17650)
// ../rtl/topmodule/cortexm0ds_logic.v(18974)
// ../rtl/topmodule/cortexm0ds_logic.v(18950)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/K1xax6_reg|u_logic/U3yax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r1_o[2] ,\u_logic/vis_r6_o[1] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[2] ,\u_logic/vis_r3_o[1] }),
.mi({\u_logic/Qcaiu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u343_o ,\u_logic/_al_u246_o }),
.q({\u_logic/vis_r3_o[2] ,\u_logic/vis_r3_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18974)
// ../rtl/topmodule/cortexm0ds_logic.v(18246)
// ../rtl/topmodule/cortexm0ds_logic.v(18401)
EG_PHY_MSLICE #(
//.LUT0("(~(D@B)*~(C@A))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000010000100001),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/K6gax6_reg|u_logic/Krbax6_reg (
.a({\u_logic/Yokhu6 ,\u_logic/Wfihu6 }),
.b({\u_logic/Kzkhu6 ,\u_logic/K5ihu6 }),
.c({\u_logic/Bq9ax6 ,\u_logic/Heaax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Rg9ax6 ,\u_logic/Rnaax6 }),
.mi({\u_logic/Lm1iu6 ,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3360_o ,\u_logic/_al_u3420_o }),
.q({\u_logic/K6gax6 ,\u_logic/Krbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18246)
// ../rtl/topmodule/cortexm0ds_logic.v(19620)
// ../rtl/topmodule/cortexm0ds_logic.v(19602)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/K94bx6_reg|u_logic/Qf4bx6_reg (
.a({\u_logic/_al_u3098_o ,\u_logic/S1fiu6 }),
.b({\u_logic/_al_u3099_o ,\u_logic/I3fiu6 }),
.c({\u_logic/Tzdiu6 ,\u_logic/H4zax6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/C5gbx6 ,\u_logic/S3mpw6 }),
.mi({HWDATA[14],HWDATA[30]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3100_o ,\u_logic/_al_u3212_o }),
.q({\u_logic/K94bx6 ,\u_logic/Qf4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19620)
// ../rtl/topmodule/cortexm0ds_logic.v(18706)
// ../rtl/topmodule/cortexm0ds_logic.v(18694)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0111001101000000),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kakax6_reg|u_logic/Tokax6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c(HRDATA[21:20]),
.clk(clk_pad),
.d({\u_logic/Kakax6 ,\u_logic/Tokax6 }),
.q({\u_logic/Kakax6 ,\u_logic/Tokax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18706)
// ../rtl/topmodule/cortexm0ds_logic.v(17353)
// ../rtl/topmodule/cortexm0ds_logic.v(17335)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D*~(~C*A)))"),
//.LUT1("~(~B*~(D*~(~C*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110111001100),
.INIT_LUT1(16'b1111110111001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Kalpw6_reg|u_logic/Jflpw6_reg (
.a({\u_logic/_al_u1964_o ,\u_logic/_al_u1427_o }),
.b({\u_logic/_al_u1965_o ,\u_logic/_al_u1428_o }),
.c({\u_logic/_al_u1966_o ,\u_logic/_al_u631_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.sr(\u_logic/Kxhpw6 ),
.q({\u_logic/Kalpw6 ,\u_logic/Jflpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17353)
// ../rtl/topmodule/cortexm0ds_logic.v(18032)
// ../rtl/topmodule/cortexm0ds_logic.v(17939)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0111010000110000),
.INIT_LUTG0(16'b1111101111101010),
.INIT_LUTG1(16'b1111111010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ke1qw6_reg|u_logic/Nd3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Gc1qw6 ,\u_logic/Nd3qw6 }),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/O1mpw6 }),
.e(\u_logic/Vrkbx6 [9:8]),
.q({\u_logic/Ke1qw6 ,\u_logic/Nd3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18032)
// ../rtl/topmodule/cortexm0ds_logic.v(18795)
// ../rtl/topmodule/cortexm0ds_logic.v(18794)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kfoax6_reg|u_logic/Khoax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r6_o[30] ,\u_logic/vis_r5_o[30] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[30] ,\u_logic/vis_r1_o[30] }),
.mi({\u_logic/Vx9iu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u591_o ,\u_logic/_al_u590_o }),
.q({\u_logic/vis_r6_o[23] ,\u_logic/vis_r6_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18795)
// ../rtl/topmodule/cortexm0ds_logic.v(19978)
// ../rtl/topmodule/cortexm0ds_logic.v(18407)
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~A*~(D@(~C*B)))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~A*~(D@(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0000010001010001),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0000010001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Khgax6_reg|u_logic/Cndbx6_reg (
.a({\u_logic/eq1/xor_i0[11]_i1[11]_o_lutinv ,\u_logic/_al_u2530_o }),
.b({\u_logic/_al_u3350_o ,\u_logic/Ntkbx6 [7]}),
.c({\u_logic/_al_u3351_o ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Facbx6 ,\u_logic/vis_pc_o[6] }),
.mi({\u_logic/F94iu6 ,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3352_o ,\u_logic/K5ihu6 }),
.q({\u_logic/Khgax6 ,\u_logic/Cndbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19978)
// ../rtl/topmodule/cortexm0ds_logic.v(18798)
// ../rtl/topmodule/cortexm0ds_logic.v(18797)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kloax6_reg|u_logic/Jnoax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r2_o[2] ,\u_logic/vis_r4_o[2] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[2] ,\u_logic/vis_r0_o[2] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u342_o ,\u_logic/_al_u344_o }),
.q({\u_logic/vis_r6_o[0] ,\u_logic/vis_r6_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18798)
// ../rtl/topmodule/cortexm0ds_logic.v(18883)
// ../rtl/topmodule/cortexm0ds_logic.v(18870)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kmsax6_reg|u_logic/Ectax6_reg (
.a({\u_logic/O70iu6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u832_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/_al_u833_o ,\u_logic/vis_r9_o[31] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Jo5pw6 ,\u_logic/vis_r14_o[31] }),
.mi({\u_logic/Vx9iu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u835_o ,\u_logic/_al_u889_o }),
.q({\u_logic/vis_r12_o[23] ,\u_logic/vis_r12_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18883)
// ../rtl/topmodule/cortexm0ds_logic.v(19943)
// ../rtl/topmodule/cortexm0ds_logic.v(17998)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(D*A))"),
//.LUT1("~(C*~B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111001111),
.INIT_LUT1(16'b1110111111001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kn2qw6_reg|u_logic/S2cbx6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u983_o ,\u_logic/_al_u983_o }),
.c({\u_logic/Fb2iu6 ,\u_logic/G82iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Fl2qw6 ,\u_logic/N0cbx6 }),
.q({\u_logic/Kn2qw6 ,\u_logic/S2cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19943)
// ../rtl/topmodule/cortexm0ds_logic.v(18873)
// ../rtl/topmodule/cortexm0ds_logic.v(18871)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kosax6_reg|u_logic/Kssax6_reg (
.a({\u_logic/I40iu6 ,\u_logic/_al_u1185_o }),
.b({\u_logic/_al_u931_o ,\u_logic/Zvzhu6 }),
.c({\u_logic/_al_u932_o ,\u_logic/Wp0pw6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Qt6ju6 ,\u_logic/Dfqow6 }),
.e({open_n121741,\u_logic/Ua9bx6 }),
.mi({\u_logic/K39iu6 ,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u934_o ,\u_logic/_al_u1187_o }),
.q({\u_logic/vis_r12_o[30] ,\u_logic/vis_r12_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18873)
// ../rtl/topmodule/cortexm0ds_logic.v(19894)
// ../rtl/topmodule/cortexm0ds_logic.v(18294)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kqdax6_reg|u_logic/Esabx6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/T6kbx6 ,\u_logic/vis_pc_o[19] }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Uscax6 ,\u_logic/Qudbx6 }),
.mi({\u_logic/N64iu6 ,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3134_o ,\u_logic/_al_u3123_o }),
.q({\u_logic/Kqdax6 ,\u_logic/Esabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19894)
// ../rtl/topmodule/cortexm0ds_logic.v(18869)
// ../rtl/topmodule/cortexm0ds_logic.v(18872)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kqsax6_reg|u_logic/Lksax6_reg (
.a({open_n121772,\u_logic/_al_u1404_o }),
.b({\u_logic/V70iu6 ,\u_logic/Wxzhu6 }),
.c({\u_logic/H70iu6 ,\u_logic/_al_u1405_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/Dfqow6 }),
.e({open_n121773,\u_logic/Sx3qw6 }),
.mi({\u_logic/D39iu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u3869_o ,\u_logic/_al_u1406_o }),
.q({\u_logic/vis_r12_o[31] ,\u_logic/vis_r12_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18869)
// ../rtl/topmodule/cortexm0ds_logic.v(18420)
// ../rtl/topmodule/cortexm0ds_logic.v(18414)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100000011001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0100000011001000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ksgax6_reg|u_logic/Dugax6_reg (
.a({open_n121790,\u_logic/n5754 }),
.b({open_n121791,HADDR[8]}),
.c({\u_logic/M8ipw6 ,\u_logic/_al_u2530_o }),
.ce(\u_logic/M24iu6 ),
.clk(clk_pad),
.d({\u_logic/T24iu6 ,\u_logic/Nd3qw6 }),
.mi({open_n121795,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({open_n121807,\u_logic/_al_u3989_o }),
.q({\u_logic/Ksgax6 ,\u_logic/Dugax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18420)
// ../rtl/topmodule/cortexm0ds_logic.v(17543)
// ../rtl/topmodule/cortexm0ds_logic.v(17544)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ktppw6_reg|u_logic/Lrppw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Xpqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r3_o[2] ,\u_logic/vis_r7_o[3] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[2] ,\u_logic/vis_r3_o[3] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u341_o ,\u_logic/Vc2pw6 }),
.q({\u_logic/vis_r1_o[3] ,\u_logic/vis_r1_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17543)
// ../rtl/topmodule/cortexm0ds_logic.v(17557)
// ../rtl/topmodule/cortexm0ds_logic.v(17393)
EG_PHY_MSLICE #(
//.LUT0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001111101111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Kwlpw6_reg|u_logic/Ehqpw6_reg (
.a({open_n121825,\u_logic/_al_u632_o }),
.b({open_n121826,\u_logic/_al_u981_o }),
.c({\u_logic/T82qw6 ,\u_logic/Qa1qw6 }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Cl1iu6 ,\u_logic/Qj1qw6 }),
.mi({\u_logic/L5lpw6 ,\u_logic/Nfqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u1021_o ,\u_logic/_al_u1034_o }),
.q({\u_logic/Kwlpw6 ,\u_logic/Ehqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17557)
// ../rtl/topmodule/cortexm0ds_logic.v(20100)
// ../rtl/topmodule/cortexm0ds_logic.v(18322)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kxeax6_reg|u_logic/Q1hbx6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Fvcbx6 ,\u_logic/C07bx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Zycbx6 ,\u_logic/J39bx6 }),
.mi({\u_logic/Pl4iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3044_o ,\u_logic/_al_u3160_o }),
.q({\u_logic/Kxeax6 ,\u_logic/Q1hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20100)
// ../rtl/topmodule/cortexm0ds_logic.v(17599)
// ../rtl/topmodule/cortexm0ds_logic.v(19903)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("~(D*~(C*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1100000011111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1100000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Kzabx6_reg|u_logic/N8rpw6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [2],\u_logic/L6gpw6 [0]}),
.clk(clk_pad),
.d({\u_logic/_al_u1560_o ,\u_logic/_al_u1564_o }),
.q({\u_logic/Kzabx6 ,\u_logic/N8rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17599)
// ../rtl/topmodule/cortexm0ds_logic.v(17404)
// ../rtl/topmodule/cortexm0ds_logic.v(17326)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*B)*~(D*A))"),
//.LUTF1("~(B*A*~(D*C))"),
//.LUTG0("~(C*~(1*B)*~(D*A))"),
//.LUTG1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111100001111),
.INIT_LUTF1(16'b1111011101110111),
.INIT_LUTG0(16'b1110111111001111),
.INIT_LUTG1(16'b1111011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/L5lpw6_reg|u_logic/Yzlpw6_reg (
.a({\u_logic/_al_u1114_o ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u1115_o ,\u_logic/Cl1iu6 }),
.c({\u_logic/Xl1iu6_lutinv ,\u_logic/Tj1iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/L5lpw6 ,\u_logic/Nckbx6 }),
.e({open_n121880,\u_logic/Ry2qw6 }),
.q({\u_logic/L5lpw6 ,\u_logic/Yzlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17404)
// ../rtl/topmodule/cortexm0ds_logic.v(19086)
// ../rtl/topmodule/cortexm0ds_logic.v(19080)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/L8zax6_reg|u_logic/Nazax6_reg (
.a({\u_logic/Q0fiu6 ,\u_logic/F0eow6 }),
.b({\u_logic/E1fiu6 ,\u_logic/M0eow6 }),
.c({\u_logic/Mfyax6 ,\u_logic/Rz0bx6 }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/Wu3bx6 ,\u_logic/Tcipw6 }),
.mi({\u_logic/Fsdiu6 ,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3210_o ,\u_logic/_al_u3014_o }),
.q({\u_logic/L8zax6 ,\u_logic/Nazax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19086)
// ../rtl/topmodule/cortexm0ds_logic.v(18122)
// ../rtl/topmodule/cortexm0ds_logic.v(17774)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*D))"),
//.LUTF1("~(B*~(C*D))"),
//.LUTG0("~(B*~(C*D))"),
//.LUTG1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100110011),
.INIT_LUTF1(16'b1111001100110011),
.INIT_LUTG0(16'b1111001100110011),
.INIT_LUTG1(16'b1111001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ldvpw6_reg|u_logic/Oh8ax6_reg (
.b({\u_logic/_al_u997_o ,\u_logic/_al_u985_o }),
.c({\u_logic/Gbvpw6 ,\u_logic/Ggabx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.q({\u_logic/Ldvpw6 ,\u_logic/Oh8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18122)
// ../rtl/topmodule/cortexm0ds_logic.v(18936)
// ../rtl/topmodule/cortexm0ds_logic.v(18938)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ldwax6_reg|u_logic/M9wax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[30] ,\u_logic/vis_r0_o[28] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[30] ,\u_logic/vis_r4_o[28] }),
.mi({\u_logic/Hsliu6 ,\u_logic/P4liu6 }),
.f({\u_logic/_al_u589_o ,\u_logic/_al_u585_o }),
.q({\u_logic/vis_r7_o[25] ,\u_logic/vis_r7_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18936)
// ../rtl/topmodule/cortexm0ds_logic.v(18136)
// ../rtl/topmodule/cortexm0ds_logic.v(17974)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("~(~A*~(D*~C)*~(0*B))"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("~(~A*~(D*~C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b1010111110101010),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Le2qw6_reg|u_logic/Hw8ax6_reg (
.a({\u_logic/_al_u2950_o ,\u_logic/_al_u2950_o }),
.b({\u_logic/Nr4iu6_lutinv ,\u_logic/Nr4iu6_lutinv }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Le2qw6 ,\u_logic/Hw8ax6 }),
.e({\u_logic/Yf1qw6 ,\u_logic/Su8ax6 }),
.sr(RSTn_pad),
.q({\u_logic/Le2qw6 ,\u_logic/Hw8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18136)
// ../rtl/topmodule/cortexm0ds_logic.v(19020)
// ../rtl/topmodule/cortexm0ds_logic.v(20060)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((D*B))*~(0)+~(A)*~(C)*~((D*B))*0+~(A)*C*~((D*B))*0+A*C*~((D*B))*0+~(A)*~(C)*(D*B)*0+~(A)*C*(D*B)*0+A*C*(D*B)*0)"),
//.LUTF1("(B*~(D*C*~A))"),
//.LUTG0("(A*C*~((D*B))*~(1)+~(A)*~(C)*~((D*B))*1+~(A)*C*~((D*B))*1+A*C*~((D*B))*1+~(A)*~(C)*(D*B)*1+~(A)*C*(D*B)*1+A*C*(D*B)*1)"),
//.LUTG1("(B*~(D*C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000010100000),
.INIT_LUTF1(16'b1000110011001100),
.INIT_LUTG0(16'b1111010111110101),
.INIT_LUTG1(16'b1000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Lfgbx6_reg|u_logic/Unyax6_reg (
.a({\u_logic/_al_u4129_o ,\u_logic/_al_u4130_o }),
.b({\u_logic/_al_u4130_o ,\u_logic/Li2bx6 }),
.c({\u_logic/Li2bx6 ,\u_logic/Mfyax6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Nr0bx6 ,\u_logic/Nr0bx6 }),
.e({open_n121977,\u_logic/Qjyax6 }),
.mi({HWDATA[22],HWDATA[30]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4131_o ,\u_logic/_al_u4134_o }),
.q({\u_logic/Lfgbx6 ,\u_logic/Unyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19020)
// ../rtl/topmodule/cortexm0ds_logic.v(17540)
// ../rtl/topmodule/cortexm0ds_logic.v(17537)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lfppw6_reg|u_logic/Llppw6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r6_o[27] ,\u_logic/vis_r0_o[27] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[27] ,\u_logic/vis_r2_o[27] }),
.mi({\u_logic/X1liu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u580_o ,\u_logic/_al_u578_o }),
.q({\u_logic/vis_r5_o[27] ,\u_logic/vis_r5_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17540)
// ../rtl/topmodule/cortexm0ds_logic.v(20008)
// ../rtl/topmodule/cortexm0ds_logic.v(18939)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lfwax6_reg|u_logic/T9fbx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r5_o[22] ,\u_logic/vis_r1_o[19] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[22] ,\u_logic/vis_r2_o[19] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u265_o ,\u_logic/_al_u242_o }),
.q({\u_logic/vis_r7_o[21] ,\u_logic/vis_r7_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20008)
// ../rtl/topmodule/cortexm0ds_logic.v(19995)
// ../rtl/topmodule/cortexm0ds_logic.v(19932)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lhbbx6_reg|u_logic/Ojebx6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Puwpw6 ,\u_logic/Bcdbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ufbbx6 ,\u_logic/Sddbx6 }),
.mi({\u_logic/Ufbbx6 ,\u_logic/Bcdbx6 }),
.f({\u_logic/_al_u993_o ,\u_logic/Ig2iu6 }),
.q({\u_logic/Lhbbx6 ,\u_logic/Ojebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19995)
// ../rtl/topmodule/cortexm0ds_logic.v(20173)
// ../rtl/topmodule/cortexm0ds_logic.v(17538)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lhppw6_reg|u_logic/Rjibx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r5_o[28] ,\u_logic/vis_r7_o[29] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[28] ,\u_logic/vis_r2_o[29] }),
.mi({\u_logic/P4liu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u586_o ,\u_logic/_al_u306_o }),
.q({\u_logic/vis_r5_o[29] ,\u_logic/vis_r5_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20173)
// ../rtl/topmodule/cortexm0ds_logic.v(18024)
// ../rtl/topmodule/cortexm0ds_logic.v(18099)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(D*A))"),
//.LUT1("~(C*~B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111001111),
.INIT_LUT1(16'b1110111111001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Li7ax6_reg|u_logic/Z73qw6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u983_o ,\u_logic/_al_u983_o }),
.c({\u_logic/Yg3iu6 ,\u_logic/Ed3iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Hg7ax6 ,\u_logic/V53qw6 }),
.q({\u_logic/Li7ax6 ,\u_logic/Z73qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18024)
// ../rtl/topmodule/cortexm0ds_logic.v(20006)
// ../rtl/topmodule/cortexm0ds_logic.v(17539)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ljppw6_reg|u_logic/T5fbx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r7_o[22] ,\u_logic/vis_r3_o[21] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[22] ,\u_logic/vis_r1_o[21] }),
.mi({\u_logic/Fyliu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u264_o ,\u_logic/_al_u260_o }),
.q({\u_logic/vis_r5_o[21] ,\u_logic/vis_r5_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20006)
// ../rtl/topmodule/cortexm0ds_logic.v(18942)
// ../rtl/topmodule/cortexm0ds_logic.v(18941)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ljwax6_reg|u_logic/Llwax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r6_o[21] ,\u_logic/vis_r3_o[21] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[21] ,\u_logic/vis_r0_o[21] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u532_o ,\u_logic/_al_u531_o }),
.q({\u_logic/vis_r7_o[19] ,\u_logic/vis_r7_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18942)
// ../rtl/topmodule/cortexm0ds_logic.v(17803)
// ../rtl/topmodule/cortexm0ds_logic.v(19230)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111000011111000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Ln0bx6_reg|u_logic/C3wpw6_reg (
.a({HWDATA[19],HWDATA[0]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ln0bx6 ,\u_logic/C3wpw6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/Ln0bx6 ,\u_logic/C3wpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17803)
// ../rtl/topmodule/cortexm0ds_logic.v(17695)
// ../rtl/topmodule/cortexm0ds_logic.v(17541)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lnppw6_reg|u_logic/X1upw6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r4_o[26] ,\u_logic/vis_r4_o[24] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[26] ,\u_logic/vis_r1_o[24] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/Zrwow6 ,\u_logic/_al_u549_o }),
.q({\u_logic/vis_r5_o[13] ,\u_logic/vis_r5_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17695)
// ../rtl/topmodule/cortexm0ds_logic.v(18935)
// ../rtl/topmodule/cortexm0ds_logic.v(18943)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lnwax6_reg|u_logic/M7wax6_reg (
.a({\u_logic/_al_u577_o ,\u_logic/Kmqow6 }),
.b({\u_logic/_al_u578_o ,\u_logic/Cpqow6 }),
.c({\u_logic/_al_u579_o ,\u_logic/vis_r6_o[17] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u580_o ,\u_logic/vis_r0_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/Kyzhu6 ,\u_logic/_al_u502_o }),
.q({\u_logic/vis_r7_o[17] ,\u_logic/vis_r7_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18935)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Lpwax6_reg (
.a({open_n122158,\u_logic/_al_u607_o }),
.b({open_n122159,\u_logic/_al_u608_o }),
.c({open_n122160,\u_logic/_al_u609_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({open_n122161,\u_logic/_al_u610_o }),
.mi({open_n122172,\u_logic/Admiu6 }),
.f({open_n122174,\u_logic/Q10iu6 }),
.q({open_n122178,\u_logic/vis_r7_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18944)
// ../rtl/topmodule/cortexm0ds_logic.v(19200)
// ../rtl/topmodule/cortexm0ds_logic.v(19827)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Lr9bx6_reg|u_logic/Gd0bx6_reg (
.a({open_n122179,HWDATA[14]}),
.b({\u_logic/_al_u1895_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1904_o ,\u_logic/Gd0bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1913_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/T3phu6 ,open_n122197}),
.q({\u_logic/Lr9bx6 ,\u_logic/Gd0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19200)
// ../rtl/topmodule/cortexm0ds_logic.v(18934)
// ../rtl/topmodule/cortexm0ds_logic.v(18946)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ltwax6_reg|u_logic/M5wax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Kmqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r6_o[26] ,\u_logic/vis_r3_o[24] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[26] ,\u_logic/vis_r0_o[24] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u572_o ,\u_logic/_al_u278_o }),
.q({\u_logic/vis_r7_o[13] ,\u_logic/vis_r7_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18934)
// ../rtl/topmodule/cortexm0ds_logic.v(18166)
// ../rtl/topmodule/cortexm0ds_logic.v(19987)
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(D*B))"),
//.LUT1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000001010),
.INIT_LUT1(16'b1000001001000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M4ebx6_reg|u_logic/Rg9ax6_reg (
.a({\u_logic/I9ihu6 ,\u_logic/_al_u3574_o }),
.b({\u_logic/Ovihu6 ,\u_logic/_al_u3601_o }),
.c({\u_logic/Fvcbx6 ,\u_logic/_al_u3628_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Yjaax6 ,\u_logic/Peeax6 }),
.mi({\u_logic/I74iu6 ,\u_logic/S54iu6 }),
.f({\u_logic/_al_u3425_o ,\u_logic/_al_u3629_o }),
.q({\u_logic/M4ebx6 ,\u_logic/Rg9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18166)
// ../rtl/topmodule/cortexm0ds_logic.v(19698)
// ../rtl/topmodule/cortexm0ds_logic.v(19704)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/M85bx6_reg|u_logic/K65bx6_reg (
.a({\u_logic/U2fiu6 ,\u_logic/S1fiu6 }),
.b({\u_logic/Q0fiu6 ,\u_logic/I3fiu6 }),
.c({\u_logic/C14bx6 ,\u_logic/J6zax6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Od4bx6 ,\u_logic/Vbspw6 }),
.mi({HWDATA[30],HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4419_o ,\u_logic/_al_u4314_o }),
.q({\u_logic/M85bx6 ,\u_logic/K65bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19698)
// ../rtl/topmodule/cortexm0ds_logic.v(17597)
// ../rtl/topmodule/cortexm0ds_logic.v(17188)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~C*A))"),
//.LUTF1("(~(D*~B)*~(~C*A))"),
//.LUTG0("(~(D*~B)*~(~C*A))"),
//.LUTG1("(~(D*~B)*~(~C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010011110101),
.INIT_LUTF1(16'b1100010011110101),
.INIT_LUTG0(16'b1100010011110101),
.INIT_LUTG1(16'b1100010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/M8ipw6_reg|u_logic/I4rpw6_reg (
.a({\u_logic/_al_u3002_o ,\u_logic/_al_u3002_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/_al_u128_o ,\u_logic/_al_u128_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Rr3qw6 ,\u_logic/X42qw6 }),
.mi({\u_logic/W6ipw6 ,\u_logic/L5lpw6 }),
.f({\u_logic/_al_u3032_o ,\u_logic/_al_u3024_o }),
.q({\u_logic/M8ipw6 ,\u_logic/I4rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17597)
// ../rtl/topmodule/cortexm0ds_logic.v(18280)
// ../rtl/topmodule/cortexm0ds_logic.v(18281)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mbdax6_reg|u_logic/Q9dax6_reg (
.a({\u_logic/_al_u2522_o ,\u_logic/Wmviu6 }),
.b({\u_logic/_al_u2605_o ,\u_logic/St1iu6 }),
.c({\u_logic/J8cax6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Xdcax6 ,HRDATA[26]}),
.e({open_n122268,\u_logic/Qjcbx6 }),
.mi({\u_logic/H34iu6 ,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3555_o ,\u_logic/_al_u3055_o }),
.q({\u_logic/Mbdax6 ,\u_logic/Q9dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18280)
// ../rtl/topmodule/cortexm0ds_logic.v(18923)
// ../rtl/topmodule/cortexm0ds_logic.v(18937)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mbwax6_reg|u_logic/Tjvax6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r7_o[1] ,\u_logic/vis_r4_o[0] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[1] ,\u_logic/vis_r5_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u506_o ,\u_logic/Y23pw6 }),
.q({\u_logic/vis_r7_o[1] ,\u_logic/vis_r7_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18923)
// ../rtl/topmodule/cortexm0ds_logic.v(19008)
// ../rtl/topmodule/cortexm0ds_logic.v(18996)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Mfyax6_reg|u_logic/Qjyax6_reg (
.a({\u_logic/_al_u4278_o ,open_n122299}),
.b({\u_logic/_al_u4279_o ,open_n122300}),
.c({\u_logic/E1fiu6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Qjyax6 ,\u_logic/I3fiu6 }),
.mi({HWDATA[7],\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4280_o ,\u_logic/n1116 }),
.q({\u_logic/Mfyax6 ,\u_logic/Qjyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19008)
// ../rtl/topmodule/cortexm0ds_logic.v(18860)
// ../rtl/topmodule/cortexm0ds_logic.v(17430)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Mlmpw6_reg|u_logic/O2sax6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Qiqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r9_o[15] ,\u_logic/vis_r8_o[11] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[15] ,\u_logic/vis_r10_o[11] }),
.mi({\u_logic/X1liu6 ,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u1284_o ,\u_logic/_al_u1254_o }),
.q({\u_logic/vis_r8_o[27] ,\u_logic/vis_r8_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18860)
// ../rtl/topmodule/cortexm0ds_logic.v(18498)
// ../rtl/topmodule/cortexm0ds_logic.v(19731)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("~(C*~(D*~(B*~A)))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("~(C*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1011111100001111),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b1011111100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Mw5bx6_reg|u_logic/Thhax6_reg (
.a({\u_logic/_al_u4556_o ,\u_logic/_al_u4454_o }),
.b({\u_logic/_al_u4578_o ,\u_logic/_al_u4474_o }),
.c({\u_logic/_al_u4748_o ,\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/_al_u4641_o }),
.e({open_n122337,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(18498)
// ../rtl/topmodule/cortexm0ds_logic.v(17446)
// ../rtl/topmodule/cortexm0ds_logic.v(18712)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N0lax6_reg|u_logic/Gxmpw6_reg (
.a({\u_logic/_al_u335_o ,\u_logic/Fnqow6 }),
.b({\u_logic/_al_u336_o ,\u_logic/Cpqow6 }),
.c({\u_logic/_al_u337_o ,\u_logic/vis_r0_o[2] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u338_o ,\u_logic/vis_r5_o[2] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/D50iu6 ,\u_logic/_al_u427_o }),
.q({\u_logic/vis_r0_o[0] ,\u_logic/vis_r0_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17446)
// ../rtl/topmodule/cortexm0ds_logic.v(18768)
// ../rtl/topmodule/cortexm0ds_logic.v(18787)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N1oax6_reg|u_logic/Szmax6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/vis_r12_o[23] ,\u_logic/vis_r14_o[25] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[23] ,\u_logic/vis_r8_o[25] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/Zxxow6 ,\u_logic/_al_u833_o }),
.q({\u_logic/vis_r14_o[14] ,\u_logic/vis_r14_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18768)
// ../rtl/topmodule/cortexm0ds_logic.v(18173)
// ../rtl/topmodule/cortexm0ds_logic.v(18159)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N39ax6_reg|u_logic/Tt9ax6_reg (
.a({\u_logic/_al_u2354_o ,\u_logic/U8uiu6 }),
.b({\u_logic/_al_u2356_o ,\u_logic/_al_u3139_o }),
.c({\u_logic/_al_u2358_o ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u2587_o ,\u_logic/vis_pc_o[18] }),
.mi({\u_logic/T24iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/_al_u2968_o ,\u_logic/_al_u3140_o }),
.q({\u_logic/N39ax6 ,\u_logic/Tt9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18173)
// ../rtl/topmodule/cortexm0ds_logic.v(18291)
// ../rtl/topmodule/cortexm0ds_logic.v(20101)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N3hbx6_reg|u_logic/Tkdax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u4267_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Cccbx6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Thcbx6 ,\u_logic/Btbbx6 }),
.e({open_n122407,\u_logic/vis_pc_o[16] }),
.mi({\u_logic/W74iu6 ,\u_logic/K84iu6 }),
.f({\u_logic/_al_u3056_o ,\u_logic/_al_u4268_o }),
.q({\u_logic/N3hbx6 ,\u_logic/Tkdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18291)
// ../rtl/topmodule/cortexm0ds_logic.v(20010)
// ../rtl/topmodule/cortexm0ds_logic.v(18788)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N3oax6_reg|u_logic/Tdfbx6_reg (
.a({\u_logic/_al_u1409_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u1410_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r9_o[26] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[14] ,\u_logic/vis_r11_o[26] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u1411_o ,\u_logic/_al_u1367_o }),
.q({\u_logic/vis_r14_o[13] ,\u_logic/vis_r14_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20010)
// ../rtl/topmodule/cortexm0ds_logic.v(18776)
// ../rtl/topmodule/cortexm0ds_logic.v(18790)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/N7oax6_reg|u_logic/Nfnax6_reg (
.a({open_n122442,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Hhqow6 }),
.c({\u_logic/Z47ax6 ,\u_logic/C07bx6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u765_o ,\u_logic/vis_r12_o[11] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u766_o ,\u_logic/_al_u1257_o }),
.q({\u_logic/vis_r14_o[10] ,\u_logic/vis_r14_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18776)
// ../rtl/topmodule/cortexm0ds_logic.v(18181)
// ../rtl/topmodule/cortexm0ds_logic.v(18182)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0@(C*~(~D*B))))"),
//.LUTF1("(~C*B*A*~(0@D))"),
//.LUTG0("(~A*~(1@(C*~(~D*B))))"),
//.LUTG1("(~C*B*A*~(1@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101000101),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0101000000010000),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Naaax6_reg|u_logic/Q8aax6_reg (
.a({\u_logic/_al_u3347_o ,\u_logic/eq1/xor_i0[25]_i1[25]_o_lutinv }),
.b({\u_logic/_al_u3352_o ,\u_logic/_al_u2518_o }),
.c({\u_logic/eq1/xor_i0[17]_i1[17]_o_lutinv ,\u_logic/_al_u3322_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Mvkhu6 ,\u_logic/_al_u3296_o }),
.e({\u_logic/Lk9ax6 ,\u_logic/Fo9ax6 }),
.mi({\u_logic/N64iu6 ,\u_logic/U64iu6 }),
.f({\u_logic/_al_u3357_o ,\u_logic/_al_u3323_o }),
.q({\u_logic/Naaax6 ,\u_logic/Q8aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18181)
// ../rtl/topmodule/cortexm0ds_logic.v(17532)
// ../rtl/topmodule/cortexm0ds_logic.v(17535)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nbppw6_reg|u_logic/O5ppw6_reg (
.a({\u_logic/X7now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r7_o[1] ,\u_logic/vis_r0_o[3] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[1] ,\u_logic/vis_r2_o[3] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u248_o ,\u_logic/Fb2pw6 }),
.q({\u_logic/vis_r5_o[3] ,\u_logic/vis_r5_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17532)
// ../rtl/topmodule/cortexm0ds_logic.v(18962)
// ../rtl/topmodule/cortexm0ds_logic.v(18960)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("~(D*~(C*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1100000011111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1100000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nbxax6_reg|u_logic/Rfxax6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c(\u_logic/L6gpw6 [15:14]),
.clk(clk_pad),
.d({\u_logic/_al_u1534_o ,\u_logic/_al_u1536_o }),
.q({\u_logic/Nbxax6 ,\u_logic/Rfxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18962)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*B)*~(0*A))"),
//.LUT1("~(C*~(D*B)*~(1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100111100001111),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nfqpw6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/Vk1iu6 }),
.b({\u_logic/Cl1iu6 ,\u_logic/Cl1iu6 }),
.c({\u_logic/V52iu6 ,\u_logic/V52iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ehqpw6 ,\u_logic/Ehqpw6 }),
.mi({open_n122523,\u_logic/Idqpw6 }),
.q({open_n122530,\u_logic/Nfqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17555)
// ../rtl/topmodule/cortexm0ds_logic.v(19719)
// ../rtl/topmodule/cortexm0ds_logic.v(19718)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ni5bx6_reg|u_logic/Nk5bx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r7_o[24] ,\u_logic/vis_r6_o[24] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[24] ,\u_logic/vis_r2_o[24] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u277_o ,\u_logic/V16pw6 }),
.q({\u_logic/vis_r3_o[24] ,\u_logic/vis_r3_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(19719)
// ../rtl/topmodule/cortexm0ds_logic.v(18183)
// ../rtl/topmodule/cortexm0ds_logic.v(19934)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(0@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(1@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0011101111111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nlbbx6_reg|u_logic/Kcaax6_reg (
.a({\u_logic/_al_u2526_o ,\u_logic/_al_u3018_o }),
.b({\u_logic/Nvkbx6 [11],\u_logic/Iv1iu6 }),
.c({\u_logic/_al_u3296_o ,\u_logic/Dw1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[29] }),
.e({\u_logic/Xv8bx6 ,\u_logic/Peeax6 }),
.mi({\u_logic/G64iu6 ,\u_logic/Z54iu6 }),
.f({\u_logic/eq1/xor_i0[11]_i1[11]_o_lutinv ,\u_logic/_al_u3019_o }),
.q({\u_logic/Nlbbx6 ,\u_logic/Kcaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18183)
// ../rtl/topmodule/cortexm0ds_logic.v(19993)
// ../rtl/topmodule/cortexm0ds_logic.v(19953)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nlcbx6_reg|u_logic/Ufebx6_reg (
.a({\u_logic/_al_u3594_o ,_al_u249_o}),
.b({\u_logic/_al_u3595_o ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/_al_u3596_o ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u3597_o ,RAMCODE_RDATA[29]}),
.e({open_n122565,RAMDATA_RDATA[29]}),
.mi({\u_logic/R84iu6 ,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3598_o ,HRDATA[29]}),
.q({\u_logic/Nlcbx6 ,\u_logic/Ufebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19993)
// ../rtl/topmodule/cortexm0ds_logic.v(19923)
// ../rtl/topmodule/cortexm0ds_logic.v(18510)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("~(C*~(D*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1011111100001111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nlhax6_reg|u_logic/P7bbx6_reg (
.a({\u_logic/_al_u4556_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u4588_o ,\u_logic/_al_u4566_o }),
.c({\u_logic/_al_u4757_o ,\u_logic/_al_u4742_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[12] ,\u_logic/vis_pc_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19923)
// ../rtl/topmodule/cortexm0ds_logic.v(20099)
// ../rtl/topmodule/cortexm0ds_logic.v(20015)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nnfbx6_reg|u_logic/Tzgbx6_reg (
.a({\u_logic/Yw1iu6 ,\u_logic/_al_u3056_o }),
.b({\u_logic/Ulviu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Ar1iu6 ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/F8cbx6 ,\u_logic/vis_pc_o[25] }),
.e({open_n122600,\u_logic/Zdcbx6 }),
.mi({\u_logic/P74iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3052_o ,\u_logic/_al_u3057_o }),
.q({\u_logic/Nnfbx6 ,\u_logic/Tzgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20099)
// ../rtl/topmodule/cortexm0ds_logic.v(18773)
// ../rtl/topmodule/cortexm0ds_logic.v(18780)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nnnax6_reg|u_logic/Q9nax6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/_al_u1396_o }),
.b({\u_logic/Ahqow6 ,\u_logic/Bxzhu6 }),
.c({\u_logic/F6dbx6 ,\u_logic/_al_u1397_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[30] ,\u_logic/Hhqow6 }),
.e({open_n122617,\u_logic/vis_r12_o[31] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1389_o ,\u_logic/_al_u1398_o }),
.q({\u_logic/vis_r14_o[25] ,\u_logic/vis_r14_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18773)
// ../rtl/topmodule/cortexm0ds_logic.v(18302)
// ../rtl/topmodule/cortexm0ds_logic.v(18293)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nodax6_reg|u_logic/J5eax6_reg (
.a({\u_logic/_al_u3105_o ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/_al_u3107_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/St1iu6 ,\u_logic/Xc9ax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({HRDATA[22],\u_logic/Yybax6 }),
.mi({\u_logic/U64iu6 ,\u_logic/Oh4iu6 }),
.f({\u_logic/_al_u3108_o ,\u_logic/_al_u3148_o }),
.q({\u_logic/Nodax6 ,\u_logic/J5eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18302)
// ../rtl/topmodule/cortexm0ds_logic.v(17856)
// ../rtl/topmodule/cortexm0ds_logic.v(17309)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("~(B*~A*~(D*C))"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("~(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b1111101110111011),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1111101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nrkpw6_reg|u_logic/Gyxpw6_reg (
.a({\u_logic/_al_u3082_o ,\u_logic/_al_u3172_o }),
.b({\u_logic/_al_u3083_o ,\u_logic/Bo1iu6 }),
.c({\u_logic/Bo1iu6 ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/No3qw6 ,\u_logic/Gyxpw6 }),
.e({open_n122653,\u_logic/H4ypw6 }),
.q({\u_logic/Nrkpw6 ,\u_logic/Gyxpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17856)
// ../rtl/topmodule/cortexm0ds_logic.v(19797)
// ../rtl/topmodule/cortexm0ds_logic.v(18782)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nrnax6_reg|u_logic/Zh8bx6_reg (
.a({\u_logic/_al_u1319_o ,\u_logic/_al_u845_o }),
.b({\u_logic/H00iu6 ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/_al_u1320_o ,\u_logic/_al_u739_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/vis_r12_o[27] }),
.e({\u_logic/vis_r12_o[20] ,\u_logic/vis_msp_o[25] }),
.mi({\u_logic/E1miu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u1321_o ,\u_logic/_al_u846_o }),
.q({\u_logic/vis_r14_o[20] ,\u_logic/vis_r14_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19797)
// ../rtl/topmodule/cortexm0ds_logic.v(17574)
// ../rtl/topmodule/cortexm0ds_logic.v(17576)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nrqpw6_reg|u_logic/Gnqpw6_reg (
.a({\u_logic/Vk1iu6 ,_al_u249_o}),
.b({\u_logic/Cl1iu6 ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Gylpw6 ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vefax6 ,RAMCODE_RDATA[27]}),
.e({open_n122690,RAMDATA_RDATA[27]}),
.mi({\u_logic/Golpw6 ,\u_logic/Krlpw6 }),
.f({\u_logic/_al_u1042_o ,HRDATA[27]}),
.q({\u_logic/Nrqpw6 ,\u_logic/Gnqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17574)
// ../rtl/topmodule/cortexm0ds_logic.v(18789)
// ../rtl/topmodule/cortexm0ds_logic.v(18783)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ntnax6_reg|u_logic/N5oax6_reg (
.a({\u_logic/_al_u1367_o ,\u_logic/Nq4ju6_lutinv }),
.b({\u_logic/_al_u1368_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_r11_o[21] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[24] ,\u_logic/vis_r10_o[21] }),
.mi({\u_logic/D4miu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u1369_o ,\u_logic/_al_u805_o }),
.q({\u_logic/vis_r14_o[19] ,\u_logic/vis_r14_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18789)
// ../rtl/topmodule/cortexm0ds_logic.v(20040)
// ../rtl/topmodule/cortexm0ds_logic.v(19839)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nv9bx6_reg|u_logic/C5gbx6_reg (
.a({open_n122725,\u_logic/_al_u3012_o }),
.b({open_n122726,\u_logic/_al_u4308_o }),
.c({\u_logic/Mp0bx6 ,\u_logic/E1fiu6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/Fe2bx6 ,\u_logic/Ohyax6 }),
.mi({HWDATA[6],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4130_o ,\u_logic/_al_u4309_o }),
.q({\u_logic/Nv9bx6 ,\u_logic/C5gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20040)
// ../rtl/topmodule/cortexm0ds_logic.v(19968)
// ../rtl/topmodule/cortexm0ds_logic.v(19983)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0010100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nwdbx6_reg|u_logic/Q4dbx6_reg (
.a({_al_u249_o,_al_u249_o}),
.b({\Interconncet/SlaveMUX/hsel_reg [4],\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\Interconncet/SlaveMUX/hsel_reg [5],\Interconncet/SlaveMUX/hsel_reg [5]}),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d(RAMCODE_RDATA[25:24]),
.e(RAMDATA_RDATA[25:24]),
.mi({\u_logic/B74iu6 ,\u_logic/Y84iu6 }),
.f(HRDATA[25:24]),
.q({\u_logic/Nwdbx6 ,\u_logic/Q4dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19968)
// ../rtl/topmodule/cortexm0ds_logic.v(18486)
// ../rtl/topmodule/cortexm0ds_logic.v(19898)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("~(D*~C*~(0*~(B*~A)))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("~(D*~C*~(1*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b1111000011111111),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b1111101111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Nxabx6_reg|u_logic/Zdhax6_reg (
.a({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.b({\u_logic/_al_u4548_o ,\u_logic/Q7miu6 }),
.c({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4638_o ,\u_logic/_al_u4647_o }),
.e({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[21] ,\u_logic/vis_pc_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(18486)
// ../rtl/topmodule/cortexm0ds_logic.v(18778)
// ../rtl/topmodule/cortexm0ds_logic.v(18785)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nxnax6_reg|u_logic/Njnax6_reg (
.a({\u_logic/_al_u1413_o ,\u_logic/_al_u1249_o }),
.b({\u_logic/Q10iu6 ,\u_logic/G30iu6 }),
.c({\u_logic/Hhqow6 ,\u_logic/Hhqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[16] ,\u_logic/vis_r12_o[10] }),
.mi({\u_logic/Bamiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u1414_o ,\u_logic/Ka8ju6 }),
.q({\u_logic/vis_r14_o[17] ,\u_logic/vis_r14_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18778)
// ../rtl/topmodule/cortexm0ds_logic.v(18781)
// ../rtl/topmodule/cortexm0ds_logic.v(18786)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Nznax6_reg|u_logic/Npnax6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u824_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r12_o[10] ,\u_logic/_al_u740_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[10] ,\u_logic/vis_msp_o[22] }),
.e({open_n122794,\u_logic/vis_psp_o[22] }),
.mi({\u_logic/Admiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u939_o ,\u_logic/_al_u825_o }),
.q({\u_logic/vis_r14_o[16] ,\u_logic/vis_r14_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18781)
// ../rtl/topmodule/cortexm0ds_logic.v(19811)
// ../rtl/topmodule/cortexm0ds_logic.v(17405)
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*A))"),
//.LUT1("(~(D*~B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100110001011111),
.INIT_LUT1(16'b0100110001011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O1mpw6_reg|u_logic/Q89bx6_reg (
.a({\u_logic/_al_u3002_o ,\u_logic/_al_u3002_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/_al_u128_o ,\u_logic/_al_u128_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Jhebx6 ,\u_logic/Qwfbx6 }),
.mi({\u_logic/Yzlpw6 ,\u_logic/Zgfax6 }),
.f({\u_logic/_al_u3120_o ,\u_logic/_al_u3110_o }),
.q({\u_logic/O1mpw6 ,\u_logic/Q89bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19811)
// ../rtl/topmodule/cortexm0ds_logic.v(17531)
// ../rtl/topmodule/cortexm0ds_logic.v(17530)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O1ppw6_reg|u_logic/O3ppw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Ljqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r8_o[22] ,\u_logic/vis_r10_o[20] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[22] ,\u_logic/vis_r11_o[20] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u813_o ,\u_logic/Ysyow6 }),
.q({\u_logic/vis_r9_o[13] ,\u_logic/vis_r9_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17531)
// ../rtl/topmodule/cortexm0ds_logic.v(18865)
// ../rtl/topmodule/cortexm0ds_logic.v(18861)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/O4sax6_reg|u_logic/Ocsax6_reg (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/_al_u796_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_r10_o[24] ,\u_logic/_al_u739_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[24] ,\u_logic/vis_r12_o[20] }),
.e({open_n122839,\u_logic/vis_msp_o[18] }),
.mi({\u_logic/Admiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/Yz5pw6 ,\u_logic/_al_u797_o }),
.q({\u_logic/vis_r8_o[16] ,\u_logic/vis_r8_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18865)
// ../rtl/topmodule/cortexm0ds_logic.v(18856)
// ../rtl/topmodule/cortexm0ds_logic.v(18864)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oasax6_reg|u_logic/Ourax6_reg (
.a({\u_logic/Nq4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r10_o[20] ,\u_logic/vis_r8_o[20] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[20] ,\u_logic/vis_r9_o[20] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u798_o ,\u_logic/_al_u799_o }),
.q({\u_logic/vis_r8_o[12] ,\u_logic/vis_r8_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18856)
// ../rtl/topmodule/cortexm0ds_logic.v(18779)
// ../rtl/topmodule/cortexm0ds_logic.v(18775)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Odnax6_reg|u_logic/Nlnax6_reg (
.a({\u_logic/_al_u1391_o ,\u_logic/Ahqow6 }),
.b({\u_logic/Ixzhu6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Hhqow6 ,\u_logic/vis_r12_o[6] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[30] ,\u_logic/vis_r14_o[6] }),
.mi({\u_logic/Drkiu6 ,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1392_o ,\u_logic/Wp0pw6 }),
.q({\u_logic/vis_r14_o[7] ,\u_logic/vis_r14_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18779)
// ../rtl/topmodule/cortexm0ds_logic.v(17689)
// ../rtl/topmodule/cortexm0ds_logic.v(17422)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("~(~A*~(0*D)*~(C*~B))"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("~(~A*~(1*D)*~(C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b1011101010111010),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b1111111110111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ofmpw6_reg|u_logic/Tptpw6_reg (
.a({\u_logic/_al_u1253_o ,\u_logic/_al_u1244_o }),
.b({\u_logic/_al_u1258_o ,\u_logic/Ka8ju6 }),
.c({\u_logic/_al_u1150_o ,\u_logic/_al_u1150_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Q44iu6 ,\u_logic/J44iu6 }),
.e({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f(HWDATA[11:10]),
.q({\u_logic/Ofmpw6 ,\u_logic/Tptpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17689)
// ../rtl/topmodule/cortexm0ds_logic.v(19548)
// ../rtl/topmodule/cortexm0ds_logic.v(19320)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*~A*~(D*B))"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0001000001010000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Oi1bx6_reg|u_logic/Sq3bx6_reg (
.a({\u_logic/_al_u2347_o ,\u_logic/_al_u2347_o }),
.b({HWDATA[13],HWDATA[8]}),
.c({\u_logic/_al_u2403_o ,\u_logic/_al_u2393_o }),
.clk(clk_pad),
.d({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.q({\u_logic/Oi1bx6 ,\u_logic/Sq3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19548)
// ../rtl/topmodule/cortexm0ds_logic.v(18702)
// ../rtl/topmodule/cortexm0ds_logic.v(18703)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((D*~C))*~(0)+A*~(B)*(D*~C)*~(0)+~(A)*~(B)*~((D*~C))*0+A*~(B)*~((D*~C))*0+~(A)*B*~((D*~C))*0+~(A)*~(B)*(D*~C)*0+A*~(B)*(D*~C)*0+~(A)*B*(D*~C)*0+A*B*(D*~C)*0)"),
//.LUTF1("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUTG0("(A*~(B)*~((D*~C))*~(1)+A*~(B)*(D*~C)*~(1)+~(A)*~(B)*~((D*~C))*1+A*~(B)*~((D*~C))*1+~(A)*B*~((D*~C))*1+~(A)*~(B)*(D*~C)*1+A*~(B)*(D*~C)*1+~(A)*B*(D*~C)*1+A*B*(D*~C)*1)"),
//.LUTG1("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0010001000100010),
.INIT_LUTG0(16'b0111111101110111),
.INIT_LUTG1(16'b0111011101111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oikax6_reg|u_logic/Lgkax6_reg (
.a({\u_logic/D8iiu6 ,\u_logic/D8iiu6 }),
.b({\u_logic/_al_u2351_o ,\u_logic/Xbiiu6 }),
.c({\u_logic/_al_u1990_o ,\u_logic/_al_u1990_o }),
.clk(clk_pad),
.d({\u_logic/_al_u390_o ,\u_logic/Iekax6 }),
.e({\u_logic/Oikax6 ,\u_logic/Lgkax6 }),
.q({\u_logic/Oikax6 ,\u_logic/Lgkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18702)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(A)"),
//.LUTG0("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Otopw6_reg (
.a({open_n122949,\u_logic/_al_u1286_o }),
.b({open_n122950,\u_logic/_al_u1287_o }),
.c({open_n122951,\u_logic/Yfqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({open_n122952,\u_logic/vis_psp_o[13] }),
.mi({open_n122956,\u_logic/X1liu6 }),
.f({open_n122969,\u_logic/_al_u1288_o }),
.q({open_n122973,\u_logic/vis_r9_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17526)
// ../rtl/topmodule/cortexm0ds_logic.v(19807)
// ../rtl/topmodule/cortexm0ds_logic.v(18321)
EG_PHY_LSLICE #(
//.LUTF0("(B*(0@C)*(D@A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(B*(1@C)*(D@A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100000010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000010000001000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Oveax6_reg|u_logic/N19bx6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/_al_u2757_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/_al_u3611_o }),
.c({\u_logic/Biaax6 ,\u_logic/_al_u2620_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/F2dax6 ,\u_logic/Ahdax6 }),
.e({open_n122974,\u_logic/Xajbx6 }),
.mi({\u_logic/J44iu6 ,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u4366_o ,\u_logic/_al_u3612_o }),
.q({\u_logic/Oveax6 ,\u_logic/N19bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19807)
// ../rtl/topmodule/cortexm0ds_logic.v(17693)
// ../rtl/topmodule/cortexm0ds_logic.v(17529)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ozopw6_reg|u_logic/Xxtpw6_reg (
.a({\u_logic/Na0iu6 ,\u_logic/Sjqow6 }),
.b({\u_logic/_al_u762_o ,\u_logic/Qiqow6 }),
.c({\u_logic/_al_u763_o ,\u_logic/vis_r10_o[24] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/Vk8pw6 ,\u_logic/vis_r9_o[24] }),
.mi({\u_logic/E1miu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u765_o ,\u_logic/_al_u1346_o }),
.q({\u_logic/vis_r9_o[20] ,\u_logic/vis_r9_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17693)
// ../rtl/topmodule/cortexm0ds_logic.v(18652)
// ../rtl/topmodule/cortexm0ds_logic.v(18057)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/P14qw6_reg|u_logic/Skjax6_reg (
.a({\u_logic/_al_u2910_o ,\u_logic/Klciu6 }),
.b({\u_logic/_al_u2911_o ,\u_logic/Wkciu6 }),
.c({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d(HRDATA[13:12]),
.sr(cpuresetn),
.q({\u_logic/P14qw6 ,\u_logic/Skjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18652)
// ../rtl/topmodule/cortexm0ds_logic.v(18034)
// ../rtl/topmodule/cortexm0ds_logic.v(18016)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010000110000),
.INIT_LUTF1(16'b0111010000110000),
.INIT_LUTG0(16'b1111111010111010),
.INIT_LUTG1(16'b1111111010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P23qw6_reg|u_logic/Pg3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/L03qw6 ,\u_logic/P93qw6 }),
.clk(clk_pad),
.d({\u_logic/P23qw6 ,\u_logic/Pg3qw6 }),
.e({\u_logic/Vrkbx6 [4],\u_logic/Vrkbx6 [5]}),
.q({\u_logic/P23qw6 ,\u_logic/Pg3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18034)
// ../rtl/topmodule/cortexm0ds_logic.v(18222)
// ../rtl/topmodule/cortexm0ds_logic.v(18221)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/P9bax6_reg|u_logic/Lbbax6_reg (
.a({open_n123048,\u_logic/_al_u3384_o }),
.b({open_n123049,\u_logic/n6123_lutinv }),
.c({\u_logic/Vibax6 ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/_al_u3378_o ,\u_logic/Dfbax6 }),
.e({open_n123050,\u_logic/Vibax6 }),
.mi({\u_logic/Df4iu6 ,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3379_o ,\u_logic/_al_u3457_o }),
.q({\u_logic/P9bax6 ,\u_logic/Lbbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18222)
// ../rtl/topmodule/cortexm0ds_logic.v(17781)
// ../rtl/topmodule/cortexm0ds_logic.v(17834)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Paxpw6_reg|u_logic/Jrvpw6_reg (
.a({\u_logic/_al_u239_o ,\u_logic/Xpqow6 }),
.b({\u_logic/_al_u240_o ,\u_logic/Mnqow6 }),
.c({\u_logic/_al_u241_o ,\u_logic/vis_r4_o[22] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u242_o ,\u_logic/vis_r3_o[22] }),
.mi({\u_logic/C7miu6 ,\u_logic/D4miu6 }),
.f({\u_logic/L90iu6 ,\u_logic/_al_u535_o }),
.q({\u_logic/vis_r5_o[18] ,\u_logic/vis_r5_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17781)
// ../rtl/topmodule/cortexm0ds_logic.v(20214)
// ../rtl/topmodule/cortexm0ds_logic.v(19929)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(D*~(0*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0100010011111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1111010011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pbbbx6_reg|u_logic/Syjbx6_reg (
.a({\u_logic/_al_u2482_o ,\u_logic/_al_u2486_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/E8miu6 ,\u_logic/F5miu6 }),
.e({\u_logic/vis_pc_o[16] ,\u_logic/vis_pc_o[17] }),
.q({\u_logic/Pbbbx6 ,\u_logic/Syjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20214)
// ../rtl/topmodule/cortexm0ds_logic.v(17884)
// ../rtl/topmodule/cortexm0ds_logic.v(18774)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pbnax6_reg|u_logic/Onypw6_reg (
.a({\u_logic/K50iu6 ,\u_logic/_al_u1190_o }),
.b({\u_logic/_al_u910_o ,\u_logic/_al_u1193_o }),
.c({\u_logic/_al_u911_o ,\u_logic/Fgqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/A06ju6 ,\u_logic/vis_msp_o[5] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u913_o ,\u_logic/_al_u1194_o }),
.q({\u_logic/vis_r14_o[6] ,\u_logic/vis_r14_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17884)
// ../rtl/topmodule/cortexm0ds_logic.v(17298)
// ../rtl/topmodule/cortexm0ds_logic.v(17835)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pcxpw6_reg|u_logic/Rfkpw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Dfqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r9_o[10] ,\u_logic/Z47ax6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[10] ,\u_logic/vis_r14_o[15] }),
.mi({\u_logic/C7miu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u940_o ,\u_logic/_al_u1287_o }),
.q({\u_logic/vis_psp_o[16] ,\u_logic/vis_psp_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17298)
// ../rtl/topmodule/cortexm0ds_logic.v(20066)
// ../rtl/topmodule/cortexm0ds_logic.v(19092)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Pczax6_reg|u_logic/Nhgbx6_reg (
.a({\u_logic/Bggiu6_lutinv ,\u_logic/_al_u3007_o }),
.b({\u_logic/Qrgiu6 ,\u_logic/_al_u3008_o }),
.c({\u_logic/I74bx6 ,\u_logic/_al_u3009_o }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/Uj4bx6 ,\u_logic/U2fiu6 }),
.e({open_n123138,\u_logic/Qf4bx6 }),
.mi({HWDATA[30],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2206_o ,\u_logic/_al_u3010_o }),
.q({\u_logic/Pczax6 ,\u_logic/Nhgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20066)
// ../rtl/topmodule/cortexm0ds_logic.v(18852)
// ../rtl/topmodule/cortexm0ds_logic.v(17421)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pdmpw6_reg|u_logic/Qmrax6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u1171_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r12_o[3] ,\u_logic/Sjqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[3] ,\u_logic/vis_r10_o[4] }),
.e({open_n123154,\u_logic/vis_msp_o[2] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u911_o ,\u_logic/_al_u1172_o }),
.q({\u_logic/vis_r8_o[3] ,\u_logic/vis_r8_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18852)
// ../rtl/topmodule/cortexm0ds_logic.v(18360)
// ../rtl/topmodule/cortexm0ds_logic.v(18359)
EG_PHY_MSLICE #(
//.LUT0("(C*(~(A)*~(B)*~(D)+A*B*D))"),
//.LUT1("~(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000010000),
.INIT_LUT1(16'b1111111111111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pifax6_reg|u_logic/Okfax6_reg (
.a({open_n123171,\u_logic/D2opw6 }),
.b({\u_logic/Yzlpw6 ,\u_logic/Yzlpw6 }),
.c({\u_logic/Zgfax6 ,\u_logic/Z73qw6 }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/D2opw6 ,\u_logic/Zgfax6 }),
.q({\u_logic/Pifax6 ,\u_logic/Okfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18360)
// ../rtl/topmodule/cortexm0ds_logic.v(19870)
// ../rtl/topmodule/cortexm0ds_logic.v(20072)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Pjgbx6_reg|u_logic/X7abx6_reg (
.a({open_n123190,\u_logic/_al_u4310_o }),
.b({open_n123191,\u_logic/Bclow6 }),
.c({\u_logic/V52bx6 ,\u_logic/Q0fiu6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Ln0bx6 ,\u_logic/Yw3bx6 }),
.mi({HWDATA[22],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4144_o ,\u_logic/_al_u4312_o }),
.q({\u_logic/Pjgbx6 ,\u_logic/X7abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19870)
// ../rtl/topmodule/cortexm0ds_logic.v(17686)
// ../rtl/topmodule/cortexm0ds_logic.v(17883)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Plypw6_reg|u_logic/Wjtpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/V6now6_lutinv ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r1_o[1] ,\u_logic/vis_r2_o[0] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[1] ,\u_logic/vis_r3_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u245_o ,\u_logic/_al_u181_o }),
.q({\u_logic/vis_r5_o[1] ,\u_logic/vis_r5_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17686)
// ../rtl/topmodule/cortexm0ds_logic.v(18848)
// ../rtl/topmodule/cortexm0ds_logic.v(18854)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pqrax6_reg|u_logic/Serax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u675_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_r10_o[0] ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[0] ,\u_logic/vis_r12_o[0] }),
.e({open_n123223,\u_logic/vis_r11_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u675_o ,\u_logic/Es9pw6 }),
.q({\u_logic/vis_r8_o[1] ,\u_logic/vis_r8_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18848)
// ../rtl/topmodule/cortexm0ds_logic.v(17523)
// ../rtl/topmodule/cortexm0ds_logic.v(17525)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Propw6_reg|u_logic/Qnopw6_reg (
.a({\u_logic/_al_u1394_o ,\u_logic/_al_u887_o }),
.b({\u_logic/Yfqow6 ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/Qiqow6 ,\u_logic/_al_u739_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[29] ,\u_logic/vis_r12_o[31] }),
.e({\u_logic/vis_r9_o[31] ,\u_logic/vis_msp_o[29] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/D39iu6 }),
.f({\u_logic/_al_u1395_o ,\u_logic/_al_u888_o }),
.q({\u_logic/vis_r9_o[5] ,\u_logic/vis_r9_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(17523)
// ../rtl/topmodule/cortexm0ds_logic.v(17685)
// ../rtl/topmodule/cortexm0ds_logic.v(18710)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Pwkax6_reg|u_logic/Xhtpw6_reg (
.a({\u_logic/Svzhu6 ,\u_logic/Ljqow6 }),
.b({\u_logic/J80pw6 ,\u_logic/Gkqow6 }),
.c({\u_logic/Dfqow6 ,\u_logic/vis_r11_o[0] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/Asupw6 ,\u_logic/vis_r8_o[0] }),
.mi({\u_logic/Tx8iu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u1190_o ,\u_logic/_al_u1133_o }),
.q({\u_logic/vis_r11_o[0] ,\u_logic/vis_r11_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17685)
// ../rtl/topmodule/cortexm0ds_logic.v(20103)
// ../rtl/topmodule/cortexm0ds_logic.v(20154)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D@C)*(0@B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*(D@C)*(1@B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Q2ibx6_reg|u_logic/H7hbx6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3541_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/_al_u2456_o }),
.c({\u_logic/M6cax6 ,\u_logic/_al_u2538_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Yxdax6 ,\u_logic/Buabx6 }),
.e({open_n123270,\u_logic/F2dax6 }),
.mi({\u_logic/K84iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u4369_o ,\u_logic/_al_u3542_o }),
.q({\u_logic/Q2ibx6 ,\u_logic/H7hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20103)
// ../rtl/topmodule/cortexm0ds_logic.v(18047)
// ../rtl/topmodule/cortexm0ds_logic.v(17937)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~A*~(D*B))"),
//.LUTF1("~(B*~(C*D))"),
//.LUTG0("~(C*~A*~(D*B))"),
//.LUTG1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111110101111),
.INIT_LUTF1(16'b1111001100110011),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b1111001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qa1qw6_reg|u_logic/Wt3qw6_reg (
.a({open_n123287,\u_logic/_al_u1018_o }),
.b({\u_logic/_al_u1034_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/M81qw6 ,\u_logic/P22iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/Rr3qw6 }),
.q({\u_logic/Qa1qw6 ,\u_logic/Wt3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18047)
// ../rtl/topmodule/cortexm0ds_logic.v(20253)
// ../rtl/topmodule/cortexm0ds_logic.v(19715)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qc5bx6_reg|u_logic/Tgkbx6_reg (
.b({\u_logic/_al_u2607_o ,\u_logic/_al_u2578_o }),
.c({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2605_o ,\u_logic/_al_u2571_o }),
.q({\u_logic/Qc5bx6 ,\u_logic/Tgkbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20253)
// ../rtl/topmodule/cortexm0ds_logic.v(17292)
// ../rtl/topmodule/cortexm0ds_logic.v(17424)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~(0*~A))))"),
//.LUTF1("~(B*~(D*~(C*~(0*~A))))"),
//.LUTG0("~(C*~(D*~(B*~(1*~A))))"),
//.LUTG1("~(B*~(D*~(C*~(1*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100001111),
.INIT_LUTF1(16'b0011111100110011),
.INIT_LUTG0(16'b0111111100001111),
.INIT_LUTG1(16'b0111111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qhmpw6_reg|u_logic/Vbkpw6_reg (
.a({\u_logic/_al_u4609_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u4700_o ,\u_logic/_al_u4620_o }),
.c({\u_logic/_al_u4614_o ,\u_logic/_al_u4704_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4696_o ,\u_logic/_al_u4696_o }),
.e({\u_logic/n3708 ,\u_logic/n3708 }),
.sr(cpuresetn),
.q({\u_logic/vis_ipsr_o[3] ,\u_logic/vis_ipsr_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17292)
// ../rtl/topmodule/cortexm0ds_logic.v(17964)
// ../rtl/topmodule/cortexm0ds_logic.v(17942)
EG_PHY_MSLICE #(
//.LUT0("~(C*~A*~(D*B))"),
//.LUT1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111110101111),
.INIT_LUT1(16'b1111001100110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qj1qw6_reg|u_logic/C72qw6_reg (
.a({open_n123356,\u_logic/_al_u1021_o }),
.b({\u_logic/_al_u1036_o ,\u_logic/Vk1iu6 }),
.c({\u_logic/Mh1qw6 ,\u_logic/S02iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/X42qw6 }),
.q({\u_logic/Qj1qw6 ,\u_logic/C72qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17964)
// ../rtl/topmodule/cortexm0ds_logic.v(20183)
// ../rtl/topmodule/cortexm0ds_logic.v(19933)
EG_PHY_MSLICE #(
//.LUT0("~(D@(B*~(~C*A)))"),
//.LUT1("(~C*A*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010000111011),
.INIT_LUT1(16'b0000100000000010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qjbbx6_reg|u_logic/N3jbx6_reg (
.a({\u_logic/_al_u3394_o ,\u_logic/_al_u2605_o }),
.b({\u_logic/Ybihu6 ,\u_logic/_al_u3390_o }),
.c({\u_logic/eq0/xor_i0[9]_i1[9]_o_lutinv ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Biaax6 ,\u_logic/Npaax6 }),
.mi({\u_logic/G64iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u3401_o ,\u_logic/_al_u3391_o }),
.q({\u_logic/Qjbbx6 ,\u_logic/N3jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20183)
// ../rtl/topmodule/cortexm0ds_logic.v(17643)
// ../rtl/topmodule/cortexm0ds_logic.v(18851)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qkrax6_reg|u_logic/W9spw6_reg (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[7] ,\u_logic/vis_r10_o[3] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[7] ,\u_logic/vis_r9_o[3] }),
.mi({\u_logic/K39iu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/Qt6ju6 ,\u_logic/A06ju6 }),
.q({\u_logic/vis_r8_o[30] ,\u_logic/vis_r8_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17643)
// ../rtl/topmodule/cortexm0ds_logic.v(20102)
// ../rtl/topmodule/cortexm0ds_logic.v(19982)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qudbx6_reg|u_logic/K5hbx6_reg (
.a({\u_logic/_al_u3610_o ,\u_logic/_al_u3044_o }),
.b({\u_logic/_al_u3612_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/_al_u3620_o ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u3627_o ,\u_logic/Cxcbx6 }),
.e({\u_logic/Eagax6 ,\u_logic/vis_pc_o[26] }),
.mi({\u_logic/B74iu6 ,\u_logic/W74iu6 }),
.f({\u_logic/_al_u3634_o ,\u_logic/_al_u3045_o }),
.q({\u_logic/Qudbx6 ,\u_logic/K5hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20102)
// ../rtl/topmodule/cortexm0ds_logic.v(17169)
// ../rtl/topmodule/cortexm0ds_logic.v(18381)
EG_PHY_MSLICE #(
//.LUT0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010111000111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qufax6_reg|u_logic/Nyhpw6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/_al_u632_o }),
.b({\u_logic/Cl1iu6 ,\u_logic/_al_u981_o }),
.c({\u_logic/H3lpw6 ,\u_logic/Qa1qw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kwlpw6 ,\u_logic/Yzlpw6 }),
.mi({\u_logic/Qsfax6 ,CDBGPWRUPACK}),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u1114_o ,\u_logic/Tj1iu6 }),
.q({\u_logic/Qufax6 ,\u_logic/Nyhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17169)
// ../rtl/topmodule/cortexm0ds_logic.v(18924)
// ../rtl/topmodule/cortexm0ds_logic.v(18929)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Qvvax6_reg|u_logic/Slvax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r0_o[1] ,\u_logic/vis_r6_o[3] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[1] ,\u_logic/vis_r5_o[3] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u247_o ,\u_logic/_al_u439_o }),
.q({\u_logic/vis_r7_o[3] ,\u_logic/vis_r7_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18924)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Qynpw6_reg (
.a({\u_logic/_al_u53_o ,\u_logic/_al_u53_o }),
.b({\u_logic/C72qw6 ,\u_logic/C72qw6 }),
.c({\u_logic/J4cbx6 ,\u_logic/J4cbx6 }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kn2qw6 ,\u_logic/Kn2qw6 }),
.mi({open_n123462,\u_logic/Nfqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.q({open_n123468,\u_logic/Qynpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17481)
// ../rtl/topmodule/cortexm0ds_logic.v(18444)
// ../rtl/topmodule/cortexm0ds_logic.v(18450)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(D*~(C*~A)))"),
//.LUTF1("~(B*~(D*~(C*~A)))"),
//.LUTG0("~(B*~(D*~(C*~A)))"),
//.LUTG1("~(B*~(D*~(C*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100110011),
.INIT_LUTF1(16'b1011111100110011),
.INIT_LUTG0(16'b1011111100110011),
.INIT_LUTG1(16'b1011111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/R2hax6_reg|u_logic/U0hax6_reg (
.a({\u_logic/_al_u4662_o ,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u4783_o ,\u_logic/_al_u4786_o }),
.c({\u_logic/_al_u4678_o ,\u_logic/_al_u4681_o }),
.clk(clk_pad),
.d({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[25] ,\u_logic/vis_pc_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18444)
// ../rtl/topmodule/cortexm0ds_logic.v(18767)
// ../rtl/topmodule/cortexm0ds_logic.v(18772)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/R7nax6_reg|u_logic/Txmax6_reg (
.a({\u_logic/D50iu6 ,\u_logic/Ahqow6 }),
.b({\u_logic/_al_u895_o ,\u_logic/Hhqow6 }),
.c({\u_logic/_al_u896_o ,\u_logic/vis_r12_o[4] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u897_o ,\u_logic/vis_r14_o[4] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u898_o ,\u_logic/Wo1pw6 }),
.q({\u_logic/vis_r14_o[3] ,\u_logic/vis_r14_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18767)
// ../rtl/topmodule/cortexm0ds_logic.v(18855)
// ../rtl/topmodule/cortexm0ds_logic.v(20169)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rbibx6_reg|u_logic/Osrax6_reg (
.a({\u_logic/_al_u303_o ,\u_logic/Ahqow6 }),
.b({\u_logic/_al_u853_o ,\u_logic/Hhqow6 }),
.c({\u_logic/_al_u854_o ,\u_logic/vis_r12_o[28] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u855_o ,\u_logic/vis_r14_o[28] }),
.mi({\u_logic/Gfniu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u856_o ,\u_logic/_al_u1383_o }),
.q({\u_logic/vis_r8_o[28] ,\u_logic/vis_r8_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18855)
// ../rtl/topmodule/cortexm0ds_logic.v(19864)
// ../rtl/topmodule/cortexm0ds_logic.v(19098)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(B)*~((~C*A))*~(D)+B*~((~C*A))*~(D)+B*(~C*A)*~(D)+B*~((~C*A))*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1100010011111101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rezax6_reg|u_logic/V5abx6_reg (
.a({\u_logic/Nv9bx6 ,\u_logic/Tzdiu6 }),
.b({\u_logic/Wmzax6 ,\u_logic/U2fiu6 }),
.c({\u_logic/Xozax6 ,\u_logic/K94bx6 }),
.ce(\u_logic/n1113 ),
.clk(clk_pad),
.d({\u_logic/Yqzax6 ,\u_logic/Xozax6 }),
.mi({HWDATA[31],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4066_o ,\u_logic/_al_u4308_o }),
.q({\u_logic/Rezax6 ,\u_logic/V5abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19864)
// ../rtl/topmodule/cortexm0ds_logic.v(18753)
// ../rtl/topmodule/cortexm0ds_logic.v(20171)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rfibx6_reg|u_logic/W5max6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Gkqow6 }),
.b({\u_logic/Qiqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r9_o[4] ,\u_logic/vis_r10_o[5] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[4] ,\u_logic/vis_r8_o[5] }),
.mi({\u_logic/Gfniu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u1170_o ,\u_logic/_al_u1176_o }),
.q({\u_logic/vis_r10_o[28] ,\u_logic/vis_r10_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18753)
// ../rtl/topmodule/cortexm0ds_logic.v(17524)
// ../rtl/topmodule/cortexm0ds_logic.v(17521)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rjopw6_reg|u_logic/Qpopw6_reg (
.a({\u_logic/_al_u1165_o ,\u_logic/_al_u894_o }),
.b({\u_logic/Fgqow6 ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/Yfqow6 ,\u_logic/_al_u739_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[1] ,\u_logic/vis_r12_o[4] }),
.e({\u_logic/vis_msp_o[1] ,\u_logic/vis_msp_o[2] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u1166_o ,\u_logic/_al_u895_o }),
.q({\u_logic/vis_r9_o[4] ,\u_logic/vis_r9_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17524)
// ../rtl/topmodule/cortexm0ds_logic.v(17304)
// ../rtl/topmodule/cortexm0ds_logic.v(18228)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((~D*B))*~(0)+A*~(C)*(~D*B)*~(0)+A*C*(~D*B)*~(0)+~(A)*~(C)*~((~D*B))*0+A*~(C)*~((~D*B))*0+~(A)*C*~((~D*B))*0+A*C*~((~D*B))*0+A*~(C)*(~D*B)*0+A*C*(~D*B)*0)"),
//.LUTF1("(~((~B*~A))*C*~(D)*~(0)+~((~B*~A))*~(C)*D*~(0)+~((~B*~A))*C*D*~(0)+~((~B*~A))*~(C)*~(D)*0+(~B*~A)*~(C)*~(D)*0+~((~B*~A))*C*~(D)*0+~((~B*~A))*~(C)*D*0+(~B*~A)*~(C)*D*0+~((~B*~A))*C*D*0)"),
//.LUTG0("(A*C*~((~D*B))*~(1)+A*~(C)*(~D*B)*~(1)+A*C*(~D*B)*~(1)+~(A)*~(C)*~((~D*B))*1+A*~(C)*~((~D*B))*1+~(A)*C*~((~D*B))*1+A*C*~((~D*B))*1+A*~(C)*(~D*B)*1+A*C*(~D*B)*1)"),
//.LUTG1("(~((~B*~A))*C*~(D)*~(1)+~((~B*~A))*~(C)*D*~(1)+~((~B*~A))*C*D*~(1)+~((~B*~A))*~(C)*~(D)*1+(~B*~A)*~(C)*~(D)*1+~((~B*~A))*C*~(D)*1+~((~B*~A))*~(C)*D*1+(~B*~A)*~(C)*D*1+~((~B*~A))*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1110111011100000),
.INIT_LUTG0(16'b1111111110111011),
.INIT_LUTG1(16'b1110111111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rkbax6_reg|u_logic/Vpkpw6_reg (
.a({\u_logic/_al_u3462_o ,\u_logic/_al_u3462_o }),
.b({\u_logic/_al_u3374_o ,\u_logic/Ws4iu6_lutinv }),
.c({\u_logic/_al_u3476_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Dg2qw6 }),
.e({\u_logic/Rkbax6 ,\u_logic/Vpkpw6 }),
.sr(RSTn_pad),
.q({\u_logic/Rkbax6 ,\u_logic/Vpkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17304)
// ../rtl/topmodule/cortexm0ds_logic.v(19626)
// ../rtl/topmodule/cortexm0ds_logic.v(20078)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rlgbx6_reg|u_logic/Sh4bx6_reg (
.a({\u_logic/Dt1bx6 ,\u_logic/_al_u3220_o }),
.b({\u_logic/Ih0bx6 ,\u_logic/_al_u3221_o }),
.c({\u_logic/Jj0bx6 ,\u_logic/_al_u3222_o }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Jx1bx6 ,\u_logic/G2fiu6 }),
.e({open_n123586,\u_logic/X7abx6 }),
.mi({HWDATA[22],HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4152_o ,\u_logic/_al_u3223_o }),
.q({\u_logic/Rlgbx6 ,\u_logic/Sh4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19626)
// ../rtl/topmodule/cortexm0ds_logic.v(18185)
// ../rtl/topmodule/cortexm0ds_logic.v(18189)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rnaax6_reg|u_logic/Egaax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Qmdax6 ,\u_logic/J5eax6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/T6aax6 ,\u_logic/Qc5bx6 }),
.mi({\u_logic/Gk4iu6 ,\u_logic/E54iu6 }),
.f({\u_logic/Z6viu6 ,\u_logic/_al_u3244_o }),
.q({\u_logic/Rnaax6 ,\u_logic/Egaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18185)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rnibx6_reg (
.a({open_n123616,\u_logic/_al_u311_o }),
.b({open_n123617,\u_logic/_al_u312_o }),
.c({open_n123618,\u_logic/_al_u313_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({open_n123619,\u_logic/Nk4pw6 }),
.mi({open_n123623,\u_logic/Gfniu6 }),
.f({open_n123636,\u_logic/Y50iu6 }),
.q({open_n123640,\u_logic/vis_r7_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20175)
// ../rtl/topmodule/cortexm0ds_logic.v(18926)
// ../rtl/topmodule/cortexm0ds_logic.v(18925)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rnvax6_reg|u_logic/Rpvax6_reg (
.a({\u_logic/_al_u269_o ,\u_logic/_al_u281_o }),
.b({\u_logic/_al_u270_o ,\u_logic/_al_u282_o }),
.c({\u_logic/_al_u271_o ,\u_logic/_al_u283_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u272_o ,\u_logic/_al_u284_o }),
.mi({\u_logic/Vx9iu6 ,\u_logic/K39iu6 }),
.f({\u_logic/C80iu6 ,\u_logic/O70iu6 }),
.q({\u_logic/vis_r7_o[23] ,\u_logic/vis_r7_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18926)
// ../rtl/topmodule/cortexm0ds_logic.v(18120)
// ../rtl/topmodule/cortexm0ds_logic.v(18131)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(~D*C))"),
//.LUTF1("~(B*~A*~(D*~C))"),
//.LUTG0("~(B*~A*~(~D*C))"),
//.LUTG1("~(B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101111111011),
.INIT_LUTF1(16'b1011111110111011),
.INIT_LUTG0(16'b1011101111111011),
.INIT_LUTG1(16'b1011111110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ro8ax6_reg|u_logic/Sd8ax6_reg (
.a({\u_logic/_al_u3003_o ,\u_logic/_al_u3003_o }),
.b({\u_logic/Ipsiu6 ,\u_logic/P3tiu6 }),
.c({\u_logic/_al_u4373_o ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Wo1iu6 ,\u_logic/_al_u4316_o }),
.q({\u_logic/Ro8ax6 ,\u_logic/Sd8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18120)
// ../rtl/topmodule/cortexm0ds_logic.v(17825)
// ../rtl/topmodule/cortexm0ds_logic.v(18046)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*C))"),
//.LUT1("~(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101110111011),
.INIT_LUT1(16'b1111101110111011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rr3qw6_reg|u_logic/Kswpw6_reg (
.a({\u_logic/_al_u3031_o ,\u_logic/_al_u3152_o }),
.b({\u_logic/_al_u3032_o ,\u_logic/Pvtiu6 }),
.c({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Cq3qw6 ,\u_logic/Jl3qw6 }),
.q({\u_logic/Rr3qw6 ,\u_logic/Kswpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17825)
// ../rtl/topmodule/cortexm0ds_logic.v(18769)
// ../rtl/topmodule/cortexm0ds_logic.v(20177)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rribx6_reg|u_logic/S1nax6_reg (
.a({open_n123697,\u_logic/W40iu6 }),
.b({\u_logic/_al_u1389_o ,\u_logic/_al_u917_o }),
.c({\u_logic/_al_u1390_o ,\u_logic/_al_u918_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u1388_o ,\u_logic/L96ju6 }),
.mi({\u_logic/Gfniu6 ,\u_logic/K39iu6 }),
.f({\u_logic/_al_u1391_o ,\u_logic/_al_u920_o }),
.q({\u_logic/vis_r14_o[28] ,\u_logic/vis_r14_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(18769)
// ../rtl/topmodule/cortexm0ds_logic.v(18964)
// ../rtl/topmodule/cortexm0ds_logic.v(18111)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1100000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rv7ax6_reg|u_logic/Ujxax6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [6],\u_logic/L6gpw6 [7]}),
.clk(clk_pad),
.d({\u_logic/_al_u1552_o ,\u_logic/_al_u1550_o }),
.q({\u_logic/Rv7ax6 ,\u_logic/Ujxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18964)
// ../rtl/topmodule/cortexm0ds_logic.v(17608)
// ../rtl/topmodule/cortexm0ds_logic.v(18546)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("~(C*~(0*~(B*~(D*~A))))"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("~(C*~(1*~(B*~(D*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0000111100001111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0111111100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Rwhax6_reg|u_logic/Lerpw6_reg (
.a({\u_logic/_al_u4609_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u4614_o ,\u_logic/_al_u4631_o }),
.c({\u_logic/_al_u4721_o ,\u_logic/_al_u4730_o }),
.clk(clk_pad),
.d({\u_logic/n3708 ,\u_logic/n3708 }),
.e({\u_logic/Zgziu6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.q({\u_logic/vis_pc_o[2] ,\u_logic/vis_pc_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17608)
// ../rtl/topmodule/cortexm0ds_logic.v(18114)
// ../rtl/topmodule/cortexm0ds_logic.v(17931)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ry0qw6_reg|u_logic/S18ax6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r12_o[8] ,\u_logic/vis_r10_o[23] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[8] ,\u_logic/vis_r9_o[23] }),
.mi({\u_logic/X6niu6 ,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u1146_o ,\u_logic/C96pw6 }),
.q({\u_logic/vis_r9_o[8] ,\u_logic/vis_r9_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18114)
// ../rtl/topmodule/cortexm0ds_logic.v(19815)
// ../rtl/topmodule/cortexm0ds_logic.v(19806)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(C*B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Rz8bx6_reg|u_logic/Lg9bx6_reg (
.a({\u_logic/St1iu6 ,\u_logic/_al_u4303_o }),
.b({\u_logic/_al_u4335_o ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/_al_u4336_o ,\u_logic/Xs1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({HRDATA[13],\u_logic/Esabx6 }),
.e({open_n123767,\u_logic/Qkabx6 }),
.mi({\u_logic/Q44iu6 ,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u4337_o ,\u_logic/_al_u4304_o }),
.q({\u_logic/Rz8bx6 ,\u_logic/Lg9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19815)
// ../rtl/topmodule/cortexm0ds_logic.v(18849)
// ../rtl/topmodule/cortexm0ds_logic.v(17288)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S5kpw6_reg|u_logic/Rgrax6_reg (
.a({\u_logic/P40iu6 ,\u_logic/_al_u909_o }),
.b({\u_logic/_al_u874_o ,\u_logic/_al_u739_o }),
.c({\u_logic/_al_u875_o ,\u_logic/_al_u740_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u876_o ,\u_logic/vis_psp_o[1] }),
.e({open_n123784,\u_logic/vis_msp_o[1] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u877_o ,\u_logic/_al_u910_o }),
.q({\u_logic/vis_r8_o[5] ,\u_logic/vis_r8_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18849)
// ../rtl/topmodule/cortexm0ds_logic.v(18064)
// ../rtl/topmodule/cortexm0ds_logic.v(18117)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/S78ax6_reg|u_logic/Gp6ax6_reg (
.a({\u_logic/C80iu6 ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/_al_u818_o ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/_al_u819_o ,\u_logic/vis_r12_o[23] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/C96pw6 ,\u_logic/vis_r8_o[23] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u821_o ,\u_logic/_al_u819_o }),
.q({\u_logic/vis_msp_o[12] ,\u_logic/vis_msp_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18064)
EG_PHY_GCLK \u_logic/SWCLKTCK_pad_gclk_inst (
.clki(\u_logic/SWCLKTCK_pad ),
.clko(\u_logic/SWCLKTCK_pad_gclk_net ));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*~(0*~A)))"),
//.LUTF1("~(A*~(B*(D@C)))"),
//.LUTG0("(D*~(~C*~B*~(1*~A)))"),
//.LUTG1("~(A*~(B*(D@C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000000000),
.INIT_LUTF1(16'b0101110111010101),
.INIT_LUTG0(16'b1111110100000000),
.INIT_LUTG1(16'b0101110111010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sdlpw6_reg|u_logic/_al_u1432 (
.a({\u_logic/_al_u2014_o ,\u_logic/I6yhu6_lutinv }),
.b({\u_logic/T8yhu6_lutinv ,\u_logic/_al_u1430_o }),
.c({\u_logic/Bclpw6 ,\u_logic/Vnyhu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Sdlpw6 ,\u_logic/U5yhu6 }),
.e({open_n123816,\u_logic/Yklpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({open_n123831,\u_logic/_al_u1432_o }),
.q({\u_logic/Sdlpw6 ,open_n123835})); // ../rtl/topmodule/cortexm0ds_logic.v(17347)
// ../rtl/topmodule/cortexm0ds_logic.v(17688)
// ../rtl/topmodule/cortexm0ds_logic.v(17880)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sfypw6_reg|u_logic/Untpw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Voqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Kmqow6 }),
.c({\u_logic/vis_r7_o[0] ,\u_logic/vis_r6_o[0] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[0] ,\u_logic/vis_r1_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/X53pw6 ,\u_logic/Q53pw6 }),
.q({\u_logic/vis_r1_o[1] ,\u_logic/vis_r1_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17688)
// ../rtl/topmodule/cortexm0ds_logic.v(19858)
// ../rtl/topmodule/cortexm0ds_logic.v(19014)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Slyax6_reg|u_logic/T3abx6_reg (
.a({\u_logic/I3fiu6 ,\u_logic/Dqmow6 }),
.b({\u_logic/E1fiu6 ,\u_logic/S1fiu6 }),
.c({\u_logic/Auyax6 ,\u_logic/Q0fiu6 }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Slyax6 ,\u_logic/Az3bx6 }),
.e({open_n123850,\u_logic/L8zax6 }),
.mi({HWDATA[23],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4416_o ,\u_logic/_al_u4278_o }),
.q({\u_logic/Slyax6 ,\u_logic/T3abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19858)
// ../rtl/topmodule/cortexm0ds_logic.v(18664)
// ../rtl/topmodule/cortexm0ds_logic.v(18657)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0111001101000000),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Smjax6_reg|u_logic/Sqjax6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c(HRDATA[27:26]),
.clk(clk_pad),
.d({\u_logic/Smjax6 ,\u_logic/Sqjax6 }),
.q({\u_logic/Smjax6 ,\u_logic/Sqjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18664)
// ../rtl/topmodule/cortexm0ds_logic.v(18363)
// ../rtl/topmodule/cortexm0ds_logic.v(18369)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*(C*~B)*~(D)*~(0)+A*(C*~B)*~(D)*~(0)+A*~((C*~B))*D*~(0)+A*(C*~B)*D*~(0)+~(A)*~((C*~B))*~(D)*0+A*~((C*~B))*~(D)*0+~(A)*(C*~B)*~(D)*0+A*(C*~B)*~(D)*0)"),
//.LUTF1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D)"),
//.LUTG0("(~(A)*(C*~B)*~(D)*~(1)+A*(C*~B)*~(D)*~(1)+A*~((C*~B))*D*~(1)+A*(C*~B)*D*~(1)+~(A)*~((C*~B))*~(D)*1+A*~((C*~B))*~(D)*1+~(A)*(C*~B)*~(D)*1+A*(C*~B)*~(D)*1)"),
//.LUTG1("(~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101000110000),
.INIT_LUTF1(16'b0000101001011100),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000101001011100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Sqfax6_reg|u_logic/Uofax6_reg (
.a({\u_logic/_al_u2917_o ,\u_logic/_al_u2917_o }),
.b({\u_logic/Iqzhu6_lutinv ,\u_logic/_al_u2919_o }),
.c({\u_logic/Sqfax6 ,\u_logic/Iqzhu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Uofax6 ,\u_logic/Sqfax6 }),
.e({open_n123890,\u_logic/Uofax6 }),
.sr(RSTn_pad),
.q({\u_logic/Sqfax6 ,\u_logic/Uofax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18363)
// ../rtl/topmodule/cortexm0ds_logic.v(20212)
// ../rtl/topmodule/cortexm0ds_logic.v(18671)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0111001101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sujax6_reg|u_logic/Tujbx6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c({HRDATA[25],HRDATA[17]}),
.clk(clk_pad),
.d({\u_logic/Sujax6 ,\u_logic/Tujbx6 }),
.q({\u_logic/Sujax6 ,\u_logic/Tujbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20212)
// ../rtl/topmodule/cortexm0ds_logic.v(17673)
// ../rtl/topmodule/cortexm0ds_logic.v(17930)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sw0qw6_reg|u_logic/Z3tpw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Fnqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r6_o[8] ,\u_logic/vis_r7_o[8] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[8] ,\u_logic/vis_r5_o[8] }),
.mi({\u_logic/X6niu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u362_o ,\u_logic/_al_u553_o }),
.q({\u_logic/vis_r1_o[8] ,\u_logic/vis_r1_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17673)
// ../rtl/topmodule/cortexm0ds_logic.v(17672)
// ../rtl/topmodule/cortexm0ds_logic.v(18112)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Sx7ax6_reg|u_logic/Z1tpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r7_o[8] ,\u_logic/vis_r0_o[8] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[8] ,\u_logic/vis_r4_o[8] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u361_o ,\u_logic/_al_u554_o }),
.q({\u_logic/vis_r0_o[14] ,\u_logic/vis_r0_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17672)
// ../rtl/topmodule/cortexm0ds_logic.v(17301)
// ../rtl/topmodule/cortexm0ds_logic.v(20004)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T1fbx6_reg|u_logic/Vlkpw6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r8_o[12] ,\u_logic/vis_r12_o[22] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[12] ,\u_logic/vis_r10_o[22] }),
.mi({\u_logic/Zuliu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u1261_o ,\u_logic/_al_u812_o }),
.q({\u_logic/vis_r11_o[22] ,\u_logic/vis_r11_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(17301)
// ../rtl/topmodule/cortexm0ds_logic.v(18272)
// ../rtl/topmodule/cortexm0ds_logic.v(19967)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*(D@A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T2dbx6_reg|u_logic/Rucax6_reg (
.a({\u_logic/_al_u2571_o ,\u_logic/Dw1iu6 }),
.b({\u_logic/_al_u2587_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/Elgax6 ,\u_logic/Kxeax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Jieax6 ,\u_logic/N61qw6 }),
.mi({\u_logic/Y84iu6 ,\u_logic/N64iu6 }),
.f({\u_logic/_al_u3581_o ,\u_logic/_al_u3190_o }),
.q({\u_logic/T2dbx6 ,\u_logic/Rucax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18272)
// ../rtl/topmodule/cortexm0ds_logic.v(17675)
// ../rtl/topmodule/cortexm0ds_logic.v(17287)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T3kpw6_reg|u_logic/Z7tpw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r12_o[5] ,\u_logic/vis_r10_o[5] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[5] ,\u_logic/vis_r9_o[5] }),
.mi({\u_logic/Rkkiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u918_o ,\u_logic/L96ju6 }),
.q({\u_logic/vis_r11_o[5] ,\u_logic/vis_r11_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17675)
// ../rtl/topmodule/cortexm0ds_logic.v(18015)
// ../rtl/topmodule/cortexm0ds_logic.v(17493)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~C*A))"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("(~(D*~B)*~(~C*A))"),
//.LUTG1("(~D*~(C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010011110101),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1100010011110101),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T3opw6_reg|u_logic/L03qw6_reg (
.a({open_n124011,\u_logic/_al_u3002_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/Nrkpw6 ,\u_logic/_al_u128_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Qwpiu6_lutinv ,\u_logic/Gbvpw6 }),
.mi({\u_logic/D2opw6 ,\u_logic/Bx2qw6 }),
.f({\u_logic/_al_u3083_o ,\u_logic/_al_u3142_o }),
.q({\u_logic/T3opw6 ,\u_logic/L03qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18015)
// ../rtl/topmodule/cortexm0ds_logic.v(20189)
// ../rtl/topmodule/cortexm0ds_logic.v(17916)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T40qw6_reg|u_logic/Pejbx6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u1176_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r10_o[28] ,\u_logic/Qiqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[28] ,\u_logic/vis_r9_o[5] }),
.e({open_n124030,\u_logic/vis_r11_o[5] }),
.mi({\u_logic/Hsliu6 ,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u854_o ,\u_logic/_al_u1177_o }),
.q({\u_logic/vis_msp_o[23] ,\u_logic/vis_msp_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(20189)
// ../rtl/topmodule/cortexm0ds_logic.v(17208)
// ../rtl/topmodule/cortexm0ds_logic.v(17412)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(C*D))"),
//.LUT1("~(~B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110011001100),
.INIT_LUT1(16'b1111110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T5mpw6_reg|u_logic/Xiipw6_reg (
.b({\u_logic/_al_u4377_o ,\u_logic/_al_u4382_o }),
.c({HRDATA[1],HRDATA[3]}),
.clk(clk_pad),
.d({\u_logic/Mnbiu6 ,\u_logic/Mnbiu6 }),
.q({\u_logic/T5mpw6 ,\u_logic/Xiipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17208)
// ../rtl/topmodule/cortexm0ds_logic.v(17944)
// ../rtl/topmodule/cortexm0ds_logic.v(18975)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(0*D)*~(C*~A))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(B*~(1*D)*~(C*~A))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101110011),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b1111111101110011),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T5yax6_reg|u_logic/Kn1qw6_reg (
.a({open_n124068,\u_logic/_al_u2620_o }),
.b({\u_logic/_al_u2612_o ,\u_logic/_al_u2622_o }),
.c({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2610_o ,\u_logic/Ok8iu6 }),
.e({open_n124070,\u_logic/vis_pc_o[8] }),
.q({\u_logic/T5yax6 ,\u_logic/Kn1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17944)
// ../rtl/topmodule/cortexm0ds_logic.v(20184)
// ../rtl/topmodule/cortexm0ds_logic.v(18180)
EG_PHY_LSLICE #(
//.LUTF0("~(0@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTF1("(C@(A*~(D*~B)))"),
//.LUTG0("~(1@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG1("(C@(A*~(D*~B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011101111111011),
.INIT_LUTF1(16'b0111100001011010),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0111100001011010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T6aax6_reg|u_logic/J5jbx6_reg (
.a({\u_logic/_al_u3353_o ,\u_logic/_al_u2518_o }),
.b({\u_logic/_al_u3293_o ,\u_logic/Ntkbx6 [8]}),
.c({\u_logic/Qjbbx6 ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/X5bax6 ,\u_logic/vis_pc_o[7] }),
.e({open_n124091,\u_logic/Vlaax6 }),
.mi({\u_logic/D84iu6 ,\u_logic/Ym4iu6 }),
.f({\u_logic/eq1/xor_i0[17]_i1[17]_o_lutinv ,\u_logic/_al_u3428_o }),
.q({\u_logic/T6aax6 ,\u_logic/J5jbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20184)
// ../rtl/topmodule/cortexm0ds_logic.v(17814)
// ../rtl/topmodule/cortexm0ds_logic.v(20233)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(D*~(0*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0100010011111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1111010011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/T6kbx6_reg|u_logic/Chwpw6_reg (
.a({\u_logic/_al_u2490_o ,\u_logic/_al_u2478_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/G2miu6 ,\u_logic/Dbmiu6 }),
.e({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[15] }),
.q({\u_logic/T6kbx6 ,\u_logic/Chwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17814)
// ../rtl/topmodule/cortexm0ds_logic.v(19890)
// ../rtl/topmodule/cortexm0ds_logic.v(19813)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tc9bx6_reg|u_logic/Qkabx6_reg (
.a({\u_logic/_al_u2983_o ,\u_logic/_al_u3020_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Vr1iu6 ,\u_logic/Cs1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Bcgax6 ,\u_logic/J59ax6 }),
.e({\u_logic/Q2gax6 ,\u_logic/Krbax6 }),
.mi({\u_logic/Xi4iu6 ,\u_logic/L54iu6 }),
.f({\u_logic/_al_u2984_o ,\u_logic/_al_u3021_o }),
.q({\u_logic/Tc9bx6 ,\u_logic/Qkabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19890)
// ../rtl/topmodule/cortexm0ds_logic.v(20211)
// ../rtl/topmodule/cortexm0ds_logic.v(18647)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0111001101000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tcjax6_reg|u_logic/Usjbx6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/n4330 ,\u_logic/n4330 }),
.c({HRDATA[16],HRDATA[18]}),
.clk(clk_pad),
.d({\u_logic/Tcjax6 ,\u_logic/Usjbx6 }),
.q({\u_logic/Tcjax6 ,\u_logic/Usjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20211)
// ../rtl/topmodule/cortexm0ds_logic.v(18835)
// ../rtl/topmodule/cortexm0ds_logic.v(18847)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tcrax6_reg|u_logic/Uoqax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r3_o[8] ,\u_logic/vis_r3_o[8] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[8] ,\u_logic/vis_r2_o[8] }),
.mi({\u_logic/X6niu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u359_o ,\u_logic/_al_u556_o }),
.q({\u_logic/vis_r2_o[8] ,\u_logic/vis_r2_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18835)
// ../rtl/topmodule/cortexm0ds_logic.v(17687)
// ../rtl/topmodule/cortexm0ds_logic.v(17879)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tdypw6_reg|u_logic/Vltpw6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/X7now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r2_o[0] ,\u_logic/vis_r5_o[0] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[0] ,\u_logic/vis_r7_o[0] }),
.mi({\u_logic/Uoliu6 ,\u_logic/Qcaiu6 }),
.f({\u_logic/F33pw6 ,\u_logic/_al_u187_o }),
.q({\u_logic/vis_r0_o[1] ,\u_logic/vis_r0_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17687)
// ../rtl/topmodule/cortexm0ds_logic.v(17914)
// ../rtl/topmodule/cortexm0ds_logic.v(17921)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Te0qw6_reg|u_logic/T00qw6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/_al_u1374_o }),
.b({\u_logic/Hhqow6 ,\u_logic/Kyzhu6 }),
.c({\u_logic/vis_r12_o[17] ,\u_logic/_al_u1375_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[17] ,\u_logic/Ahqow6 }),
.e({open_n124196,\u_logic/vis_r14_o[27] }),
.mi({\u_logic/Bamiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/Pqzow6 ,\u_logic/_al_u1376_o }),
.q({\u_logic/vis_msp_o[15] ,\u_logic/vis_msp_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17914)
// ../rtl/topmodule/cortexm0ds_logic.v(17923)
// ../rtl/topmodule/cortexm0ds_logic.v(20011)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tffbx6_reg|u_logic/Ti0qw6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Ljqow6 }),
.b({\u_logic/Qiqow6 ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r8_o[21] ,\u_logic/vis_r11_o[16] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[21] ,\u_logic/vis_r8_o[16] }),
.mi({\u_logic/Zuliu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u1324_o ,\u_logic/_al_u1410_o }),
.q({\u_logic/vis_msp_o[20] ,\u_logic/vis_msp_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(17923)
// ../rtl/topmodule/cortexm0ds_logic.v(17917)
// ../rtl/topmodule/cortexm0ds_logic.v(17922)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tg0qw6_reg|u_logic/T60qw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1346_o }),
.b({\u_logic/_al_u740_o ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r14_o[20] ,\u_logic/Gkqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[18] ,\u_logic/vis_r11_o[24] }),
.e({open_n124227,\u_logic/vis_r8_o[24] }),
.mi({\u_logic/Admiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u796_o ,\u_logic/_al_u1347_o }),
.q({\u_logic/vis_msp_o[14] ,\u_logic/vis_msp_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17917)
// ../rtl/topmodule/cortexm0ds_logic.v(19116)
// ../rtl/topmodule/cortexm0ds_logic.v(19104)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Tgzax6_reg|u_logic/Vkzax6_reg (
.c({\u_logic/V73bx6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/Usipw6 ,\u_logic/S1fiu6 }),
.mi({HWDATA[31],HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4163_o ,\u_logic/n1113 }),
.q({\u_logic/Tgzax6 ,\u_logic/Vkzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19116)
// ../rtl/topmodule/cortexm0ds_logic.v(19966)
// ../rtl/topmodule/cortexm0ds_logic.v(19951)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Thcbx6_reg|u_logic/W0dbx6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u3610_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/_al_u3612_o }),
.c({\u_logic/Facbx6 ,\u_logic/_al_u3620_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Wfcbx6 ,\u_logic/_al_u3627_o }),
.e({open_n124261,\u_logic/Widax6 }),
.mi({\u_logic/R84iu6 ,\u_logic/Y84iu6 }),
.f({\u_logic/Ulviu6 ,\u_logic/_al_u3628_o }),
.q({\u_logic/Thcbx6 ,\u_logic/W0dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19966)
// ../rtl/topmodule/cortexm0ds_logic.v(17302)
// ../rtl/topmodule/cortexm0ds_logic.v(20012)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Thfbx6_reg|u_logic/Vnkpw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Sjqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r8_o[26] ,\u_logic/vis_r9_o[16] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[26] ,\u_logic/vis_r10_o[16] }),
.mi({\u_logic/Zuliu6 ,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u841_o ,\u_logic/_al_u1409_o }),
.q({\u_logic/vis_psp_o[20] ,\u_logic/vis_psp_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(17302)
// ../rtl/topmodule/cortexm0ds_logic.v(20097)
// ../rtl/topmodule/cortexm0ds_logic.v(20013)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tjfbx6_reg|u_logic/Zvgbx6_reg (
.c({\u_logic/Ojebx6 ,\u_logic/Urgbx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/P74iu6 ,\u_logic/W74iu6 }),
.q({\u_logic/Tjfbx6 ,\u_logic/Zvgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20097)
// ../rtl/topmodule/cortexm0ds_logic.v(17919)
// ../rtl/topmodule/cortexm0ds_logic.v(17924)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tk0qw6_reg|u_logic/Ta0qw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u768_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r12_o[26] ,\u_logic/_al_u740_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[26] ,\u_logic/vis_psp_o[14] }),
.e({open_n124316,\u_logic/vis_msp_o[14] }),
.mi({\u_logic/Bomiu6 ,\u_logic/D4miu6 }),
.f({\u_logic/_al_u840_o ,\u_logic/_al_u769_o }),
.q({\u_logic/vis_msp_o[10] ,\u_logic/vis_msp_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17919)
// ../rtl/topmodule/cortexm0ds_logic.v(19905)
// ../rtl/topmodule/cortexm0ds_logic.v(20203)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0111000011111000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Tkjbx6_reg|u_logic/L1bbx6_reg (
.a({HWDATA[9],HWDATA[2]}),
.b({\u_logic/O59iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Tkjbx6 ,\u_logic/L1bbx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.q({\u_logic/Tkjbx6 ,\u_logic/L1bbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19905)
// ../rtl/topmodule/cortexm0ds_logic.v(19632)
// ../rtl/topmodule/cortexm0ds_logic.v(19638)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(C*~(B*D))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Tl4bx6_reg|u_logic/Uj4bx6_reg (
.a({open_n124351,\u_logic/vis_ipsr_o[2] }),
.b({\u_logic/Ajgiu6 ,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/Zdtpw6 ,\u_logic/vis_ipsr_o[3] }),
.ce(\u_logic/Mpgiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1061_o ,\u_logic/vis_ipsr_o[5] }),
.mi({HWDATA[30],HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u1942_o ,\u_logic/_al_u1891_o }),
.q({\u_logic/Tl4bx6 ,\u_logic/Uj4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19632)
// ../rtl/topmodule/cortexm0ds_logic.v(17918)
// ../rtl/topmodule/cortexm0ds_logic.v(17925)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tm0qw6_reg|u_logic/T80qw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u944_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_r12_o[24] ,\u_logic/_al_u739_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[24] ,\u_logic/vis_r12_o[11] }),
.e({open_n124365,\u_logic/vis_msp_o[9] }),
.mi({\u_logic/Hymiu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u826_o ,\u_logic/_al_u945_o }),
.q({\u_logic/vis_msp_o[8] ,\u_logic/vis_msp_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17918)
// ../rtl/topmodule/cortexm0ds_logic.v(17645)
// ../rtl/topmodule/cortexm0ds_logic.v(20084)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Tngbx6_reg|u_logic/Vbspw6_reg (
.a({\u_logic/_al_u4412_o ,\u_logic/_al_u3219_o }),
.b({\u_logic/_al_u4413_o ,\u_logic/S1fiu6 }),
.c({\u_logic/G2fiu6 ,\u_logic/Q0fiu6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/K65bx6 ,\u_logic/R1abx6 }),
.e({open_n124382,\u_logic/V5abx6 }),
.mi({HWDATA[22],HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4414_o ,\u_logic/_al_u3220_o }),
.q({\u_logic/Tngbx6 ,\u_logic/Vbspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17645)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tu0qw6_reg (
.a({open_n124398,\u_logic/_al_u553_o }),
.b({open_n124399,\u_logic/_al_u554_o }),
.c({open_n124400,\u_logic/_al_u555_o }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({open_n124401,\u_logic/_al_u556_o }),
.mi({open_n124412,\u_logic/X6niu6 }),
.f({open_n124414,\u_logic/Lvzhu6 }),
.q({open_n124418,\u_logic/vis_r0_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17929)
// ../rtl/topmodule/cortexm0ds_logic.v(17927)
// ../rtl/topmodule/cortexm0ds_logic.v(17226)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("~(~A*~(0*D)*~(C*~B))"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("~(~A*~(1*D)*~(C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b1011101010111010),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b1111111110111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Tyipw6_reg|u_logic/Rq0qw6_reg (
.a({\u_logic/_al_u1260_o ,\u_logic/_al_u1141_o }),
.b({\u_logic/_al_u1266_o ,\u_logic/Cz7ju6 }),
.c({\u_logic/_al_u1150_o ,\u_logic/_al_u1150_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/X44iu6 ,\u_logic/Pl4iu6 }),
.e({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({HWDATA[12],HWDATA[8]}),
.q({\u_logic/Tyipw6 ,\u_logic/Rq0qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17927)
// ../rtl/topmodule/cortexm0ds_logic.v(18830)
// ../rtl/topmodule/cortexm0ds_logic.v(18841)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U0rax6_reg|u_logic/Veqax6_reg (
.a({\u_logic/_al_u287_o ,\u_logic/Panow6_lutinv }),
.b({\u_logic/_al_u288_o ,\u_logic/E8now6_lutinv }),
.c({\u_logic/_al_u289_o ,\u_logic/vis_r3_o[12] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u290_o ,\u_logic/vis_r4_o[12] }),
.mi({\u_logic/Admiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/H70iu6 ,\u_logic/_al_u200_o }),
.q({\u_logic/vis_r2_o[16] ,\u_logic/vis_r2_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18830)
// ../rtl/topmodule/cortexm0ds_logic.v(19786)
// ../rtl/topmodule/cortexm0ds_logic.v(18842)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U2rax6_reg|u_logic/Zv7bx6_reg (
.a({\u_logic/Vl0pw6 ,\u_logic/_al_u209_o }),
.b({\u_logic/_al_u482_o ,\u_logic/_al_u210_o }),
.c({\u_logic/Sn0pw6 ,\u_logic/_al_u211_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u484_o ,\u_logic/_al_u212_o }),
.mi({\u_logic/Rimiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/E20iu6 ,\u_logic/Ua0iu6 }),
.q({\u_logic/vis_r2_o[14] ,\u_logic/vis_r2_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19786)
// ../rtl/topmodule/cortexm0ds_logic.v(19188)
// ../rtl/topmodule/cortexm0ds_logic.v(19278)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/U31bx6_reg|u_logic/E90bx6_reg (
.a({open_n124469,HWDATA[12]}),
.b({\u_logic/_al_u1061_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1887_o ,\u_logic/E90bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1885_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/J5phu6 ,open_n124483}),
.q({\u_logic/U31bx6 ,\u_logic/E90bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19188)
// ../rtl/topmodule/cortexm0ds_logic.v(18831)
// ../rtl/topmodule/cortexm0ds_logic.v(18843)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U4rax6_reg|u_logic/Vgqax6_reg (
.a({\u_logic/E8now6_lutinv ,\u_logic/E8now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r7_o[21] ,\u_logic/vis_r4_o[24] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[21] ,\u_logic/vis_r5_o[24] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u258_o ,\u_logic/O16pw6 }),
.q({\u_logic/vis_r2_o[13] ,\u_logic/vis_r2_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18831)
// ../rtl/topmodule/cortexm0ds_logic.v(18836)
// ../rtl/topmodule/cortexm0ds_logic.v(18844)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U6rax6_reg|u_logic/Uqqax6_reg (
.a({\u_logic/_al_u197_o ,\u_logic/_al_u257_o }),
.b({\u_logic/_al_u198_o ,\u_logic/_al_u258_o }),
.c({\u_logic/Ig9pw6 ,\u_logic/_al_u259_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u200_o ,\u_logic/_al_u260_o }),
.mi({\u_logic/Bomiu6 ,\u_logic/Fyliu6 }),
.f({\u_logic/Ib0iu6 ,\u_logic/Q80iu6 }),
.q({\u_logic/vis_r2_o[12] ,\u_logic/vis_r2_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18836)
// ../rtl/topmodule/cortexm0ds_logic.v(19818)
// ../rtl/topmodule/cortexm0ds_logic.v(18279)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U7dax6_reg|u_logic/Zl9bx6_reg (
.c({\u_logic/O1mpw6 ,\u_logic/Q89bx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Gk4iu6 ,\u_logic/Xi4iu6 }),
.q({\u_logic/U7dax6 ,\u_logic/Zl9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19818)
// ../rtl/topmodule/cortexm0ds_logic.v(19768)
// ../rtl/topmodule/cortexm0ds_logic.v(18845)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/U8rax6_reg|u_logic/C67bx6_reg (
.a({\u_logic/_al_u371_o ,\u_logic/Voqow6 }),
.b({\u_logic/_al_u372_o ,\u_logic/Fnqow6 }),
.c({\u_logic/_al_u373_o ,\u_logic/vis_r1_o[11] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u374_o ,\u_logic/vis_r5_o[11] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Vrmiu6 }),
.f({\u_logic/Wb0iu6 ,\u_logic/_al_u446_o }),
.q({\u_logic/vis_r2_o[10] ,\u_logic/vis_r2_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19768)
// ../rtl/topmodule/cortexm0ds_logic.v(18824)
// ../rtl/topmodule/cortexm0ds_logic.v(18846)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uarax6_reg|u_logic/A3qax6_reg (
.a({\u_logic/_al_u565_o ,\u_logic/N9now6_lutinv }),
.b({\u_logic/_al_u566_o ,\u_logic/V6now6_lutinv }),
.c({\u_logic/V3xow6 ,\u_logic/vis_r2_o[31] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u568_o ,\u_logic/vis_r1_o[31] }),
.mi({\u_logic/K3niu6 ,\u_logic/D39iu6 }),
.f({\u_logic/Evzhu6 ,\u_logic/_al_u330_o }),
.q({\u_logic/vis_r2_o[9] ,\u_logic/vis_r2_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18824)
// ../rtl/topmodule/cortexm0ds_logic.v(19971)
// ../rtl/topmodule/cortexm0ds_logic.v(19931)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*~(D*A))"),
//.LUTF1("~(B*~(C*D))"),
//.LUTG0("~(C*~B*~(D*A))"),
//.LUTG1("~(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111001111),
.INIT_LUTF1(16'b1111001100110011),
.INIT_LUTG0(16'b1110111111001111),
.INIT_LUTG1(16'b1111001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ufbbx6_reg|u_logic/Kadbx6_reg (
.a({open_n124571,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u993_o ,\u_logic/_al_u983_o }),
.c({\u_logic/Pdbbx6 ,\u_logic/Xd2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vk1iu6 ,\u_logic/F8dbx6 }),
.q({\u_logic/Ufbbx6 ,\u_logic/Kadbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19971)
// ../rtl/topmodule/cortexm0ds_logic.v(18240)
// ../rtl/topmodule/cortexm0ds_logic.v(17986)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*C)*~(0*~(B*A)))"),
//.LUTF1("~(~C*~B*~D)"),
//.LUTG0("~(~(D*C)*~(1*~(B*A)))"),
//.LUTG1("~(~C*~B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111111111111100),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1111111111111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Uh2qw6_reg|u_logic/Xnbax6_reg (
.a({open_n124595,\u_logic/Scbiu6 }),
.b({\u_logic/_al_u2651_o ,\u_logic/O34iu6 }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u394_o }),
.clk(clk_pad),
.d({\u_logic/LOCKUP ,\u_logic/Vzupw6 }),
.e({open_n124597,\u_logic/Xnbax6 }),
.sr(RSTn_pad),
.q({\u_logic/Uh2qw6 ,\u_logic/Xnbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18240)
// ../rtl/topmodule/cortexm0ds_logic.v(19128)
// ../rtl/topmodule/cortexm0ds_logic.v(19110)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Uizax6_reg|u_logic/Xozax6_reg (
.a({\u_logic/Tzdiu6 ,\u_logic/Fe2bx6 }),
.b({\u_logic/C0fiu6 ,\u_logic/Li2bx6 }),
.c({\u_logic/Sn4bx6 ,\u_logic/Mp0bx6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/Yqzax6 ,\u_logic/Nr0bx6 }),
.mi({HWDATA[30],HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3214_o ,\u_logic/_al_u4137_o }),
.q({\u_logic/Uizax6 ,\u_logic/Xozax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19128)
// ../rtl/topmodule/cortexm0ds_logic.v(17709)
// ../rtl/topmodule/cortexm0ds_logic.v(17658)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*~B))"),
//.LUT1("~(D*~(C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111111),
.INIT_LUT1(16'b0011000011111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ujspw6_reg|u_logic/Yjupw6_reg (
.b({\u_logic/_al_u1414_o ,\u_logic/_al_u1297_o }),
.c({\u_logic/Gdqow6 ,\u_logic/Gdqow6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u1408_o ,\u_logic/_al_u1292_o }),
.f({HWDATA[16],HWDATA[17]}),
.q({\u_logic/Ujspw6 ,\u_logic/Yjupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17709)
// ../rtl/topmodule/cortexm0ds_logic.v(17625)
// ../rtl/topmodule/cortexm0ds_logic.v(18705)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUTF1("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUTG0("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
//.LUTG1("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0010001000100010),
.INIT_LUTG0(16'b0111011101111111),
.INIT_LUTG1(16'b0111011101111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Umkax6_reg|u_logic/Fkrpw6_reg (
.a({\u_logic/_al_u1972_o ,\u_logic/_al_u1972_o }),
.b({\u_logic/_al_u1977_o ,\u_logic/_al_u1985_o }),
.c({\u_logic/_al_u721_o ,\u_logic/Dk7ow6 }),
.clk(clk_pad),
.d({\u_logic/Dk7ow6 ,\u_logic/_al_u720_o }),
.e({\u_logic/Umkax6 ,\u_logic/Fkrpw6 }),
.q({\u_logic/Umkax6 ,\u_logic/Fkrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17625)
// ../rtl/topmodule/cortexm0ds_logic.v(17690)
// ../rtl/topmodule/cortexm0ds_logic.v(20209)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("~(D*~(C*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("~(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1100000011111111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1100000011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uojbx6_reg|u_logic/Vrtpw6_reg (
.b({\u_logic/J8eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/L6gpw6 [9],\u_logic/L6gpw6 [10]}),
.clk(clk_pad),
.d({\u_logic/_al_u1546_o ,\u_logic/_al_u1544_o }),
.q({\u_logic/Uojbx6 ,\u_logic/Vrtpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17690)
// ../rtl/topmodule/cortexm0ds_logic.v(19846)
// ../rtl/topmodule/cortexm0ds_logic.v(19650)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Up4bx6_reg|u_logic/Pz9bx6_reg (
.a({\u_logic/Hqgiu6 ,\u_logic/I3fiu6 }),
.b({\u_logic/U2fiu6 ,\u_logic/E1fiu6 }),
.c({\u_logic/Elnpw6 ,\u_logic/Lfgbx6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/Sh4bx6 ,\u_logic/Tngbx6 }),
.mi({HWDATA[14],HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2989_o ,\u_logic/_al_u3096_o }),
.q({\u_logic/Up4bx6 ,\u_logic/Pz9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19846)
// ../rtl/topmodule/cortexm0ds_logic.v(19965)
// ../rtl/topmodule/cortexm0ds_logic.v(18319)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ureax6_reg|u_logic/Zycbx6_reg (
.c({\u_logic/Fj8ax6 ,\u_logic/Drcbx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/E54iu6 ,\u_logic/Y84iu6 }),
.q({\u_logic/Ureax6 ,\u_logic/Zycbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19965)
// ../rtl/topmodule/cortexm0ds_logic.v(19940)
// ../rtl/topmodule/cortexm0ds_logic.v(17477)
EG_PHY_LSLICE #(
//.LUTF0("~(D*B*~(C*~A))"),
//.LUTF1("~(B*~(C*~D))"),
//.LUTG0("~(D*B*~(C*~A))"),
//.LUTG1("~(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001111111111),
.INIT_LUTF1(16'b0011001111110011),
.INIT_LUTG0(16'b0111001111111111),
.INIT_LUTG1(16'b0011001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Usnpw6_reg|u_logic/Nwbbx6_reg (
.a({open_n124739,\u_logic/_al_u2581_o }),
.b({\u_logic/_al_u2598_o ,\u_logic/_al_u2582_o }),
.c({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2358_o ,\u_logic/_al_u2584_o }),
.q({\u_logic/Usnpw6 ,\u_logic/Nwbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19940)
// ../rtl/topmodule/cortexm0ds_logic.v(18839)
// ../rtl/topmodule/cortexm0ds_logic.v(18838)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uuqax6_reg|u_logic/Uwqax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r3_o[18] ,\u_logic/vis_r6_o[18] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[18] ,\u_logic/vis_r5_o[18] }),
.mi({\u_logic/D4miu6 ,\u_logic/C7miu6 }),
.f({\u_logic/_al_u235_o ,\u_logic/_al_u234_o }),
.q({\u_logic/vis_r2_o[19] ,\u_logic/vis_r2_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18839)
// ../rtl/topmodule/cortexm0ds_logic.v(18188)
// ../rtl/topmodule/cortexm0ds_logic.v(19805)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C@B)*~(D@A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C@B)*~(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000001001000001),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000001001000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ux8bx6_reg|u_logic/Vlaax6_reg (
.a({\u_logic/E0ihu6 ,\u_logic/Vr1iu6 }),
.b({\u_logic/Gdihu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Egaax6 ,\u_logic/Dncax6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Ftaax6 ,\u_logic/Z2aax6 }),
.mi({\u_logic/Q44iu6 ,\u_logic/Pl4iu6 }),
.f({\u_logic/_al_u3417_o ,\u_logic/_al_u3018_o }),
.q({\u_logic/Ux8bx6 ,\u_logic/Vlaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18188)
// ../rtl/topmodule/cortexm0ds_logic.v(18832)
// ../rtl/topmodule/cortexm0ds_logic.v(18840)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Uyqax6_reg|u_logic/Viqax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r3_o[17] ,\u_logic/vis_r3_o[17] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[17] ,\u_logic/vis_r1_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u230_o ,\u_logic/_al_u500_o }),
.q({\u_logic/vis_r2_o[17] ,\u_logic/vis_r2_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18832)
// ../rtl/topmodule/cortexm0ds_logic.v(18250)
// ../rtl/topmodule/cortexm0ds_logic.v(18251)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V0cax6_reg|u_logic/Yybax6_reg (
.c({\u_logic/Ymwpw6 ,\u_logic/Gwwpw6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Z54iu6 ,\u_logic/N64iu6 }),
.q({\u_logic/V0cax6 ,\u_logic/Yybax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18250)
// ../rtl/topmodule/cortexm0ds_logic.v(18907)
// ../rtl/topmodule/cortexm0ds_logic.v(18915)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V3vax6_reg|u_logic/Wnuax6_reg (
.a({\u_logic/E8now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r7_o[17] ,\u_logic/vis_r2_o[17] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[17] ,\u_logic/vis_r4_o[17] }),
.mi({\u_logic/Bamiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u229_o ,\u_logic/_al_u499_o }),
.q({\u_logic/vis_r4_o[17] ,\u_logic/vis_r4_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18907)
// ../rtl/topmodule/cortexm0ds_logic.v(19887)
// ../rtl/topmodule/cortexm0ds_logic.v(18023)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*C))"),
//.LUTF1("~(B*~A*~(D*C))"),
//.LUTG0("~(B*~A*~(D*C))"),
//.LUTG1("~(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101110111011),
.INIT_LUTF1(16'b1111101110111011),
.INIT_LUTG0(16'b1111101110111011),
.INIT_LUTG1(16'b1111101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V53qw6_reg|u_logic/Ceabx6_reg (
.a({\u_logic/_al_u3509_o ,\u_logic/_al_u3246_o }),
.b({\u_logic/_al_u3510_o ,\u_logic/_al_u3247_o }),
.c({\u_logic/Qwpiu6_lutinv ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Tb3qw6 ,\u_logic/Bf3qw6 }),
.q({\u_logic/V53qw6 ,\u_logic/Ceabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19887)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(B)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V5vax6_reg (
.a({open_n124870,\u_logic/Je8pw6 }),
.b({open_n124871,\u_logic/_al_u222_o }),
.c({open_n124872,\u_logic/_al_u223_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n124873,\u_logic/_al_u224_o }),
.mi({open_n124877,\u_logic/Admiu6 }),
.f({open_n124890,\u_logic/Ga0iu6 }),
.q({open_n124894,\u_logic/vis_r4_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18916)
// ../rtl/topmodule/cortexm0ds_logic.v(18910)
// ../rtl/topmodule/cortexm0ds_logic.v(18917)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V7vax6_reg|u_logic/Vtuax6_reg (
.a({\u_logic/_al_u541_o ,\u_logic/Voqow6 }),
.b({\u_logic/_al_u542_o ,\u_logic/Kmqow6 }),
.c({\u_logic/_al_u543_o ,\u_logic/vis_r6_o[8] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u544_o ,\u_logic/vis_r1_o[8] }),
.mi({\u_logic/Rimiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/Mzzhu6 ,\u_logic/_al_u555_o }),
.q({\u_logic/vis_r4_o[14] ,\u_logic/vis_r4_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(18910)
// ../rtl/topmodule/cortexm0ds_logic.v(18906)
// ../rtl/topmodule/cortexm0ds_logic.v(18918)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/V9vax6_reg|u_logic/Wluax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r0_o[21] ,\u_logic/vis_r3_o[24] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[21] ,\u_logic/vis_r2_o[24] }),
.mi({\u_logic/Jlmiu6 ,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u257_o ,\u_logic/_al_u550_o }),
.q({\u_logic/vis_r4_o[13] ,\u_logic/vis_r4_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18906)
// ../rtl/topmodule/cortexm0ds_logic.v(19889)
// ../rtl/topmodule/cortexm0ds_logic.v(18090)
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*C*~B*A)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(1*~D*C*~B*A)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Va7ax6_reg|u_logic/Liabx6_reg (
.a({open_n124931,\u_logic/_al_u403_o }),
.b({\u_logic/_al_u2514_o ,\u_logic/D2rpw6 }),
.c({\u_logic/Q4dbx6 ,\u_logic/Dg2qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/n5754 ,\u_logic/Drcbx6 }),
.e({open_n124932,\u_logic/Gwwpw6 }),
.mi({\u_logic/E97ax6 ,\u_logic/Xf8ax6 }),
.f({HADDR[27],\u_logic/_al_u404_o }),
.q({\u_logic/Va7ax6 ,\u_logic/Liabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19889)
// ../rtl/topmodule/cortexm0ds_logic.v(18905)
// ../rtl/topmodule/cortexm0ds_logic.v(18919)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vbvax6_reg|u_logic/Wjuax6_reg (
.a({\u_logic/O16pw6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/V16pw6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/_al_u277_o ,\u_logic/vis_r0_o[12] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u278_o ,\u_logic/vis_r1_o[12] }),
.mi({\u_logic/Bomiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/V70iu6 ,\u_logic/Ig9pw6 }),
.q({\u_logic/vis_r4_o[12] ,\u_logic/vis_r4_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18905)
// ../rtl/topmodule/cortexm0ds_logic.v(19774)
// ../rtl/topmodule/cortexm0ds_logic.v(18920)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vdvax6_reg|u_logic/Ci7bx6_reg (
.a({\u_logic/_al_u499_o ,\u_logic/N9now6_lutinv }),
.b({\u_logic/_al_u500_o ,\u_logic/Panow6_lutinv }),
.c({\u_logic/_al_u501_o ,\u_logic/vis_r1_o[11] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u502_o ,\u_logic/vis_r3_o[11] }),
.mi({\u_logic/Hymiu6 ,\u_logic/Vrmiu6 }),
.f({\u_logic/J10iu6 ,\u_logic/_al_u380_o }),
.q({\u_logic/vis_r4_o[10] ,\u_logic/vis_r4_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19774)
// ../rtl/topmodule/cortexm0ds_logic.v(17940)
// ../rtl/topmodule/cortexm0ds_logic.v(18357)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("~(~C*A*~(D*B))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("~(~C*A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010000110000),
.INIT_LUTF1(16'b1111110111110101),
.INIT_LUTG0(16'b1111111010111010),
.INIT_LUTG1(16'b1111110111110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vefax6_reg|u_logic/Yf1qw6_reg (
.a({\u_logic/_al_u3235_o ,\u_logic/Oa4iu6 }),
.b({\u_logic/Bo1iu6 ,\u_logic/n394 }),
.c({\u_logic/Qwpiu6_lutinv ,\u_logic/Gl1qw6 }),
.clk(clk_pad),
.d({\u_logic/Vn9bx6 ,\u_logic/Yf1qw6 }),
.e({open_n124982,\u_logic/Vrkbx6 [10]}),
.q({\u_logic/Vefax6 ,\u_logic/Yf1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17940)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vfvax6_reg (
.a({open_n125003,\u_logic/_al_u323_o }),
.b({open_n125004,\u_logic/_al_u324_o }),
.c({open_n125005,\u_logic/_al_u325_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n125006,\u_logic/_al_u326_o }),
.mi({open_n125017,\u_logic/K3niu6 }),
.f({open_n125019,\u_logic/U30iu6 }),
.q({open_n125023,\u_logic/vis_r4_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18921)
// ../rtl/topmodule/cortexm0ds_logic.v(17848)
// ../rtl/topmodule/cortexm0ds_logic.v(17657)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(C*~(~B*~(0*~D))))"),
//.LUTF1("~(B*A*~(D*~C))"),
//.LUTG0("~(A*~(C*~(~B*~(1*~D))))"),
//.LUTG1("~(B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010111010101),
.INIT_LUTF1(16'b0111111101110111),
.INIT_LUTG0(16'b1101010111110101),
.INIT_LUTG1(16'b0111111101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vhspw6_reg|u_logic/Iixpw6_reg (
.a({\u_logic/_al_u1481_o ,\u_logic/_al_u719_o }),
.b({\u_logic/_al_u1483_o ,\u_logic/_al_u721_o }),
.c({\u_logic/_al_u401_o ,\u_logic/L45iu6_lutinv }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/Wkipw6 ,\u_logic/_al_u391_o }),
.e({open_n125024,\u_logic/_al_u390_o }),
.q({\u_logic/Vhspw6 ,\u_logic/Iixpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17848)
// ../rtl/topmodule/cortexm0ds_logic.v(20254)
// ../rtl/topmodule/cortexm0ds_logic.v(18226)
EG_PHY_LSLICE #(
//.LUTF0("(A*~((0*C)@(D*B)))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(A*~((1*C)@(D*B)))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vibax6_reg|u_logic/Tikbx6_reg (
.a({\u_logic/My0iu6 ,\u_logic/_al_u3456_o }),
.b({\u_logic/n6142_lutinv ,\u_logic/My0iu6 }),
.c({\u_logic/Nvkbx6 [7],\u_logic/J71iu6_lutinv }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/_al_u3296_o ,\u_logic/_al_u3457_o }),
.e({open_n125045,\u_logic/D1aax6 }),
.mi({\u_logic/H34iu6 ,\u_logic/T24iu6 }),
.f({\u_logic/Ufkhu6 ,\u_logic/_al_u3458_o }),
.q({\u_logic/Vibax6 ,\u_logic/Tikbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20254)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vkqax6_reg (
.a({open_n125062,\u_logic/_al_u299_o }),
.b({open_n125063,\u_logic/_al_u300_o }),
.c({open_n125064,\u_logic/_al_u301_o }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({open_n125065,\u_logic/_al_u302_o }),
.mi({open_n125076,\u_logic/P4liu6 }),
.f({open_n125078,\u_logic/_al_u303_o }),
.q({open_n125082,\u_logic/vis_r2_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18833)
// ../rtl/topmodule/cortexm0ds_logic.v(17444)
// ../rtl/topmodule/cortexm0ds_logic.v(17215)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*~C))"),
//.LUTF1("~(C*B*~(D*~A))"),
//.LUTG0("~(B*A*~(D*~C))"),
//.LUTG1("~(C*B*~(D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101110111),
.INIT_LUTF1(16'b0111111100111111),
.INIT_LUTG0(16'b0111111101110111),
.INIT_LUTG1(16'b0111111100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vmipw6_reg|u_logic/Htmpw6_reg (
.a({\u_logic/_al_u1054_o ,\u_logic/_al_u392_o }),
.b({\u_logic/Gpyiu6 ,\u_logic/Gpyiu6 }),
.c({\u_logic/_al_u1056_o ,\u_logic/_al_u401_o }),
.ce(\u_logic/n3178 ),
.clk(clk_pad),
.d({\u_logic/L45iu6_lutinv ,\u_logic/Jckax6 }),
.q({\u_logic/Vmipw6 ,\u_logic/Htmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17444)
// ../rtl/topmodule/cortexm0ds_logic.v(18033)
// ../rtl/topmodule/cortexm0ds_logic.v(19819)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0111010000110000),
.INIT_LUTG0(16'b1111101111101010),
.INIT_LUTG1(16'b1111111010111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vn9bx6_reg|u_logic/Bf3qw6_reg (
.a({\u_logic/Oa4iu6 ,\u_logic/Oa4iu6 }),
.b({\u_logic/n394 ,\u_logic/n394 }),
.c({\u_logic/Q89bx6 ,\u_logic/Bf3qw6 }),
.clk(clk_pad),
.d({\u_logic/Vn9bx6 ,\u_logic/T3opw6 }),
.e(\u_logic/Vrkbx6 [7:6]),
.q({\u_logic/Vn9bx6 ,\u_logic/Bf3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18033)
// ../rtl/topmodule/cortexm0ds_logic.v(17909)
// ../rtl/topmodule/cortexm0ds_logic.v(17910)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vszpw6_reg|u_logic/Wqzpw6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Ahqow6 }),
.b({\u_logic/Hhqow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/vis_r12_o[29] ,\u_logic/vis_r12_o[3] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[29] ,\u_logic/vis_r14_o[3] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1405_o ,\u_logic/J62pw6 }),
.q({\u_logic/vis_msp_o[4] ,\u_logic/vis_msp_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17909)
// ../rtl/topmodule/cortexm0ds_logic.v(17641)
// ../rtl/topmodule/cortexm0ds_logic.v(17224)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vuipw6_reg|u_logic/Y5spw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/vis_r11_o[31] ,\u_logic/vis_r11_o[3] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[31] ,\u_logic/vis_r14_o[3] }),
.mi({\u_logic/Ef8iu6 ,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u890_o ,\u_logic/_al_u909_o }),
.q({\u_logic/vis_r11_o[4] ,\u_logic/vis_r11_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17641)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vxuax6_reg (
.a({open_n125158,\u_logic/_al_u523_o }),
.b({open_n125159,\u_logic/_al_u524_o }),
.c({open_n125160,\u_logic/_al_u525_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n125161,\u_logic/_al_u526_o }),
.mi({open_n125172,\u_logic/E1miu6 }),
.f({open_n125174,\u_logic/H00iu6 }),
.q({open_n125178,\u_logic/vis_r4_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18912)
// ../rtl/topmodule/cortexm0ds_logic.v(19721)
// ../rtl/topmodule/cortexm0ds_logic.v(18971)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vxxax6_reg|u_logic/No5bx6_reg (
.a({\u_logic/_al_u601_o ,\u_logic/_al_u305_o }),
.b({\u_logic/_al_u602_o ,\u_logic/_al_u306_o }),
.c({\u_logic/_al_u603_o ,\u_logic/_al_u307_o }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u604_o ,\u_logic/_al_u308_o }),
.mi({\u_logic/K39iu6 ,\u_logic/P4liu6 }),
.f({\u_logic/Wxzhu6 ,\u_logic/M60iu6 }),
.q({\u_logic/vis_r3_o[30] ,\u_logic/vis_r3_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(19721)
// ../rtl/topmodule/cortexm0ds_logic.v(20005)
// ../rtl/topmodule/cortexm0ds_logic.v(18913)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vzuax6_reg|u_logic/T3fbx6_reg (
.a({\u_logic/_al_u263_o ,\u_logic/Panow6_lutinv }),
.b({\u_logic/_al_u264_o ,\u_logic/E8now6_lutinv }),
.c({\u_logic/_al_u265_o ,\u_logic/vis_r4_o[22] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u266_o ,\u_logic/vis_r3_o[22] }),
.mi({\u_logic/D4miu6 ,\u_logic/Zuliu6 }),
.f({\u_logic/J80iu6 ,\u_logic/_al_u263_o }),
.q({\u_logic/vis_r4_o[19] ,\u_logic/vis_r4_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20005)
// ../rtl/topmodule/cortexm0ds_logic.v(19761)
// ../rtl/topmodule/cortexm0ds_logic.v(18972)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Vzxax6_reg|u_logic/Cs6bx6_reg (
.a({\u_logic/Invow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/_al_u596_o ,\u_logic/X7now6_lutinv }),
.c({\u_logic/Fpvow6 ,\u_logic/vis_r6_o[31] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u598_o ,\u_logic/vis_r5_o[31] }),
.mi({\u_logic/D39iu6 ,\u_logic/X6niu6 }),
.f({\u_logic/Bxzhu6 ,\u_logic/_al_u329_o }),
.q({\u_logic/vis_r3_o[31] ,\u_logic/vis_r3_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(19761)
// ../rtl/topmodule/cortexm0ds_logic.v(18680)
// ../rtl/topmodule/cortexm0ds_logic.v(18629)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("~(B*A*~(D*C))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b1111011101110111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1111011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/W4jax6_reg|u_logic/P0kax6_reg (
.a({\u_logic/E6hiu6 ,\u_logic/_al_u2903_o }),
.b({\u_logic/Q5hiu6 ,\u_logic/_al_u2904_o }),
.c({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({HRDATA[6],HRDATA[8]}),
.sr(cpuresetn),
.q({\u_logic/W4jax6 ,\u_logic/P0kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18680)
// ../rtl/topmodule/cortexm0ds_logic.v(17720)
// ../rtl/topmodule/cortexm0ds_logic.v(17861)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(~0*~(C*~(~B*~A))))"),
//.LUTF1("~(0*~(~D*~(C*~(~B*~A))))"),
//.LUTG0("~(D*~(~1*~(C*~(~B*~A))))"),
//.LUTG1("~(1*~(~D*~(C*~(~B*~A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001111111111111),
.INIT_LUTF1(16'b1111111111111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0000000000011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/W5ypw6_reg|u_logic/Ztupw6_reg (
.a({\u_logic/_al_u3985_o ,\u_logic/_al_u3985_o }),
.b({\u_logic/_al_u3992_o ,\u_logic/_al_u3992_o }),
.c({\u_logic/_al_u3998_o ,\u_logic/_al_u3998_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2971_o ,\u_logic/_al_u4005_o }),
.e({\u_logic/_al_u4002_o ,\u_logic/_al_u2971_o }),
.sr(cpuresetn),
.q({\u_logic/W5ypw6 ,\u_logic/Ztupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17720)
// ../rtl/topmodule/cortexm0ds_logic.v(18008)
// ../rtl/topmodule/cortexm0ds_logic.v(17187)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W6ipw6_reg|u_logic/Bx2qw6_reg (
.a({\u_logic/_al_u1118_o ,open_n125267}),
.b({\u_logic/_al_u1119_o ,\u_logic/_al_u1030_o }),
.c({\u_logic/Xl1iu6_lutinv ,\u_logic/Xu2qw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/W6ipw6 ,\u_logic/Vk1iu6 }),
.q({\u_logic/W6ipw6 ,\u_logic/Bx2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18008)
// ../rtl/topmodule/cortexm0ds_logic.v(18763)
// ../rtl/topmodule/cortexm0ds_logic.v(18754)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*C*B*~A)"),
//.LUTF1("~(C*B*~D)"),
//.LUTG0("~(~D*C*B*~A)"),
//.LUTG1("~(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110111111),
.INIT_LUTF1(16'b1111111100111111),
.INIT_LUTG0(16'b1111111110111111),
.INIT_LUTG1(16'b1111111100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/W7max6_reg|u_logic/Wpmax6_reg (
.a({open_n125287,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u4535_o ,\u_logic/_al_u4566_o }),
.c({\u_logic/Azliu6 ,\u_logic/_al_u3706_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u4454_o ,\u_logic/I98ju6_lutinv }),
.f({\u_logic/Fyliu6 ,\u_logic/Hymiu6 }),
.q({\u_logic/vis_r10_o[21] ,\u_logic/vis_r10_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18763)
// ../rtl/topmodule/cortexm0ds_logic.v(18760)
// ../rtl/topmodule/cortexm0ds_logic.v(18756)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("~(C*B*~D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("~(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1111111100111111),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1111111100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wbmax6_reg|u_logic/Wjmax6_reg (
.b({\u_logic/R4miu6 ,\u_logic/_al_u4593_o }),
.c({\u_logic/Y4miu6 ,\u_logic/_al_u3771_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u4454_o ,\u_logic/_al_u4556_o }),
.f({\u_logic/D4miu6 ,\u_logic/Rimiu6 }),
.q({\u_logic/vis_r10_o[19] ,\u_logic/vis_r10_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18760)
// ../rtl/topmodule/cortexm0ds_logic.v(18113)
// ../rtl/topmodule/cortexm0ds_logic.v(17201)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Weipw6_reg|u_logic/Sz7ax6_reg (
.a({\u_logic/_al_u589_o ,\u_logic/V6now6_lutinv }),
.b({\u_logic/_al_u590_o ,\u_logic/E8now6_lutinv }),
.c({\u_logic/_al_u591_o ,\u_logic/vis_r2_o[8] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u592_o ,\u_logic/vis_r4_o[8] }),
.mi({\u_logic/K39iu6 ,\u_logic/Rimiu6 }),
.f({\u_logic/Ixzhu6 ,\u_logic/_al_u360_o }),
.q({\u_logic/vis_r1_o[30] ,\u_logic/vis_r1_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18113)
// ../rtl/topmodule/cortexm0ds_logic.v(19772)
// ../rtl/topmodule/cortexm0ds_logic.v(18758)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wfmax6_reg|u_logic/Ce7bx6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u1373_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r12_o[17] ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[17] ,\u_logic/vis_msp_o[25] }),
.e({open_n125344,\u_logic/vis_psp_o[25] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u777_o ,\u_logic/_al_u1374_o }),
.q({\u_logic/vis_r10_o[17] ,\u_logic/vis_r10_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19772)
// ../rtl/topmodule/cortexm0ds_logic.v(17873)
// ../rtl/topmodule/cortexm0ds_logic.v(17210)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("~(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.REG1_REGSET("SET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Wkipw6_reg|u_logic/U9ypw6_reg (
.a({\u_logic/_al_u2874_o ,\u_logic/_al_u2913_o }),
.b({\u_logic/_al_u2876_o ,\u_logic/_al_u2915_o }),
.c({\u_logic/Pp7iu6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({HRDATA[3],HRDATA[15]}),
.sr(cpuresetn),
.q({\u_logic/Wkipw6 ,\u_logic/U9ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17873)
// ../rtl/topmodule/cortexm0ds_logic.v(18922)
// ../rtl/topmodule/cortexm0ds_logic.v(18908)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wpuax6_reg|u_logic/Uhvax6_reg (
.a({\u_logic/R9wow6 ,\u_logic/_al_u359_o }),
.b({\u_logic/_al_u584_o ,\u_logic/_al_u360_o }),
.c({\u_logic/_al_u585_o ,\u_logic/_al_u361_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u586_o ,\u_logic/_al_u362_o }),
.mi({\u_logic/P4liu6 ,\u_logic/X6niu6 }),
.f({\u_logic/Dyzhu6 ,\u_logic/B40iu6 }),
.q({\u_logic/vis_r4_o[29] ,\u_logic/vis_r4_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18922)
// ../rtl/topmodule/cortexm0ds_logic.v(20054)
// ../rtl/topmodule/cortexm0ds_logic.v(19560)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((C*B))*D*~(0)+A*~((C*B))*D*~(0)+~(A)*(C*B)*~(D)*0+~(A)*~((C*B))*D*0+A*~((C*B))*D*0+~(A)*(C*B)*D*0+A*(C*B)*D*0)"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(A)*~((C*B))*D*~(1)+A*~((C*B))*D*~(1)+~(A)*(C*B)*~(D)*1+~(A)*~((C*B))*D*1+A*~((C*B))*D*1+~(A)*(C*B)*D*1+A*(C*B)*D*1)"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1111111101000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Wu3bx6_reg|u_logic/Jdgbx6_reg (
.a({open_n125393,\u_logic/_al_u4057_o }),
.b({\u_logic/U2fiu6 ,\u_logic/C3wpw6 }),
.c({\u_logic/I74bx6 ,\u_logic/U31bx6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/_al_u3212_o ,\u_logic/Wmzax6 }),
.e({open_n125394,\u_logic/Yqzax6 }),
.mi({HWDATA[7],HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3213_o ,\u_logic/Xttow6_lutinv }),
.q({\u_logic/Wu3bx6 ,\u_logic/Jdgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20054)
// ../rtl/topmodule/cortexm0ds_logic.v(18625)
// ../rtl/topmodule/cortexm0ds_logic.v(18624)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTF1("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG0("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG1("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1110111111101100),
.INIT_LUTG1(16'b1110111111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wwiax6_reg|u_logic/Wyiax6_reg (
.a({\u_logic/_al_u4392_o ,\u_logic/_al_u4392_o }),
.b({\u_logic/_al_u4401_o ,\u_logic/_al_u4403_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.e({\u_logic/Xlfpw6 [5],\u_logic/Xlfpw6 [6]}),
.q({\u_logic/Wwiax6 ,\u_logic/Wyiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18625)
// ../rtl/topmodule/cortexm0ds_logic.v(19963)
// ../rtl/topmodule/cortexm0ds_logic.v(20098)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D@C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000000001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Wxgbx6_reg|u_logic/Fvcbx6_reg (
.a({\u_logic/_al_u3360_o ,\u_logic/_al_u4288_o }),
.b({\u_logic/_al_u3362_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/Zelhu6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Itcbx6 ,\u_logic/vis_pc_o[14] }),
.e({open_n125431,\u_logic/Heaax6 }),
.mi({\u_logic/W74iu6 ,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3364_o ,\u_logic/_al_u4289_o }),
.q({\u_logic/Wxgbx6 ,\u_logic/Fvcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19963)
// ../rtl/topmodule/cortexm0ds_logic.v(17429)
// ../rtl/topmodule/cortexm0ds_logic.v(17228)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X2jpw6_reg|u_logic/Mjmpw6_reg (
.a({\u_logic/_al_u1284_o ,\u_logic/Wfwow6 }),
.b({\u_logic/L20pw6 ,\u_logic/Gkqow6 }),
.c({\u_logic/Fgqow6 ,\u_logic/Qiqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[13] ,\u_logic/vis_r8_o[27] }),
.e({open_n125448,\u_logic/vis_r9_o[27] }),
.mi({\u_logic/E1miu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u1286_o ,\u_logic/_al_u1373_o }),
.q({\u_logic/vis_r11_o[20] ,\u_logic/vis_r11_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17429)
// ../rtl/topmodule/cortexm0ds_logic.v(18857)
// ../rtl/topmodule/cortexm0ds_logic.v(17696)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X3upw6_reg|u_logic/Owrax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u761_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r11_o[15] ,\u_logic/_al_u740_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[15] ,\u_logic/vis_msp_o[13] }),
.e({open_n125465,\u_logic/vis_psp_o[13] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/E1miu6 }),
.f({\u_logic/_al_u761_o ,\u_logic/_al_u762_o }),
.q({\u_logic/vis_r8_o[26] ,\u_logic/vis_r8_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18857)
// ../rtl/topmodule/cortexm0ds_logic.v(17478)
// ../rtl/topmodule/cortexm0ds_logic.v(17963)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~B*~A*~(0*C))"),
//.LUTF1("~(B*~A*~(D*C))"),
//.LUTG0("~(~D*~B*~A*~(1*C))"),
//.LUTG1("~(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111101110),
.INIT_LUTF1(16'b1111101110111011),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b1111101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X42qw6_reg|u_logic/Uunpw6_reg (
.a({\u_logic/_al_u3023_o ,\u_logic/_al_u3001_o }),
.b({\u_logic/_al_u3024_o ,\u_logic/_al_u3003_o }),
.c({\u_logic/Bo1iu6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Wc2qw6 ,\u_logic/_al_u3005_o }),
.e({open_n125483,\u_logic/Ydgax6 }),
.q({\u_logic/X42qw6 ,\u_logic/Uunpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17478)
// ../rtl/topmodule/cortexm0ds_logic.v(17708)
// ../rtl/topmodule/cortexm0ds_logic.v(17229)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("~(C*B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1111111100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X4jpw6_reg|u_logic/Yhupw6_reg (
.b({\u_logic/_al_u4523_o ,\u_logic/_al_u4488_o }),
.c({\u_logic/Z1miu6 ,\u_logic/Wamiu6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u4454_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/E1miu6 ,\u_logic/Bamiu6 }),
.q({\u_logic/vis_psp_o[18] ,\u_logic/vis_psp_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(17708)
// ../rtl/topmodule/cortexm0ds_logic.v(20255)
// ../rtl/topmodule/cortexm0ds_logic.v(18219)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~((0*C)@A))"),
//.LUTF1("(0*D*C*B*~A)"),
//.LUTG0("(~(D@B)*~((1*C)@A))"),
//.LUTG1("(1*D*C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010000010001),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X5bax6_reg|u_logic/Pkkbx6_reg (
.a({\u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv ,\u_logic/Ufkhu6 }),
.b({\u_logic/_al_u3305_o ,\u_logic/Kikhu6 }),
.c({\u_logic/_al_u3334_o ,\u_logic/J71iu6_lutinv }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/_al_u3342_o ,\u_logic/Lx9ax6 }),
.e({\u_logic/_al_u3373_o ,\u_logic/N39ax6 }),
.mi({\u_logic/O34iu6 ,\u_logic/T24iu6 }),
.f({\u_logic/_al_u3374_o ,\u_logic/_al_u3305_o }),
.q({\u_logic/X5bax6 ,\u_logic/Pkkbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20255)
// ../rtl/topmodule/cortexm0ds_logic.v(17536)
// ../rtl/topmodule/cortexm0ds_logic.v(17642)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/X7spw6_reg|u_logic/Mdppw6_reg (
.a({\u_logic/_al_u353_o ,\u_logic/Dmqow6 }),
.b({\u_logic/_al_u354_o ,\u_logic/Mnqow6 }),
.c({\u_logic/_al_u355_o ,\u_logic/vis_r2_o[6] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u356_o ,\u_logic/vis_r4_o[6] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/W40iu6 ,\u_logic/_al_u478_o }),
.q({\u_logic/vis_r5_o[6] ,\u_logic/vis_r5_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17536)
// ../rtl/topmodule/cortexm0ds_logic.v(18827)
// ../rtl/topmodule/cortexm0ds_logic.v(18828)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xaqax6_reg|u_logic/Y8qax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r5_o[4] ,\u_logic/vis_r6_o[6] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[4] ,\u_logic/vis_r5_o[6] }),
.mi({\u_logic/Sokiu6 ,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u336_o ,\u_logic/_al_u475_o }),
.q({\u_logic/vis_r2_o[6] ,\u_logic/vis_r2_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18827)
// ../rtl/topmodule/cortexm0ds_logic.v(18245)
// ../rtl/topmodule/cortexm0ds_logic.v(18258)
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D*B))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010000010100000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xdcax6_reg|u_logic/Opbax6_reg (
.a({\u_logic/Vrtiu6 ,\u_logic/_al_u3147_o }),
.b({\u_logic/_al_u4265_o ,\u_logic/St1iu6 }),
.c({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u3148_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Qjbbx6 ,HRDATA[18]}),
.mi({\u_logic/Oh4iu6 ,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u4266_o ,\u_logic/_al_u3149_o }),
.q({\u_logic/Xdcax6 ,\u_logic/Opbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18245)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A)"),
//.LUTG0("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xhuax6_reg (
.a({open_n125588,\u_logic/_al_u365_o }),
.b({open_n125589,\u_logic/_al_u366_o }),
.c({open_n125590,\u_logic/Gfoow6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({open_n125591,\u_logic/_al_u368_o }),
.mi({open_n125595,\u_logic/Drkiu6 }),
.f({open_n125608,\u_logic/I40iu6 }),
.q({open_n125612,\u_logic/vis_r4_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18904)
// ../rtl/topmodule/cortexm0ds_logic.v(17911)
// ../rtl/topmodule/cortexm0ds_logic.v(17908)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xozpw6_reg|u_logic/Uuzpw6_reg (
.a({\u_logic/R50iu6 ,\u_logic/_al_u1178_o }),
.b({\u_logic/_al_u888_o ,\u_logic/Gwzhu6 }),
.c({\u_logic/_al_u889_o ,\u_logic/_al_u1179_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u890_o ,\u_logic/Hhqow6 }),
.e({open_n125613,\u_logic/vis_r12_o[5] }),
.mi({\u_logic/Jgkiu6 ,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u891_o ,\u_logic/_al_u1180_o }),
.q({\u_logic/vis_msp_o[1] ,\u_logic/vis_msp_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17911)
// ../rtl/topmodule/cortexm0ds_logic.v(17660)
// ../rtl/topmodule/cortexm0ds_logic.v(17691)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xttpw6_reg|u_logic/Ynspw6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r6_o[24] ,\u_logic/vis_r7_o[12] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[24] ,\u_logic/vis_r2_o[12] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u548_o ,\u_logic/_al_u198_o }),
.q({\u_logic/vis_r0_o[26] ,\u_logic/vis_r0_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17660)
// ../rtl/topmodule/cortexm0ds_logic.v(18621)
// ../rtl/topmodule/cortexm0ds_logic.v(18623)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTF1("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG0("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG1("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1110111111101100),
.INIT_LUTG1(16'b1110111111101100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xuiax6_reg|u_logic/Zqiax6_reg (
.a({\u_logic/_al_u4392_o ,\u_logic/_al_u4392_o }),
.b({\u_logic/_al_u4399_o ,\u_logic/_al_u4395_o }),
.c({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.e({\u_logic/Xlfpw6 [4],\u_logic/Xlfpw6 [2]}),
.q({\u_logic/Xuiax6 ,\u_logic/Zqiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18621)
// ../rtl/topmodule/cortexm0ds_logic.v(18748)
// ../rtl/topmodule/cortexm0ds_logic.v(18749)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xxlax6_reg|u_logic/Xvlax6_reg (
.a({\u_logic/X80iu6 ,\u_logic/_al_u740_o }),
.b({\u_logic/_al_u797_o ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/_al_u798_o ,\u_logic/vis_r8_o[11] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u799_o ,\u_logic/vis_psp_o[9] }),
.mi({\u_logic/Fzkiu6 ,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u800_o ,\u_logic/_al_u944_o }),
.q({\u_logic/vis_r10_o[26] ,\u_logic/vis_r10_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18748)
// ../rtl/topmodule/cortexm0ds_logic.v(19790)
// ../rtl/topmodule/cortexm0ds_logic.v(18750)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Xzlax6_reg|u_logic/Z38bx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Gkqow6 }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r8_o[27] ,\u_logic/vis_r8_o[17] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[27] ,\u_logic/vis_r9_o[17] }),
.mi({\u_logic/X1liu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u848_o ,\u_logic/_al_u1293_o }),
.q({\u_logic/vis_r10_o[27] ,\u_logic/vis_r10_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19790)
// ../rtl/topmodule/cortexm0ds_logic.v(19206)
// ../rtl/topmodule/cortexm0ds_logic.v(20028)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Y0gbx6_reg|u_logic/Hf0bx6_reg (
.a({open_n125693,HWDATA[15]}),
.b({\u_logic/_al_u1895_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u1926_o ,\u_logic/Hf0bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1930_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Zzohu6 ,open_n125707}),
.q({\u_logic/Y0gbx6 ,\u_logic/Hf0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19206)
// ../rtl/topmodule/cortexm0ds_logic.v(19789)
// ../rtl/topmodule/cortexm0ds_logic.v(17705)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ybupw6_reg|u_logic/Z18bx6_reg (
.a({\u_logic/A70iu6 ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/_al_u846_o ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/_al_u847_o ,\u_logic/vis_r12_o[15] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u848_o ,\u_logic/vis_r8_o[15] }),
.mi({\u_logic/Bamiu6 ,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u849_o ,\u_logic/_al_u763_o }),
.q({\u_logic/vis_r9_o[17] ,\u_logic/vis_r9_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19789)
// ../rtl/topmodule/cortexm0ds_logic.v(19896)
// ../rtl/topmodule/cortexm0ds_logic.v(18405)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ydgax6_reg|u_logic/Yvabx6_reg (
.c({\u_logic/Yzqpw6 ,\u_logic/Liabx6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Lm1iu6 ,\u_logic/L54iu6 }),
.q({\u_logic/Ydgax6 ,\u_logic/Yvabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19896)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(B)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yfupw6_reg (
.a({open_n125749,\u_logic/_al_u227_o }),
.b({open_n125750,\u_logic/_al_u228_o }),
.c({open_n125751,\u_logic/_al_u229_o }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({open_n125752,\u_logic/_al_u230_o }),
.mi({open_n125756,\u_logic/Bamiu6 }),
.f({open_n125769,\u_logic/Z90iu6 }),
.q({open_n125773,\u_logic/vis_r5_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17707)
// ../rtl/topmodule/cortexm0ds_logic.v(18178)
// ../rtl/topmodule/cortexm0ds_logic.v(18187)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yjaax6_reg|u_logic/Z2aax6_reg (
.c({\u_logic/C2ypw6 ,\u_logic/Ra2qw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/J44iu6 ,\u_logic/T94iu6 }),
.q({\u_logic/Yjaax6 ,\u_logic/Z2aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18178)
// ../rtl/topmodule/cortexm0ds_logic.v(19939)
// ../rtl/topmodule/cortexm0ds_logic.v(18043)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ym3qw6_reg|u_logic/Yubbx6_reg (
.c({\u_logic/Cfvpw6 ,\u_logic/Lhbbx6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/U64iu6 ,\u_logic/G64iu6 }),
.q({\u_logic/Ym3qw6 ,\u_logic/Yubbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19939)
// ../rtl/topmodule/cortexm0ds_logic.v(19961)
// ../rtl/topmodule/cortexm0ds_logic.v(17817)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000110011),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ymwpw6_reg|u_logic/Drcbx6_reg (
.a({HADDR[24],open_n125818}),
.b({HADDR[23],\u_logic/_al_u2506_o }),
.c({HADDR[22],\u_logic/H7hbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({HADDR[21],\u_logic/n5754 }),
.mi({\u_logic/Hlwpw6 ,\u_logic/S2cbx6 }),
.f({_al_u291_o,HADDR[23]}),
.q({\u_logic/Ymwpw6 ,\u_logic/Drcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19961)
// ../rtl/topmodule/cortexm0ds_logic.v(18270)
// ../rtl/topmodule/cortexm0ds_logic.v(18411)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yogax6_reg|u_logic/Xqcax6_reg (
.c({\u_logic/D2rpw6 ,\u_logic/Jvkpw6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/F94iu6 ,\u_logic/D84iu6 }),
.q({\u_logic/Yogax6 ,\u_logic/Xqcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18270)
// ../rtl/topmodule/cortexm0ds_logic.v(18739)
// ../rtl/topmodule/cortexm0ds_logic.v(18747)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ytlax6_reg|u_logic/Delax6_reg (
.a({\u_logic/_al_u1403_o ,\u_logic/_al_u1184_o }),
.b({\u_logic/Fgqow6 ,\u_logic/Fgqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/Sjqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[27] ,\u_logic/vis_msp_o[4] }),
.e({\u_logic/vis_psp_o[27] ,\u_logic/vis_r10_o[6] }),
.mi({\u_logic/Drkiu6 ,\u_logic/Ef8iu6 }),
.f({\u_logic/_al_u1404_o ,\u_logic/_al_u1185_o }),
.q({\u_logic/vis_r10_o[7] ,\u_logic/vis_r10_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18739)
// ../rtl/topmodule/cortexm0ds_logic.v(17852)
// ../rtl/topmodule/cortexm0ds_logic.v(17663)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Ytspw6_reg|u_logic/Hqxpw6_reg (
.a({\u_logic/_al_u1350_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Fzzhu6 ,\u_logic/_al_u740_o }),
.c({\u_logic/Hhqow6 ,\u_logic/vis_r14_o[27] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[24] ,\u_logic/vis_psp_o[25] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u1351_o ,\u_logic/_al_u845_o }),
.q({\u_logic/vis_r11_o[24] ,\u_logic/vis_r11_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17852)
// ../rtl/topmodule/cortexm0ds_logic.v(17542)
// ../rtl/topmodule/cortexm0ds_logic.v(17664)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yvspw6_reg|u_logic/Lpppw6_reg (
.a({\u_logic/_al_u469_o ,\u_logic/Dmqow6 }),
.b({\u_logic/I31pw6 ,\u_logic/Eqqow6 }),
.c({\u_logic/_al_u471_o ,\u_logic/vis_r7_o[12] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/F51pw6 ,\u_logic/vis_r2_o[12] }),
.mi({\u_logic/Zvkiu6 ,\u_logic/Bomiu6 }),
.f({\u_logic/L20iu6 ,\u_logic/_al_u457_o }),
.q({\u_logic/vis_r5_o[24] ,\u_logic/vis_r5_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17542)
// ../rtl/topmodule/cortexm0ds_logic.v(20095)
// ../rtl/topmodule/cortexm0ds_logic.v(17595)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*~B*A)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(1*D*~C*~B*A)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Yzqpw6_reg|u_logic/Urgbx6_reg (
.a({open_n125897,\u_logic/_al_u405_o }),
.b({\u_logic/_al_u2510_o ,\u_logic/Ra2qw6 }),
.c({\u_logic/Nlcbx6 ,\u_logic/Urgbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/n5754 ,\u_logic/Ymwpw6 }),
.e({open_n125898,\u_logic/Yzqpw6 }),
.mi({\u_logic/Zwnpw6 ,\u_logic/Kadbx6 }),
.f({HADDR[26],\u_logic/_al_u406_o }),
.q({\u_logic/Yzqpw6 ,\u_logic/Urgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20095)
// ../rtl/topmodule/cortexm0ds_logic.v(19986)
// ../rtl/topmodule/cortexm0ds_logic.v(18087)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(D*~(0*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(D*~(1*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0100010011111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1111010011111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z47ax6_reg|u_logic/M2ebx6_reg (
.a({\u_logic/_al_u2542_o ,\u_logic/_al_u2498_o }),
.b({\u_logic/Jl8iu6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Cemiu6 ,\u_logic/Bwliu6 }),
.e({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[20] }),
.q({\u_logic/Z47ax6 ,\u_logic/M2ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19986)
// ../rtl/topmodule/cortexm0ds_logic.v(19554)
// ../rtl/topmodule/cortexm0ds_logic.v(19290)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Z71bx6_reg|u_logic/Us3bx6_reg (
.b({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.c({\u_logic/_al_u2026_o ,\u_logic/Bggiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u2024_o ,\u_logic/_al_u1890_o }),
.sr(cpuresetn),
.f({\u_logic/R2phu6 ,\u_logic/Bxdpw6 }),
.q({\u_logic/Z71bx6 ,\u_logic/Us3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19554)
// ../rtl/topmodule/cortexm0ds_logic.v(17676)
// ../rtl/topmodule/cortexm0ds_logic.v(19793)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Z98bx6_reg|u_logic/Z9tpw6_reg (
.a({\u_logic/_al_u493_o ,\u_logic/_al_u559_o }),
.b({\u_logic/_al_u494_o ,\u_logic/Ecxow6 }),
.c({\u_logic/_al_u495_o ,\u_logic/_al_u561_o }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/P40pw6 ,\u_logic/Xbxow6 }),
.mi({\u_logic/Zfmiu6 ,\u_logic/Hsliu6 }),
.f({\u_logic/X10iu6 ,\u_logic/Yyzhu6 }),
.q({\u_logic/vis_r5_o[15] ,\u_logic/vis_r5_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17676)
// ../rtl/topmodule/cortexm0ds_logic.v(19979)
// ../rtl/topmodule/cortexm0ds_logic.v(19949)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zdcbx6_reg|u_logic/Zodbx6_reg (
.c({\u_logic/A6cbx6 ,\u_logic/Ahdbx6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/R84iu6 ,\u_logic/B74iu6 }),
.q({\u_logic/Zdcbx6 ,\u_logic/Zodbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19979)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*A*~(0*D))"),
//.LUT1("~(C*~B*A*~(1*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111111011111),
.INIT_LUT1(16'b1111111111011111),
.MODE("LOGIC"),
.MSFXMUX("ON"),
.REG0_REGSET("RESET"),
.REG0_SD("FX"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zgfax6_reg (
.a({\u_logic/_al_u1042_o ,\u_logic/_al_u1042_o }),
.b({\u_logic/_al_u983_o ,\u_logic/_al_u983_o }),
.c({\u_logic/_al_u1043_o ,\u_logic/_al_u1043_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.mi({open_n126007,\u_logic/Yzlpw6 }),
.q({open_n126014,\u_logic/Zgfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18358)
// ../rtl/topmodule/cortexm0ds_logic.v(19803)
// ../rtl/topmodule/cortexm0ds_logic.v(19799)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zl8bx6_reg|u_logic/Yt8bx6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1294_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r14_o[17] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[17] ,\u_logic/vis_msp_o[15] }),
.e({open_n126015,\u_logic/vis_psp_o[15] }),
.mi({\u_logic/Zfmiu6 ,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u775_o ,\u_logic/_al_u1295_o }),
.q({\u_logic/vis_psp_o[13] ,\u_logic/vis_psp_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19803)
// ../rtl/topmodule/cortexm0ds_logic.v(18018)
// ../rtl/topmodule/cortexm0ds_logic.v(18126)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("~(~B*~A*~(D*~C))"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("~(~B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b1110111111101110),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1110111111101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/Zm8ax6_reg|u_logic/D43qw6_reg (
.a({\u_logic/_al_u2950_o ,\u_logic/_al_u2950_o }),
.b({\u_logic/_al_u2959_o ,\u_logic/_al_u2955_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Zm8ax6 ,\u_logic/D43qw6 }),
.sr(RSTn_pad),
.q({\u_logic/Zm8ax6 ,\u_logic/D43qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18018)
// ../rtl/topmodule/cortexm0ds_logic.v(18880)
// ../rtl/topmodule/cortexm0ds_logic.v(19800)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.REG1_REGSET("RESET"),
.REG1_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zn8bx6_reg|u_logic/F6tax6_reg (
.a({open_n126054,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u672_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Nybbx6 ,\u_logic/vis_r9_o[11] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u849_o ,\u_logic/vis_r14_o[11] }),
.mi({\u_logic/Vrmiu6 ,\u_logic/X1liu6 }),
.f({\u_logic/_al_u850_o ,\u_logic/_al_u946_o }),
.q({\u_logic/vis_r12_o[11] ,\u_logic/vis_r12_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(18880)
// ../rtl/topmodule/cortexm0ds_logic.v(17857)
// ../rtl/topmodule/cortexm0ds_logic.v(17479)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(D*A))"),
//.LUT1("~(C*~A*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111001111),
.INIT_LUT1(16'b1110111110101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.REG1_REGSET("RESET"),
.REG1_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/Zwnpw6_reg|u_logic/L0ypw6_reg (
.a({\u_logic/_al_u1021_o ,\u_logic/Vk1iu6 }),
.b({\u_logic/Vk1iu6 ,\u_logic/_al_u983_o }),
.c({\u_logic/Ay1iu6 ,\u_logic/Gt2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Uunpw6 ,\u_logic/Gyxpw6 }),
.q({\u_logic/Zwnpw6 ,\u_logic/L0ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17857)
EG_PHY_PAD #(
//.HYSTERESIS("OFF"),
//.LOCATION("R2"),
//.PCICLAMP("OFF"),
//.PULLMODE("PULLUP"),
.IOTYPE("LVCMOS33"),
.MODE("IN"),
.TSMUX("1"))
\u_logic/_al_u0 (
.ipad(SWCLK),
.di(\u_logic/SWCLKTCK_pad )); // ../rtl/topmodule/cortexm0ds_logic.v(79)
// ../rtl/topmodule/cortexm0ds_logic.v(19764)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("(C*~D)"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1007|u_logic/Xx6bx6_reg (
.a({\u_logic/_al_u632_o ,open_n126105}),
.b({\u_logic/_al_u981_o ,open_n126106}),
.c({\u_logic/Kn2qw6 ,\u_logic/Cncbx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Stkpw6 ,\u_logic/D7xiu6_lutinv }),
.mi({open_n126110,\u_logic/Gw6bx6 }),
.f({\u_logic/Oc2iu6 ,\u_logic/_al_u3060_o }),
.q({open_n126126,\u_logic/Xx6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19764)
// ../rtl/topmodule/cortexm0ds_logic.v(17858)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1009|u_logic/C2ypw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/J4cbx6 ,\u_logic/Gw6bx6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kn2qw6 ,\u_logic/L0ypw6 }),
.mi({open_n126130,\u_logic/L0ypw6 }),
.f({\u_logic/Fb2iu6 ,\u_logic/Gt2iu6 }),
.q({open_n126146,\u_logic/C2ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17858)
// ../rtl/topmodule/cortexm0ds_logic.v(18214)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u100|u_logic/H4bax6_reg (
.a({open_n126147,\u_logic/_al_u3517_o }),
.b({open_n126148,\u_logic/Sg7iu6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/Ws4iu6_lutinv }),
.ce(\u_logic/Oe7iu6 ),
.clk(clk_pad),
.d({\u_logic/Eg7iu6 ,\u_logic/R19ax6 }),
.e({open_n126149,\u_logic/X5bax6 }),
.mi({open_n126151,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/n531 ,\u_logic/_al_u3518_o }),
.q({open_n126166,\u_logic/H4bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18214)
// ../rtl/topmodule/cortexm0ds_logic.v(17175)
EG_PHY_LSLICE #(
//.LUTF0("~((0*~C)*~((D*B))*~(A)+(0*~C)*(D*B)*~(A)+~((0*~C))*(D*B)*A+(0*~C)*(D*B)*A)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("~((1*~C)*~((D*B))*~(A)+(1*~C)*(D*B)*~(A)+~((1*~C))*(D*B)*A+(1*~C)*(D*B)*A)"),
//.LUTG1("(D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111011111111111),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0111001011111010),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1012|u_logic/T0ipw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/Yn3iu6_lutinv ,\u_logic/Yn3iu6_lutinv }),
.c({\u_logic/Oulpw6 ,\u_logic/_al_u981_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vplpw6 ,\u_logic/Oulpw6 }),
.e({open_n126168,\u_logic/Zgfax6 }),
.mi({open_n126170,\u_logic/Nyhpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Cl1iu6 ,\u_logic/_al_u1043_o }),
.q({open_n126185,\u_logic/T0ipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17175)
// ../rtl/topmodule/cortexm0ds_logic.v(19972)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*D))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(B*~(C*D))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001100110011),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1111001100110011),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1014|u_logic/Bcdbx6_reg (
.a({\u_logic/_al_u632_o ,open_n126186}),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u1003_o }),
.c({\u_logic/Nfqpw6 ,\u_logic/Qwfbx6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/S2cbx6 ,\u_logic/Vk1iu6 }),
.f({\u_logic/G82iu6 ,open_n126205}),
.q({open_n126209,\u_logic/Bcdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19972)
// ../rtl/topmodule/cortexm0ds_logic.v(17966)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1016|u_logic/T82qw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/Nfqpw6 ,\u_logic/C72qw6 }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Wt3qw6 ,\u_logic/Wt3qw6 }),
.mi({open_n126213,\u_logic/C72qw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/V52iu6 ,\u_logic/P22iu6 }),
.q({open_n126228,\u_logic/T82qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17966)
// ../rtl/topmodule/cortexm0ds_logic.v(19236)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u101|u_logic/Mp0bx6_reg (
.a({\u_logic/Pjyiu6 ,HWDATA[20]}),
.b({\u_logic/R9yax6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/W5ypw6 ,\u_logic/Mp0bx6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/I3fiu6 ,open_n126246}),
.q({open_n126250,\u_logic/Mp0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19236)
// ../rtl/topmodule/cortexm0ds_logic.v(17584)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1032|u_logic/Xvqpw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u981_o ,\u_logic/Cl1iu6 }),
.c({\u_logic/D2opw6 ,\u_logic/A5ipw6 }),
.clk(clk_pad),
.d({\u_logic/Z73qw6 ,\u_logic/L9bbx6 }),
.mi({open_n126262,\u_logic/Utqpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Ed3iu6 ,\u_logic/_al_u1118_o }),
.q({open_n126266,\u_logic/Xvqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17584)
// ../rtl/topmodule/cortexm0ds_logic.v(19956)
EG_PHY_MSLICE #(
//.LUT0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUT1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001111101111),
.INIT_LUT1(16'b0010111000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1036|u_logic/Hpcbx6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/L0ypw6 ,\u_logic/C72qw6 }),
.ce(\u_logic/n332 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Qj1qw6 ,\u_logic/Zwnpw6 }),
.mi({open_n126277,\u_logic/J4cbx6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u1036_o ,\u_logic/S02iu6 }),
.q({open_n126281,\u_logic/Hpcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19956)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1045|u_logic/_al_u1714 (
.a({\u_logic/Ahlpw6 ,\u_logic/Pmlpw6 }),
.b({\u_logic/Pmlpw6 ,\u_logic/Rilpw6 }),
.c({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Yklpw6 ,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1045_o ,\u_logic/_al_u1714_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17333)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(C*~D))"),
//.LUT1("(A*(C@(D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011111100),
.INIT_LUT1(16'b0010100010100000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1047|u_logic/Y8lpw6_reg (
.a({\u_logic/T8yhu6_lutinv ,open_n126302}),
.b({\u_logic/_al_u653_o ,\u_logic/_al_u1218_o }),
.c({\u_logic/Pmlpw6 ,\u_logic/U5yhu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Yklpw6 ,\u_logic/_al_u1215_o }),
.f({\u_logic/_al_u1047_o ,open_n126317}),
.q({open_n126321,\u_logic/Y8lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17333)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1048|u_logic/_al_u1423 (
.a({open_n126322,\u_logic/_al_u616_o }),
.b({open_n126323,\u_logic/Bclpw6 }),
.c({\u_logic/Sdlpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Kalpw6 ,\u_logic/Sdlpw6 }),
.f({\u_logic/Flzhu6_lutinv ,\u_logic/_al_u1423_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1049|u_logic/_al_u632 (
.b({\u_logic/Jflpw6 ,open_n126346}),
.c({\u_logic/Krlpw6 ,\u_logic/U5yhu6 }),
.d({\u_logic/Flzhu6_lutinv ,\u_logic/_al_u631_o }),
.f({\u_logic/W6yhu6_lutinv ,\u_logic/_al_u632_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*C*~(~A*~(D*B)))"),
//.LUT1("(~1*C*~(~A*~(D*B)))"),
.INIT_LUT0(16'b1110000010100000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1050 (
.a({\u_logic/_al_u653_o ,\u_logic/_al_u653_o }),
.b({\u_logic/W6yhu6_lutinv ,\u_logic/W6yhu6_lutinv }),
.c({\u_logic/U5yhu6 ,\u_logic/U5yhu6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.mi({open_n126383,\u_logic/Yklpw6 }),
.fx({open_n126388,\u_logic/_al_u1050_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1052|u_logic/_al_u1693 (
.c({\u_logic/Umkax6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/_al_u721_o ,\u_logic/_al_u1451_o }),
.f({\u_logic/_al_u1052_o ,\u_logic/_al_u1693_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17878)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0111011101111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1053|u_logic/Ubypw6_reg (
.a({open_n126415,\u_logic/_al_u1972_o }),
.b({open_n126416,\u_logic/Itbow6 }),
.c({\u_logic/V6jax6 ,\u_logic/Dk7ow6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1052_o ,\u_logic/_al_u391_o }),
.e({open_n126418,\u_logic/Ubypw6 }),
.f({\u_logic/Eoyiu6_lutinv ,open_n126434}),
.q({open_n126438,\u_logic/Ubypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17878)
// ../rtl/topmodule/cortexm0ds_logic.v(18707)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(B*(0@D@C)))"),
//.LUTF1("(~A*~(D*C)*~(0*B))"),
//.LUTG0("~(A*~(B*(1@D@C)))"),
//.LUTG1("(~A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101110111010101),
.INIT_LUTF1(16'b0000010101010101),
.INIT_LUTG0(16'b1101010101011101),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1056|u_logic/Sqkax6_reg (
.a({\u_logic/_al_u1055_o ,\u_logic/_al_u1507_o }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/E88iu6_lutinv }),
.c({\u_logic/_al_u156_o ,\u_logic/_al_u1512_o }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/Rkkax6 ,\u_logic/L88iu6_lutinv }),
.e({\u_logic/Wkipw6 ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u1056_o ,open_n126454}),
.q({open_n126458,\u_logic/Sqkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18707)
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1059|u_logic/_al_u2303 (
.a({open_n126459,\u_logic/_al_u1068_o }),
.b({open_n126460,\u_logic/_al_u2302_o }),
.c({\u_logic/Aujpw6 ,\u_logic/Kxziu6_lutinv }),
.d({\u_logic/Pu1ju6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1059_o ,\u_logic/_al_u2303_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1060|u_logic/_al_u2312 (
.a({open_n126481,\u_logic/_al_u156_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/D31ju6 }),
.c({\u_logic/R3vpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1059_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1060_o ,\u_logic/_al_u2312_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1063|u_logic/_al_u4 (
.c({\u_logic/_al_u1062_o ,\u_logic/W0jax6 }),
.d({\u_logic/T8row6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u1063_o ,\u_logic/Vnfpw6 [6]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1064|u_logic/_al_u2799 (
.a({open_n126534,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.b({\u_logic/_al_u1063_o ,\u_logic/Fm7ax6 }),
.c({\u_logic/Hdfax6 ,\u_logic/Gr2qw6 }),
.d({\u_logic/_al_u1061_o ,\u_logic/Isjpw6 }),
.f({\u_logic/_al_u1064_o ,\u_logic/_al_u2799_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*~(~A*~(C*B)))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1110101000000000),
.MODE("LOGIC"))
\u_logic/_al_u1065|u_logic/_al_u2469 (
.a({\u_logic/_al_u1064_o ,open_n126555}),
.b({\u_logic/_al_u411_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/Eafax6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Gr2qw6 ,\u_logic/HALTED }),
.f({\u_logic/_al_u1065_o ,\u_logic/_al_u2469_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1068|u_logic/_al_u1755 (
.b({open_n126578,\u_logic/Daiax6 }),
.c({\u_logic/Daiax6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u1067_o ,\u_logic/Y0jiu6 }),
.f({\u_logic/_al_u1068_o ,\u_logic/_al_u1755_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1069|u_logic/_al_u3967 (
.b({open_n126605,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/Aujpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1068_o ,\u_logic/Eoyiu6_lutinv }),
.f({\u_logic/_al_u1069_o ,\u_logic/_al_u3967_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u1074|u_logic/_al_u389 (
.a({\u_logic/_al_u1069_o ,open_n126626}),
.b({\u_logic/_al_u1071_o ,open_n126627}),
.c({\u_logic/_al_u1072_o ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u1073_o ,\u_logic/_al_u388_o }),
.f({\u_logic/Uzaiu6 ,\u_logic/L45iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17768)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1075|u_logic/F9vpw6_reg (
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[1] }),
.ce(\u_logic/n3724 ),
.clk(clk_pad),
.d({\u_logic/B6cpw6 ,\u_logic/T8row6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1075_o ,\u_logic/B6cpw6 }),
.q({open_n126671,\u_logic/F9vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17768)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~D))"),
//.LUTF1("(D*~A*~(C*~B))"),
//.LUTG0("(B*~(~C*~D))"),
//.LUTG1("(D*~A*~(C*~B))"),
.INIT_LUTF0(16'b1100110011000000),
.INIT_LUTF1(16'b0100010100000000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b0100010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1076|u_logic/_al_u1078 (
.a({\u_logic/n3724 ,open_n126672}),
.b({\u_logic/F9vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/I8lax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Wofiu6_lutinv }),
.f({\u_logic/_al_u1076_o ,\u_logic/_al_u1078_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20241)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*~B))"),
//.LUTF1("(C*~(~D*~(B*A)))"),
//.LUTG0("~(~D*~(C*~B))"),
//.LUTG1("(C*~(~D*~(B*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100110000),
.INIT_LUTF1(16'b1111000010000000),
.INIT_LUTG0(16'b1111111100110000),
.INIT_LUTG1(16'b1111000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1077|u_logic/Qakbx6_reg (
.a({\u_logic/Uzaiu6 ,open_n126697}),
.b({\u_logic/_al_u1075_o ,\u_logic/_al_u1078_o }),
.c({\u_logic/_al_u1076_o ,\u_logic/Qakbx6 }),
.clk(clk_pad),
.d({\u_logic/I8lax6 ,\u_logic/_al_u1077_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1077_o ,open_n126715}),
.q({open_n126719,\u_logic/Qakbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20241)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u107|FM_HW/FM_Demodulation/reg2_b5 (
.b({\u_logic/Sqwpw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Zm8ax6 ,\u_logic/Pe7ax6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Hw8ax6 ,\u_logic/Q4wiu6_lutinv }),
.mi({open_n126725,\FM_HW/ADC_Data [9]}),
.f({\u_logic/Cvciu6 ,\u_logic/_al_u106_o }),
.q({open_n126741,\FM_HW/FM_Demodulation/QdataN_1 [5]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~((~D*~C)*~(0)*~(A)+(~D*~C)*0*~(A)+~((~D*~C))*0*A+(~D*~C)*0*A))"),
//.LUTF1("(C*(~D*~(B)*~(A)+~D*B*~(A)+~(~D)*B*A+~D*B*A))"),
//.LUTG0("(~B*~((~D*~C)*~(1)*~(A)+(~D*~C)*1*~(A)+~((~D*~C))*1*A+(~D*~C)*1*A))"),
//.LUTG1("(C*(~D*~(B)*~(A)+~D*B*~(A)+~(~D)*B*A+~D*B*A))"),
.INIT_LUTF0(16'b0011001100110010),
.INIT_LUTF1(16'b1000000011010000),
.INIT_LUTG0(16'b0001000100010000),
.INIT_LUTG1(16'b1000000011010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1081|u_logic/_al_u2859 (
.a({\u_logic/Uzaiu6 ,\u_logic/R05iu6 }),
.b({\u_logic/_al_u1063_o ,\u_logic/_al_u2846_o }),
.c({\u_logic/_al_u1080_o ,\u_logic/Wofiu6_lutinv }),
.d({\u_logic/vis_pc_o[1] ,\u_logic/_al_u2858_o }),
.e({open_n126744,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u1081_o ,\u_logic/_al_u2859_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1083|u_logic/_al_u1778 (
.b({open_n126767,\u_logic/_al_u155_o }),
.c({\u_logic/_al_u957_o ,\u_logic/_al_u690_o }),
.d({\u_logic/_al_u956_o ,\u_logic/_al_u1684_o }),
.f({\u_logic/_al_u1083_o ,\u_logic/_al_u1778_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(D*~B))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000100000001010),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1084|u_logic/_al_u1493 (
.a({\u_logic/_al_u156_o ,\u_logic/_al_u394_o }),
.b({\u_logic/_al_u194_o ,\u_logic/Irmpw6 }),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/R3vpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1084_o ,\u_logic/_al_u1493_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(B*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(~D*~C)*~(B*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0111011101110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0111011101110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1085|u_logic/_al_u1614 (
.a({open_n126808,\u_logic/_al_u154_o }),
.b({open_n126809,\u_logic/Hirpw6 }),
.c({\u_logic/Ufopw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1085_o ,\u_logic/_al_u1614_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(D*C))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000100010001),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1088|u_logic/_al_u2699 (
.a({open_n126834,\u_logic/_al_u2697_o }),
.b({open_n126835,\u_logic/_al_u2698_o }),
.c({\u_logic/M8fax6 ,\u_logic/_al_u394_o }),
.d({\u_logic/_al_u1087_o ,\u_logic/_al_u1463_o }),
.f({\u_logic/Nsoiu6_lutinv ,\u_logic/Rlcpw6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*C*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001001100110011),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1089|u_logic/_al_u650 (
.a({open_n126856,\u_logic/Qe8iu6_lutinv }),
.b({\u_logic/_al_u705_o ,\u_logic/_al_u648_o }),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Ldoiu6_lutinv }),
.d({\u_logic/Nsoiu6_lutinv ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1089_o ,\u_logic/Lv7ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1090|u_logic/_al_u907 (
.c({\u_logic/Ydopw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/D1piu6_lutinv ,\u_logic/_al_u907_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1092|u_logic/_al_u2070 (
.a({open_n126905,\u_logic/_al_u141_o }),
.b({\u_logic/_al_u1091_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/D1piu6_lutinv ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1092_o ,\u_logic/_al_u2070_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1093|u_logic/_al_u190 (
.c({\u_logic/Ydopw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/Yljiu6 ,\u_logic/_al_u190_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1094|u_logic/_al_u1099 (
.c({\u_logic/Hirpw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1094_o ,\u_logic/_al_u1099_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*~(~D*~C*~B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*~(1*~(~D*~C*~B)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1095|u_logic/_al_u1474 (
.a({open_n126974,\u_logic/Zf7ju6 }),
.b({open_n126975,\u_logic/_al_u1470_o }),
.c({\u_logic/Xxupw6 ,\u_logic/_al_u1472_o }),
.d({\u_logic/R3vpw6 ,\u_logic/_al_u1473_o }),
.e({open_n126978,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u1095_o ,\u_logic/_al_u1474_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b0000010100010001),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0000010100010001),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1096|u_logic/_al_u2058 (
.a({\u_logic/_al_u1092_o ,\u_logic/_al_u2057_o }),
.b({\u_logic/_al_u1094_o ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u1463_o }),
.d({\u_logic/_al_u1095_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1096_o ,\u_logic/_al_u2058_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(A*(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1010001010000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1010001010000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1097|u_logic/_al_u2561 (
.a({open_n127023,\u_logic/_al_u1718_o }),
.b({open_n127024,\u_logic/Hirpw6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1097_o ,\u_logic/_al_u2561_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1098|u_logic/_al_u2279 (
.a({open_n127049,\u_logic/I82ju6 }),
.b({\u_logic/_al_u1097_o ,\u_logic/Vo3ju6_lutinv }),
.c({\u_logic/Hirpw6 ,\u_logic/_al_u1095_o }),
.d({\u_logic/_al_u705_o ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1098_o ,\u_logic/_al_u2279_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(~D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010101000001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010001000000010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u109|u_logic/_al_u3273 (
.a({open_n127074,\u_logic/_al_u3272_o }),
.b({open_n127075,\u_logic/Iv1iu6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/Q3qiu6 }),
.d({\u_logic/Yc7iu6 ,\u_logic/Di3qw6 }),
.e({open_n127078,\u_logic/vis_pc_o[1] }),
.f({\u_logic/n524 ,\u_logic/_al_u3273_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~A*~(0*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~C*~A*~(1*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000000101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1103|u_logic/_al_u1663 (
.a({open_n127099,\u_logic/_al_u1659_o }),
.b({open_n127100,\u_logic/Hviiu6 }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u1662_o }),
.d({\u_logic/Nu9ow6 ,\u_logic/_al_u648_o }),
.e({open_n127103,\u_logic/_al_u1228_o }),
.f({\u_logic/_al_u1103_o ,\u_logic/_al_u1663_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~(A*~(D*B)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~(A*~(D*B)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1101000001010000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1101000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1104|u_logic/_al_u192 (
.a({\u_logic/_al_u1101_o ,open_n127124}),
.b({\u_logic/_al_u1103_o ,open_n127125}),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Wkipw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Jy9iu6 ,\u_logic/Md0iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1105|u_logic/_al_u980 (
.b({open_n127152,\u_logic/_al_u627_o }),
.c({\u_logic/Vplpw6 ,\u_logic/_al_u979_o }),
.d({\u_logic/Tezhu6 ,\u_logic/_al_u632_o }),
.f({\u_logic/Di1iu6 ,\u_logic/Vk1iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0010001000001010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1107|u_logic/_al_u1963 (
.a({open_n127173,\u_logic/_al_u1425_o }),
.b({open_n127174,\u_logic/Bclpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/_al_u130_o ,\u_logic/Sdlpw6 }),
.f({\u_logic/V8zhu6_lutinv ,\u_logic/_al_u1963_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*A*~(0*B))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~D*~C*A*~(1*B))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000000000001010),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1108|u_logic/_al_u1964 (
.a({open_n127195,\u_logic/_al_u1417_o }),
.b({\u_logic/Bclpw6 ,\u_logic/_al_u1962_o }),
.c({\u_logic/Jflpw6 ,\u_logic/Vnyhu6_lutinv }),
.d({\u_logic/Flzhu6_lutinv ,\u_logic/_al_u1963_o }),
.e({open_n127198,\u_logic/Rilpw6 }),
.f({\u_logic/A1zhu6_lutinv ,\u_logic/_al_u1964_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~B*~(C*D))"),
.INIT_LUT0(16'b1110010000001111),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u1109|u_logic/_al_u1712 (
.a({open_n127219,\u_logic/Bclpw6 }),
.b({\u_logic/A1zhu6_lutinv ,\u_logic/Jflpw6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/V8zhu6_lutinv ,\u_logic/Sdlpw6 }),
.f({\u_logic/I6yhu6_lutinv ,\u_logic/Ncyhu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17575)
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1115|u_logic/Gpqpw6_reg (
.a({open_n127240,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/W6ipw6 ,\u_logic/Bx2qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u983_o ,\u_logic/Z73qw6 }),
.mi({open_n127244,\u_logic/Vplpw6 }),
.f({\u_logic/_al_u1115_o ,\u_logic/_al_u1030_o }),
.q({open_n127260,\u_logic/Gpqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17575)
// ../rtl/topmodule/cortexm0ds_logic.v(17578)
EG_PHY_LSLICE #(
//.LUTF0("~(C@D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(C@D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1116|u_logic/Utqpw6_reg (
.c({\u_logic/_al_u981_o ,\u_logic/Utqpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u632_o ,\u_logic/C53iu6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Xl1iu6_lutinv ,open_n127282}),
.q({open_n127286,\u_logic/Utqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17578)
// ../rtl/topmodule/cortexm0ds_logic.v(17492)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1119|u_logic/D2opw6_reg (
.a({open_n127287,\u_logic/_al_u1121_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u1122_o }),
.c({\u_logic/Li7ax6 ,\u_logic/Xl1iu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u983_o ,\u_logic/D2opw6 }),
.f({\u_logic/_al_u1119_o ,open_n127302}),
.q({open_n127306,\u_logic/D2opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17492)
// ../rtl/topmodule/cortexm0ds_logic.v(17163)
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1121|u_logic/Kxhpw6_reg (
.a({\u_logic/Vk1iu6 ,\u_logic/_al_u632_o }),
.b({\u_logic/Cl1iu6 ,\u_logic/_al_u981_o }),
.c({\u_logic/Ceabx6 ,\u_logic/Bx2qw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/I0opw6 ,\u_logic/Li7ax6 }),
.mi({open_n127311,\u_logic/Hwhpw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u1121_o ,\u_logic/Yg3iu6 }),
.q({open_n127326,\u_logic/Kxhpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17163)
// ../rtl/topmodule/CortexM0_SoC.v(94)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1122|CDBGPWRUPACK_reg (
.a({open_n127327,_al_u249_o}),
.b({\u_logic/_al_u981_o ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Zgfax6 ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.clk(clk_pad),
.d({\u_logic/_al_u983_o ,RAMCODE_RDATA[26]}),
.e({open_n127329,RAMDATA_RDATA[26]}),
.mi({open_n127331,CDBGPWRUPREQ}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1122_o ,HRDATA[26]}),
.q({open_n127346,CDBGPWRUPACK})); // ../rtl/topmodule/CortexM0_SoC.v(94)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1124|u_logic/_al_u1134 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Hhqow6 ,\u_logic/Ahqow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(D*~C*B*~A)"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"))
\u_logic/_al_u1125|u_logic/_al_u1129 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Sjqow6 ,\u_logic/Qiqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1128|u_logic/_al_u1147 (
.a({open_n127391,\u_logic/_al_u1127_o }),
.b({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.c({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.d({\u_logic/_al_u1127_o ,\u_logic/Jrypw6 }),
.f({\u_logic/Dfqow6 ,\u_logic/Fgqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(D*~C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B*~(D*~C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010101000100010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010101000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u112|u_logic/_al_u3152 (
.a({open_n127416,\u_logic/Wo1iu6 }),
.b({open_n127417,\u_logic/_al_u3151_o }),
.c({\u_logic/Dg2qw6 ,\u_logic/Qa5iu6 }),
.d({\u_logic/Jf7iu6 ,\u_logic/_al_u407_o }),
.f({\u_logic/n529 ,\u_logic/_al_u3152_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*~A)"),
//.LUT1("(D*C*B*~A)"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1131|u_logic/_al_u1132 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Ljqow6 ,\u_logic/Gkqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18853)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1135|u_logic/Qorax6_reg (
.a({\u_logic/N30iu6 ,\u_logic/_al_u1126_o }),
.b({\u_logic/_al_u1130_o ,\u_logic/Dfqow6 }),
.c({\u_logic/_al_u1133_o ,\u_logic/Qiqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ahqow6 ,\u_logic/I1lpw6 }),
.e({\u_logic/vis_r14_o[0] ,\u_logic/vis_r9_o[0] }),
.mi({open_n127463,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u1135_o ,\u_logic/_al_u1130_o }),
.q({open_n127479,\u_logic/vis_r8_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18853)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B*~A))"),
//.LUT1("(~B*A*~(~D*~C))"),
.INIT_LUT0(16'b1111111000000000),
.INIT_LUT1(16'b0010001000100000),
.MODE("LOGIC"))
\u_logic/_al_u1138|u_logic/_al_u1568 (
.a({\u_logic/_al_u1137_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/_al_u388_o ,\u_logic/_al_u1567_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u956_o }),
.d({\u_logic/Xxupw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1138_o ,\u_logic/Fkliu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19758)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1141|u_logic/Dm6bx6_reg (
.a({\u_logic/_al_u1135_o ,\u_logic/_al_u2456_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/Jl8iu6 }),
.c({\u_logic/I8lax6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Emmiu6 }),
.e({open_n127501,\u_logic/vis_pc_o[11] }),
.f({\u_logic/_al_u1141_o ,open_n127517}),
.q({open_n127521,\u_logic/Dm6bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19758)
// ../rtl/topmodule/cortexm0ds_logic.v(19769)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1142|u_logic/C87bx6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Sjqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r11_o[8] ,\u_logic/vis_r4_o[11] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[8] ,\u_logic/vis_r6_o[11] }),
.mi({open_n127532,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1142_o ,\u_logic/_al_u445_o }),
.q({open_n127537,\u_logic/vis_r3_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19769)
// ../rtl/topmodule/cortexm0ds_logic.v(19767)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1143|u_logic/C47bx6_reg (
.a({\u_logic/_al_u1142_o ,\u_logic/Dmqow6 }),
.b({\u_logic/Gkqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/Qiqow6 ,\u_logic/vis_r2_o[11] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[8] ,\u_logic/vis_r7_o[11] }),
.e({\u_logic/vis_r9_o[8] ,open_n127538}),
.mi({open_n127540,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1143_o ,\u_logic/_al_u447_o }),
.q({open_n127556,\u_logic/vis_r1_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19767)
// ../rtl/topmodule/cortexm0ds_logic.v(19777)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1145|u_logic/Co7bx6_reg (
.a({open_n127557,\u_logic/E8now6_lutinv }),
.b({open_n127558,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_psp_o[6] ,\u_logic/vis_r4_o[11] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/Yfqow6 ,\u_logic/vis_r7_o[11] }),
.mi({open_n127562,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u1145_o ,\u_logic/_al_u377_o }),
.q({open_n127578,\u_logic/vis_r7_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19777)
// ../rtl/topmodule/cortexm0ds_logic.v(18867)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(C*~B*A*~(0*D))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(C*~B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010000000100000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1148|u_logic/Ngsax6_reg (
.a({\u_logic/_al_u1143_o ,\u_logic/_al_u1277_o }),
.b({\u_logic/_al_u1145_o ,\u_logic/Gkqow6 }),
.c({\u_logic/_al_u1146_o ,\u_logic/Qiqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/Fgqow6 ,\u_logic/vis_r8_o[14] }),
.e({\u_logic/vis_msp_o[6] ,\u_logic/vis_r9_o[14] }),
.mi({open_n127580,\u_logic/X6niu6 }),
.f({\u_logic/_al_u1148_o ,\u_logic/_al_u1278_o }),
.q({open_n127596,\u_logic/vis_r8_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18867)
// ../rtl/topmodule/cortexm0ds_logic.v(19796)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1149|u_logic/Zf8bx6_reg (
.a({\u_logic/_al_u1148_o ,\u_logic/Gkqow6 }),
.b({\u_logic/Lvzhu6 ,\u_logic/Sjqow6 }),
.c({\u_logic/Dfqow6 ,\u_logic/vis_r8_o[9] }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/N61qw6 ,\u_logic/vis_r10_o[9] }),
.mi({open_n127607,\u_logic/Zfmiu6 }),
.f({\u_logic/Cz7ju6 ,\u_logic/_al_u1203_o }),
.q({open_n127612,\u_logic/vis_r12_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19796)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u114|u_logic/_al_u3487 (
.a({open_n127613,\u_logic/Kw1iu6_lutinv }),
.b({open_n127614,\u_logic/Eg7iu6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/N39ax6 }),
.d({\u_logic/Sg7iu6 ,\u_logic/Tyaax6 }),
.f({\u_logic/n532 ,\u_logic/_al_u3487_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1152|u_logic/_al_u388 (
.c({\u_logic/R3vpw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/D31ju6 ,\u_logic/_al_u388_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000000011),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1153|u_logic/_al_u1982 (
.b({open_n127669,\u_logic/T1vpw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/T23ju6_lutinv }),
.f({\u_logic/_al_u1153_o ,\u_logic/_al_u1982_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(~0*D*C)))"),
//.LUTF1("(~(C*B)*~(0*D*A))"),
//.LUTG0("(B*~(~A*~(~1*D*C)))"),
//.LUTG1("(~(C*B)*~(1*D*A))"),
.INIT_LUTF0(16'b1100100010001000),
.INIT_LUTF1(16'b0011111100111111),
.INIT_LUTG0(16'b1000100010001000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1154|u_logic/_al_u2631 (
.a({\u_logic/Llaow6_lutinv ,\u_logic/_al_u2630_o }),
.b({\u_logic/_al_u705_o ,\u_logic/_al_u156_o }),
.c({\u_logic/_al_u154_o ,\u_logic/Edapw6_lutinv }),
.d({\u_logic/D31ju6 ,\u_logic/Btoiu6_lutinv }),
.e({\u_logic/_al_u1153_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1154_o ,\u_logic/_al_u2631_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17915)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1157|u_logic/T20qw6_reg (
.a({\u_logic/Gkqow6 ,\u_logic/Y50iu6 }),
.b({\u_logic/Qiqow6 ,\u_logic/_al_u867_o }),
.c({\u_logic/vis_r8_o[2] ,\u_logic/_al_u868_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[2] ,\u_logic/_al_u869_o }),
.mi({open_n127722,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1157_o ,\u_logic/_al_u870_o }),
.q({open_n127727,\u_logic/vis_msp_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17915)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1158 (
.a({\u_logic/_al_u1157_o ,\u_logic/_al_u1157_o }),
.b({\u_logic/Ljqow6 ,\u_logic/Ljqow6 }),
.c({\u_logic/Sjqow6 ,\u_logic/Sjqow6 }),
.d({\u_logic/vis_r10_o[2] ,\u_logic/vis_r10_o[2] }),
.mi({open_n127740,\u_logic/vis_r11_o[2] }),
.fx({open_n127745,\u_logic/_al_u1158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1159|u_logic/_al_u902 (
.a({\u_logic/_al_u1158_o ,\u_logic/_al_u901_o }),
.b({\u_logic/Fgqow6 ,\u_logic/_al_u739_o }),
.c({\u_logic/Yfqow6 ,\u_logic/_al_u740_o }),
.d({\u_logic/vis_psp_o[0] ,\u_logic/vis_psp_o[0] }),
.e({\u_logic/vis_msp_o[0] ,\u_logic/vis_msp_o[0] }),
.f({\u_logic/_al_u1159_o ,\u_logic/_al_u902_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18771)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1161|u_logic/S5nax6_reg (
.a({\u_logic/_al_u1159_o ,open_n127770}),
.b({\u_logic/Pxzhu6 ,\u_logic/O70iu6 }),
.c({\u_logic/_al_u1160_o ,\u_logic/A70iu6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/Y1qow6 }),
.e({\u_logic/vis_r12_o[2] ,open_n127771}),
.mi({open_n127773,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u1161_o ,\u_logic/_al_u3867_o }),
.q({open_n127789,\u_logic/vis_r14_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18771)
// ../rtl/topmodule/cortexm0ds_logic.v(17718)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1162|u_logic/Asupw6_reg (
.a({open_n127790,\u_logic/_al_u2530_o }),
.b({\u_logic/I8lax6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/Wvgax6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1161_o ,\u_logic/Krkiu6 }),
.e({open_n127792,\u_logic/vis_pc_o[6] }),
.f({\u_logic/_al_u1162_o ,open_n127808}),
.q({open_n127812,\u_logic/Asupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17718)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1179|u_logic/_al_u1397 (
.a({\u_logic/Dfqow6 ,\u_logic/Dfqow6 }),
.b({\u_logic/Ahqow6 ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r14_o[5] ,\u_logic/vis_r14_o[31] }),
.d({\u_logic/Qc5bx6 ,\u_logic/Usnpw6 }),
.f({\u_logic/_al_u1179_o ,\u_logic/_al_u1397_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~C*B*~D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"))
\u_logic/_al_u1181|u_logic/_al_u2487 (
.a({open_n127837,\u_logic/_al_u2471_o }),
.b({\u_logic/I8lax6 ,\u_logic/_al_u2469_o }),
.c({\u_logic/Wvgax6 ,\u_logic/N64iu6 }),
.d({\u_logic/_al_u1180_o ,\u_logic/Syjbx6 }),
.f({\u_logic/_al_u1181_o ,\u_logic/F5miu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18751)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1183|u_logic/X1max6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1183_o }),
.b({\u_logic/Gkqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/vis_r8_o[6] ,\u_logic/Qiqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[6] ,\u_logic/vis_psp_o[4] }),
.e({open_n127858,\u_logic/vis_r9_o[6] }),
.mi({open_n127860,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1183_o ,\u_logic/_al_u1184_o }),
.q({open_n127876,\u_logic/vis_r10_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18751)
// ../rtl/topmodule/cortexm0ds_logic.v(17248)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1193|u_logic/Kmjpw6_reg (
.a({\u_logic/_al_u1191_o ,\u_logic/Gkqow6 }),
.b({\u_logic/_al_u1192_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r10_o[7] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[5] ,\u_logic/vis_r8_o[7] }),
.mi({open_n127880,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1193_o ,\u_logic/_al_u1192_o }),
.q({open_n127896,\u_logic/vis_r8_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17248)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1195|u_logic/_al_u1362 (
.a({open_n127897,\u_logic/_al_u1359_o }),
.b({\u_logic/I8lax6 ,\u_logic/Gdqow6 }),
.c({\u_logic/Wvgax6 ,\u_logic/K84iu6 }),
.d({\u_logic/_al_u1194_o ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1195_o ,\u_logic/_al_u1362_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17684)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1197|u_logic/Yftpw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/M60iu6 }),
.b({\u_logic/Gkqow6 ,\u_logic/_al_u860_o }),
.c({\u_logic/vis_r8_o[1] ,\u_logic/_al_u861_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[1] ,\u_logic/_al_u862_o }),
.mi({open_n127932,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u1197_o ,\u_logic/_al_u863_o }),
.q({open_n127937,\u_logic/vis_r9_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17684)
// ../rtl/topmodule/cortexm0ds_logic.v(17881)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1198|u_logic/Rhypw6_reg (
.a({\u_logic/_al_u1197_o ,\u_logic/Hhqow6 }),
.b({\u_logic/Sjqow6 ,\u_logic/Sjqow6 }),
.c({\u_logic/Qiqow6 ,\u_logic/vis_r10_o[0] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[1] ,\u_logic/vis_r12_o[0] }),
.e({\u_logic/vis_r10_o[1] ,open_n127938}),
.mi({open_n127940,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u1198_o ,\u_logic/_al_u1126_o }),
.q({open_n127956,\u_logic/vis_r9_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17881)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u11|u_logic/_al_u129 (
.c({\u_logic/Ksgax6 ,\u_logic/_al_u128_o }),
.d({\u_logic/Dugax6 ,\u_logic/V34iu6 }),
.f({\u_logic/E4yhu6 ,\u_logic/M24iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17935)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1202|u_logic/N61qw6_reg (
.a({\u_logic/_al_u1200_o ,\u_logic/_al_u2518_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/Jl8iu6 }),
.c({\u_logic/I8lax6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Y3niu6 }),
.e({open_n127982,\u_logic/vis_pc_o[7] }),
.f({\u_logic/_al_u1202_o ,open_n127998}),
.q({open_n128002,\u_logic/N61qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17935)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u120|u_logic/_al_u150 (
.c({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/Vo3ju6_lutinv ,\u_logic/Owoiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~(B*~(~0*A))))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*~(D*~(B*~(~1*A))))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000010000001111),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000110000001111),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1213|u_logic/_al_u2014 (
.a({open_n128027,\u_logic/X9zhu6 }),
.b({\u_logic/Jflpw6 ,\u_logic/_al_u2013_o }),
.c({\u_logic/Y8lpw6 ,\u_logic/_al_u1045_o }),
.d({\u_logic/V8zhu6_lutinv ,\u_logic/U5yhu6 }),
.e({open_n128030,\u_logic/Krlpw6 }),
.f({\u_logic/Vw3iu6 ,\u_logic/_al_u2014_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1214|u_logic/_al_u1863 (
.b({\u_logic/Vw3iu6 ,\u_logic/Iyyhu6 }),
.c({\u_logic/A1zhu6_lutinv ,\u_logic/Bclpw6 }),
.d({\u_logic/_al_u615_o ,\u_logic/Sbyhu6 }),
.f({\u_logic/_al_u1214_o ,\u_logic/X9zhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(B*~(D*C*A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u1215|u_logic/_al_u131 (
.a({\u_logic/Mmyhu6 ,open_n128077}),
.b({\u_logic/_al_u1214_o ,open_n128078}),
.c({\u_logic/_al_u629_o ,\u_logic/Pmlpw6 }),
.d({\u_logic/_al_u630_o ,\u_logic/Ahlpw6 }),
.f({\u_logic/_al_u1215_o ,\u_logic/U5yhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0111000100111111),
.INIT_LUT1(16'b1111011000111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1217 (
.a({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.b({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.mi({open_n128111,\u_logic/Yklpw6 }),
.fx({open_n128116,\u_logic/_al_u1217_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*~B))"),
//.LUTF1("(~(A)*~((C*~B))*~(D)+~(A)*(C*~B)*~(D)+A*~((C*~B))*D)"),
//.LUTG0("(A*~(~D*C*~B))"),
//.LUTG1("(~(A)*~((C*~B))*~(D)+~(A)*(C*~B)*~(D)+A*~((C*~B))*D)"),
.INIT_LUTF0(16'b1010101010001010),
.INIT_LUTF1(16'b1000101001010101),
.INIT_LUTG0(16'b1010101010001010),
.INIT_LUTG1(16'b1000101001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1218|u_logic/_al_u1110 (
.a({\u_logic/_al_u1216_o ,\u_logic/I6yhu6_lutinv }),
.b({\u_logic/_al_u1217_o ,\u_logic/_al_u615_o }),
.c({\u_logic/U5yhu6 ,\u_logic/W6yhu6_lutinv }),
.d({\u_logic/Y8lpw6 ,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1218_o ,\u_logic/_al_u1110_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u121|u_logic/_al_u2248 (
.a({open_n128143,\u_logic/Pthiu6 }),
.b({open_n128144,\u_logic/Yljiu6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u121_o ,\u_logic/_al_u2248_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(~C*A)))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1100111000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1221|u_logic/_al_u2134 (
.a({open_n128169,\u_logic/Qxoiu6 }),
.b({open_n128170,\u_logic/_al_u2002_o }),
.c({\u_logic/Hgrpw6 ,\u_logic/P14qw6 }),
.d({\u_logic/_al_u1087_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1221_o ,\u_logic/_al_u2134_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~(~D*B)*~(~0*A)))"),
//.LUT1("(C*~(~(~D*B)*~(~1*A)))"),
.INIT_LUT0(16'b1010000011100000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1222 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/W0piu6_lutinv }),
.b({\u_logic/_al_u1221_o ,\u_logic/_al_u1221_o }),
.c({\u_logic/Nbkiu6_lutinv ,\u_logic/Nbkiu6_lutinv }),
.d({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.mi({open_n128203,\u_logic/Skjax6 }),
.fx({open_n128208,\u_logic/_al_u1222_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(~(0*~C)*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*~B)*~(~(1*~C)*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0100010001010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0100110001011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1224|u_logic/_al_u1783 (
.a({open_n128211,\u_logic/_al_u1781_o }),
.b({open_n128212,\u_logic/_al_u1782_o }),
.c({\u_logic/_al_u1223_o ,\u_logic/_al_u1227_o }),
.d({\u_logic/W0piu6_lutinv ,\u_logic/Pthiu6 }),
.e({open_n128215,\u_logic/Skjax6 }),
.f({\u_logic/Hviiu6 ,\u_logic/_al_u1783_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1225|u_logic/_al_u1792 (
.c({\u_logic/Ssjax6 ,\u_logic/Sojax6 }),
.d({\u_logic/Rwjax6 ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u1225_o ,\u_logic/X8ziu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1227|u_logic/_al_u1220 (
.b({\u_logic/_al_u1226_o ,open_n128266}),
.c({\u_logic/P0kax6 ,\u_logic/U9ypw6 }),
.d({\u_logic/_al_u1225_o ,\u_logic/_al_u1087_o }),
.f({\u_logic/_al_u1227_o ,\u_logic/W0piu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*B)*~(~(~D*~C)*A))"),
//.LUTF1("(~B*~A*~(C*~(~0*~D)))"),
//.LUTG0("(~(1*B)*~(~(~D*~C)*A))"),
//.LUTG1("(~B*~A*~(C*~(~1*~D)))"),
.INIT_LUTF0(16'b0101010101011111),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0001000100010011),
.INIT_LUTG1(16'b0000000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1229|u_logic/_al_u2003 (
.a({\u_logic/_al_u1083_o ,\u_logic/_al_u1788_o }),
.b({\u_logic/_al_u1222_o ,\u_logic/Ot7ow6 }),
.c({\u_logic/Hviiu6 ,\u_logic/_al_u2002_o }),
.d({\u_logic/_al_u1227_o ,\u_logic/Dxvpw6 }),
.e({\u_logic/_al_u1228_o ,\u_logic/Wkipw6 }),
.f({\u_logic/M1jiu6 ,\u_logic/_al_u2003_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u122|u_logic/_al_u148 (
.b({\u_logic/_al_u121_o ,open_n128315}),
.c({\u_logic/Aujpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Vo3ju6_lutinv ,\u_logic/R3vpw6 }),
.f({\u_logic/Iugiu6 ,\u_logic/_al_u148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*D*C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*~(~1*D*C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0100110011001100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1230|u_logic/_al_u1813 (
.a({\u_logic/Irmpw6 ,\u_logic/Eoyiu6_lutinv }),
.b({\u_logic/N4kax6 ,\u_logic/_al_u1811_o }),
.c({\u_logic/S7mpw6 ,\u_logic/_al_u1812_o }),
.d({\u_logic/Wfspw6 ,\u_logic/_al_u148_o }),
.e({open_n128342,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1230_o ,\u_logic/_al_u1813_o }));
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000110000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1231|u_logic/_al_u2686 (
.b({open_n128365,\u_logic/Dxvpw6 }),
.c({\u_logic/Wkipw6 ,\u_logic/Hgrpw6 }),
.d({\u_logic/_al_u1230_o ,\u_logic/Ya1ju6_lutinv }),
.f({\u_logic/_al_u1231_o ,\u_logic/Fpaow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(0*D*C))"),
//.LUTF1("(A*~(D*~(~C*~B)))"),
//.LUTG0("(~B*A*~(1*D*C))"),
//.LUTG1("(A*~(D*~(~C*~B)))"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b0000001010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1232|u_logic/_al_u1679 (
.a({\u_logic/_al_u1089_o ,\u_logic/_al_u1676_o }),
.b({\u_logic/_al_u1231_o ,\u_logic/_al_u1677_o }),
.c({\u_logic/_al_u704_o ,\u_logic/Ujjiu6 }),
.d({\u_logic/U9ypw6 ,\u_logic/_al_u704_o }),
.e({open_n128388,\u_logic/_al_u1087_o }),
.f({\u_logic/_al_u1232_o ,\u_logic/_al_u1679_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1237|u_logic/_al_u1226 (
.c({\u_logic/Sojax6 ,\u_logic/W4jax6 }),
.d({\u_logic/_al_u400_o ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u1237_o ,\u_logic/_al_u1226_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~D*C*B))"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(~A*~(~D*C*B))"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b0101010100010101),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b0101010100010101),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1238|u_logic/_al_u2737 (
.a({\u_logic/_al_u1235_o ,\u_logic/_al_u1237_o }),
.b({\u_logic/W0piu6_lutinv ,\u_logic/_al_u398_o }),
.c({\u_logic/_al_u1236_o ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u1237_o ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1238_o ,\u_logic/_al_u2737_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~(C*B)*~(0*A)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*~(~(C*B)*~(1*A)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1110101000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u123|u_logic/_al_u151 (
.a({open_n128457,\u_logic/_al_u148_o }),
.b({open_n128458,\u_logic/S2ziu6_lutinv }),
.c({\u_logic/Xxupw6 ,\u_logic/Owoiu6 }),
.d({\u_logic/R3vpw6 ,\u_logic/P5vpw6 }),
.e({open_n128461,\u_logic/T1vpw6 }),
.f({\u_logic/Pt2ju6 ,\u_logic/Wofiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUTF1("(0*~D*~(~C*~B*~A))"),
//.LUTG0("(1*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUTG1("(1*~D*~(~C*~B*~A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000010100011),
.INIT_LUTG1(16'b0000000011111110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1240|u_logic/_al_u1241 (
.a({\u_logic/N4kax6 ,\u_logic/Dxvpw6 }),
.b({\u_logic/P14qw6 ,\u_logic/P0kax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/Sojax6 }),
.e({\u_logic/Ydopw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1240_o ,\u_logic/_al_u1241_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(B*~(~D*~C*A))"),
.INIT_LUT0(16'b0100010001010000),
.INIT_LUT1(16'b1100110011000100),
.MODE("LOGIC"))
\u_logic/_al_u1242|u_logic/_al_u1597 (
.a({\u_logic/_al_u1239_o ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/_al_u1221_o ,\u_logic/Hgrpw6 }),
.c({\u_logic/_al_u1240_o ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u1241_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1242_o ,\u_logic/Kmiiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17289)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1244|u_logic/R7kpw6_reg (
.a({open_n128524,\u_logic/_al_u1268_o }),
.b({open_n128525,\u_logic/_al_u1274_o }),
.c({\u_logic/J71iu6_lutinv ,\u_logic/_al_u1150_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u1162_o ,\u_logic/E54iu6 }),
.e({open_n128526,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1244_o ,HWDATA[13]}),
.q({open_n128545,\u_logic/R7kpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17289)
// ../rtl/topmodule/cortexm0ds_logic.v(17297)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1247|u_logic/Rdkpw6_reg (
.a({\u_logic/_al_u1245_o ,\u_logic/Gkqow6 }),
.b({\u_logic/_al_u1246_o ,\u_logic/Sjqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r8_o[10] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[8] ,\u_logic/vis_r10_o[10] }),
.mi({open_n128549,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u1247_o ,\u_logic/_al_u1246_o }),
.q({open_n128565,\u_logic/vis_r11_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(17297)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u124|u_logic/_al_u2635 (
.b({\u_logic/Pt2ju6 ,\u_logic/P5vpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/Vygax6 }),
.d({\u_logic/Iugiu6 ,\u_logic/I8lax6 }),
.f({\u_logic/TXEV ,\u_logic/_al_u2635_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19941)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1260|u_logic/Nybbx6_reg (
.a({\u_logic/_al_u1174_o ,\u_logic/_al_u2514_o }),
.b({\u_logic/J71iu6_lutinv ,\u_logic/Jl8iu6 }),
.c({\u_logic/I8lax6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/E2liu6 }),
.e({open_n128593,\u_logic/vis_pc_o[26] }),
.f({\u_logic/_al_u1260_o ,open_n128609}),
.q({open_n128613,\u_logic/Nybbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19941)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1263|u_logic/_al_u1273 (
.a({open_n128614,\u_logic/_al_u1270_o }),
.b({open_n128615,\u_logic/_al_u1271_o }),
.c({\u_logic/vis_psp_o[10] ,\u_logic/Ty0pw6 }),
.d({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.e({open_n128618,\u_logic/vis_psp_o[11] }),
.f({\u_logic/_al_u1263_o ,\u_logic/_al_u1273_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17779)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*~B*A*~(0*D))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*~B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0010000000100000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1265|u_logic/Jnvpw6_reg (
.a({\u_logic/_al_u1262_o ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/_al_u1263_o ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/_al_u1264_o ,\u_logic/vis_r12_o[12] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/Fgqow6 ,\u_logic/vis_r10_o[12] }),
.e({\u_logic/vis_msp_o[10] ,open_n128639}),
.mi({open_n128641,\u_logic/D4miu6 }),
.f({\u_logic/_al_u1265_o ,\u_logic/_al_u742_o }),
.q({open_n128657,\u_logic/vis_r9_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17779)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1268 (
.c({open_n128662,\u_logic/J71iu6_lutinv }),
.d({open_n128665,\u_logic/_al_u1181_o }),
.f({open_n128679,\u_logic/_al_u1268_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1269|u_logic/_al_u1333 (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1332_o }),
.b({\u_logic/Qiqow6 ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r9_o[13] ,\u_logic/Sjqow6 }),
.d({\u_logic/vis_r11_o[13] ,\u_logic/vis_r8_o[22] }),
.e({open_n128687,\u_logic/vis_r10_o[22] }),
.f({\u_logic/_al_u1269_o ,\u_logic/_al_u1333_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18375)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*~(~C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u126|u_logic/Qsfax6_reg (
.b({\u_logic/Nmfax6 ,open_n128710}),
.c({\u_logic/Nrqpw6 ,\u_logic/Gpqpw6 }),
.ce(\u_logic/n274 ),
.clk(clk_pad),
.d({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.mi({open_n128714,\u_logic/Xxqpw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u126_o ,\u_logic/_al_u128_o }),
.q({open_n128729,\u_logic/Qsfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18375)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1271 (
.c({open_n128734,\u_logic/vis_msp_o[11] }),
.d({open_n128737,\u_logic/Fgqow6 }),
.f({open_n128755,\u_logic/_al_u1271_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18858)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1272|u_logic/Oyrax6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r12_o[13] ,\u_logic/vis_r12_o[19] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[13] ,\u_logic/vis_r10_o[19] }),
.mi({open_n128771,\u_logic/D4miu6 }),
.f({\u_logic/Ty0pw6 ,\u_logic/_al_u791_o }),
.q({open_n128776,\u_logic/vis_r8_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18858)
// ../rtl/topmodule/cortexm0ds_logic.v(18118)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1281|u_logic/S98ax6_reg (
.a({\u_logic/_al_u1279_o ,\u_logic/Ahqow6 }),
.b({\u_logic/E20iu6 ,\u_logic/Hhqow6 }),
.c({\u_logic/_al_u1280_o ,\u_logic/vis_r12_o[14] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/vis_r14_o[14] }),
.e({\u_logic/Sb8ax6 ,open_n128777}),
.mi({open_n128779,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u1281_o ,\u_logic/_al_u1280_o }),
.q({open_n128795,\u_logic/vis_psp_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18118)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1283|u_logic/_al_u1253 (
.a({open_n128796,\u_logic/_al_u1168_o }),
.b({open_n128797,\u_logic/J71iu6_lutinv }),
.c({\u_logic/J71iu6_lutinv ,\u_logic/I8lax6 }),
.d({\u_logic/_al_u1195_o ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1283_o ,\u_logic/_al_u1253_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("(B)"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u1292 (
.a({open_n128818,\u_logic/_al_u1200_o }),
.b({open_n128819,\u_logic/Lcqow6 }),
.c({open_n128820,\u_logic/G64iu6 }),
.d({open_n128823,\u_logic/Wvgax6 }),
.f({open_n128837,\u_logic/_al_u1292_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~(D*B))*~(C*~A))"),
//.LUTF1("~(C*D)"),
//.LUTG0("(~(1*~(D*B))*~(C*~A))"),
//.LUTG1("~(C*D)"),
.INIT_LUTF0(16'b1010111110101111),
.INIT_LUTF1(16'b0000111111111111),
.INIT_LUTG0(16'b1000110000000000),
.INIT_LUTG1(16'b0000111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u12|u_logic/_al_u2638 (
.a({open_n128843,\u_logic/P0biu6 }),
.b({open_n128844,\u_logic/Uzaiu6 }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u2247_o }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/B6cpw6 }),
.e({open_n128847,\u_logic/_al_u1080_o }),
.f({\u_logic/n3724 ,\u_logic/Wtaiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1301|u_logic/_al_u1412 (
.a({\u_logic/Fgqow6 ,\u_logic/Dfqow6 }),
.b({\u_logic/Gkqow6 ,\u_logic/Ahqow6 }),
.c({\u_logic/vis_r8_o[18] ,\u_logic/Chwpw6 }),
.d({\u_logic/vis_msp_o[16] ,\u_logic/vis_r14_o[16] }),
.f({\u_logic/_al_u1301_o ,\u_logic/_al_u1412_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTG0("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTG0(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1303 (
.a({open_n128888,\u_logic/_al_u1301_o }),
.b({open_n128889,\u_logic/Q9zow6 }),
.c({open_n128890,\u_logic/Dfqow6 }),
.d({open_n128893,\u_logic/Syjbx6 }),
.f({open_n128911,\u_logic/_al_u1303_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1304|u_logic/_al_u784 (
.a({\u_logic/Ljqow6 ,\u_logic/Nq4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r11_o[18] ,\u_logic/vis_r11_o[18] }),
.d({\u_logic/vis_r10_o[18] ,\u_logic/vis_r10_o[18] }),
.f({\u_logic/Iczow6 ,\u_logic/_al_u784_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1305 (
.a({\u_logic/Iczow6 ,\u_logic/Iczow6 }),
.b({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/Qiqow6 ,\u_logic/Qiqow6 }),
.d({\u_logic/vis_r9_o[18] ,\u_logic/vis_r9_o[18] }),
.mi({open_n128953,\u_logic/vis_psp_o[16] }),
.fx({open_n128958,\u_logic/_al_u1305_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1306|u_logic/_al_u937 (
.a({open_n128961,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u1303_o ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/_al_u1305_o ,\u_logic/vis_r11_o[10] }),
.d({\u_logic/C10iu6 ,\u_logic/vis_r14_o[10] }),
.f({\u_logic/_al_u1306_o ,\u_logic/_al_u937_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1309|u_logic/_al_u750 (
.a({\u_logic/Ljqow6 ,\u_logic/Nq4ju6_lutinv }),
.b({\u_logic/Qiqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r9_o[19] ,\u_logic/vis_r11_o[13] }),
.d({\u_logic/vis_r11_o[19] ,\u_logic/vis_r10_o[13] }),
.f({\u_logic/_al_u1309_o ,\u_logic/_al_u750_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1310|u_logic/_al_u1270 (
.a({\u_logic/Gkqow6 ,\u_logic/_al_u1269_o }),
.b({\u_logic/Sjqow6 ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r8_o[19] ,\u_logic/Sjqow6 }),
.d({\u_logic/vis_r10_o[19] ,\u_logic/vis_r8_o[13] }),
.e({open_n129008,\u_logic/vis_r10_o[13] }),
.f({\u_logic/_al_u1310_o ,\u_logic/_al_u1270_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1311|u_logic/_al_u749 (
.a({\u_logic/_al_u1309_o ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u1310_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r14_o[13] }),
.d({\u_logic/vis_psp_o[17] ,\u_logic/vis_r9_o[13] }),
.f({\u_logic/_al_u1311_o ,\u_logic/_al_u749_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20001)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1312|u_logic/Tvebx6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/Hhqow6 ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/vis_r12_o[19] ,\u_logic/vis_r9_o[16] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[19] ,\u_logic/vis_r11_o[16] }),
.mi({open_n129059,\u_logic/Zuliu6 }),
.f({\u_logic/F0zow6 ,\u_logic/_al_u768_o }),
.q({open_n129064,\u_logic/vis_r8_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20001)
// ../rtl/topmodule/cortexm0ds_logic.v(17782)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1313|u_logic/Jtvpw6_reg (
.a({\u_logic/_al_u1311_o ,\u_logic/Ahqow6 }),
.b({\u_logic/F0zow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Fgqow6 ,\u_logic/vis_r12_o[12] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[17] ,\u_logic/vis_r14_o[12] }),
.mi({open_n129068,\u_logic/D4miu6 }),
.f({\u_logic/_al_u1313_o ,\u_logic/_al_u1264_o }),
.q({open_n129084,\u_logic/vis_psp_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17782)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*~B)*~(C*~A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*~B)*~(C*~A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000110010101111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000110010101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1315|u_logic/_al_u2588 (
.a({\u_logic/_al_u1314_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u1168_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/Gdqow6 ,\u_logic/F94iu6 }),
.d({\u_logic/Lcqow6 ,\u_logic/Ibqpw6 }),
.f({\u_logic/_al_u1315_o ,\u_logic/Ocniu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18777)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1320|u_logic/Nhnax6_reg (
.a({\u_logic/Dfqow6 ,\u_logic/_al_u1265_o }),
.b({\u_logic/Ahqow6 ,\u_logic/S20iu6 }),
.c({\u_logic/Fjdbx6 ,\u_logic/Dfqow6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[20] ,\u_logic/Dm6bx6 }),
.mi({open_n129119,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u1320_o ,\u_logic/_al_u1266_o }),
.q({open_n129124,\u_logic/vis_r14_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(18777)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1322|u_logic/_al_u1352 (
.a({\u_logic/_al_u1321_o ,\u_logic/_al_u1351_o }),
.b({\u_logic/Gdqow6 ,\u_logic/Gdqow6 }),
.c({\u_logic/B74iu6 ,\u_logic/D84iu6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/Qoyow6 ,\u_logic/_al_u1352_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17913)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1326|u_logic/Tyzpw6_reg (
.a({\u_logic/_al_u1325_o ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/Fgqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/Ljqow6 ,\u_logic/vis_r12_o[16] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[21] ,\u_logic/vis_r10_o[16] }),
.e({\u_logic/vis_msp_o[19] ,open_n129149}),
.mi({open_n129151,\u_logic/Fzkiu6 }),
.f({\u_logic/_al_u1326_o ,\u_logic/_al_u770_o }),
.q({open_n129167,\u_logic/vis_msp_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17913)
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u1329|u_logic/_al_u1377 (
.a({\u_logic/_al_u1328_o ,\u_logic/_al_u1376_o }),
.b({\u_logic/Gdqow6 ,\u_logic/Gdqow6 }),
.c({\u_logic/I74iu6 ,\u_logic/Y84iu6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/Ffyow6 ,\u_logic/Vbwow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17383)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(B*(0@C)))"),
//.LUTF1("~(~C*B*(D@(0*A)))"),
//.LUTG0("(~D*~A*~(B*(1@C)))"),
//.LUTG1("~(~C*B*(D@(1*A)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000010101),
.INIT_LUTF1(16'b1111001111111111),
.INIT_LUTG0(16'b0000000001010001),
.INIT_LUTG1(16'b1111101111110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u132|u_logic/Vplpw6_reg (
.a({\u_logic/_al_u130_o ,\u_logic/_al_u1432_o }),
.b({\u_logic/U5yhu6 ,\u_logic/T8yhu6_lutinv }),
.c({\u_logic/Jflpw6 ,\u_logic/_al_u653_o }),
.ce(\u_logic/U03iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Kalpw6 ,\u_logic/_al_u1045_o }),
.e({\u_logic/Yklpw6 ,\u_logic/Yklpw6 }),
.mi({open_n129189,\u_logic/Golpw6 }),
.f({\u_logic/U03iu6 ,\u_logic/_al_u1433_o }),
.q({open_n129205,\u_logic/Vplpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17383)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1331|u_logic/_al_u1276 (
.a({\u_logic/_al_u1187_o ,\u_logic/_al_u1187_o }),
.b({\u_logic/Lcqow6 ,\u_logic/J71iu6_lutinv }),
.c({\u_logic/P74iu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u1331_o ,\u_logic/_al_u1276_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1334|u_logic/_al_u1332 (
.a({open_n129230,\u_logic/Ljqow6 }),
.b({open_n129231,\u_logic/Qiqow6 }),
.c({\u_logic/vis_msp_o[20] ,\u_logic/vis_r11_o[22] }),
.d({\u_logic/Fgqow6 ,\u_logic/vis_r9_o[22] }),
.f({\u_logic/_al_u1334_o ,\u_logic/_al_u1332_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20002)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*~B*A*~(0*D))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*~B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0010000000100000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1336|u_logic/Txebx6_reg (
.a({\u_logic/_al_u1333_o ,\u_logic/J80iu6 }),
.b({\u_logic/_al_u1334_o ,\u_logic/_al_u811_o }),
.c({\u_logic/K7yow6 ,\u_logic/_al_u812_o }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/Yfqow6 ,\u_logic/_al_u813_o }),
.e({\u_logic/vis_psp_o[20] ,open_n129256}),
.mi({open_n129258,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u1336_o ,\u_logic/_al_u814_o }),
.q({open_n129274,\u_logic/vis_r9_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20002)
// ../rtl/topmodule/cortexm0ds_logic.v(18884)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1337|u_logic/Eetax6_reg (
.a({\u_logic/_al_u1336_o ,\u_logic/_al_u1347_o }),
.b({\u_logic/Tzzhu6 ,\u_logic/_al_u1348_o }),
.c({\u_logic/Dfqow6 ,\u_logic/_al_u1349_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Tlebx6 ,\u_logic/Yfqow6 }),
.e({open_n129275,\u_logic/vis_psp_o[22] }),
.mi({open_n129277,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u1337_o ,\u_logic/_al_u1350_o }),
.q({open_n129293,\u_logic/vis_r12_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18884)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u133|u_logic/_al_u10 (
.a({\u_logic/Wyiax6 ,open_n129294}),
.b({\u_logic/Xuiax6 ,open_n129295}),
.c({\u_logic/Ysiax6 ,\u_logic/Zqiax6 }),
.d({\u_logic/Zqiax6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u133_o ,\u_logic/Vnfpw6 [1]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18890)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1343|u_logic/Eqtax6_reg (
.a({\u_logic/_al_u1341_o ,\u_logic/_al_u1288_o }),
.b({\u_logic/Mzzhu6 ,\u_logic/X10iu6 }),
.c({\u_logic/Zxxow6 ,\u_logic/Hhqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Dfqow6 ,\u_logic/vis_r12_o[15] }),
.e({\u_logic/Ztgbx6 ,open_n129320}),
.mi({open_n129322,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u1343_o ,\u_logic/_al_u1289_o }),
.q({open_n129338,\u_logic/vis_r12_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18890)
// ../rtl/topmodule/cortexm0ds_logic.v(18887)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1348|u_logic/Ektax6_reg (
.a({open_n129339,\u_logic/_al_u1247_o }),
.b({open_n129340,\u_logic/_al_u1248_o }),
.c({\u_logic/vis_msp_o[22] ,\u_logic/Fgqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Fgqow6 ,\u_logic/vis_msp_o[8] }),
.mi({open_n129351,\u_logic/C7miu6 }),
.f({\u_logic/_al_u1348_o ,\u_logic/_al_u1249_o }),
.q({open_n129356,\u_logic/vis_r12_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18887)
// ../rtl/topmodule/cortexm0ds_logic.v(18627)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1110111111101100),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u134|u_logic/W2jax6_reg (
.a({\u_logic/E8iax6 ,\u_logic/_al_u4392_o }),
.b({\u_logic/W0jax6 ,\u_logic/_al_u4407_o }),
.c({\u_logic/W2jax6 ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Wwiax6 ,\u_logic/Vzjpw6 }),
.e({open_n129358,\u_logic/Xlfpw6 [8]}),
.f({\u_logic/_al_u134_o ,open_n129374}),
.q({open_n129378,\u_logic/W2jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18627)
// ../rtl/topmodule/cortexm0ds_logic.v(17230)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*~B))"),
//.LUTF1("~(~B*A*~(D*~C))"),
//.LUTG0("~(D*~(C*~B))"),
//.LUTG1("~(~B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011111111),
.INIT_LUTF1(16'b1101111111011101),
.INIT_LUTG0(16'b0011000011111111),
.INIT_LUTG1(16'b1101111111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1354|u_logic/X6jpw6_reg (
.a({\u_logic/_al_u1352_o ,open_n129379}),
.b({\u_logic/_al_u1141_o ,\u_logic/_al_u1174_o }),
.c({\u_logic/Cz7ju6 ,\u_logic/Lcqow6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u1353_o ,\u_logic/Qoyow6 }),
.f({HWDATA[24],HWDATA[20]}),
.q({open_n129400,\u_logic/X6jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17230)
// ../rtl/topmodule/cortexm0ds_logic.v(18062)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1355|u_logic/P34qw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/_al_u1355_o }),
.b({\u_logic/Qiqow6 ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r9_o[25] ,\u_logic/Gkqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[25] ,\u_logic/vis_r8_o[25] }),
.e({open_n129401,\u_logic/vis_msp_o[23] }),
.mi({open_n129403,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u1355_o ,\u_logic/_al_u1356_o }),
.q({open_n129419,\u_logic/vis_r9_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18062)
// ../rtl/topmodule/cortexm0ds_logic.v(20181)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011111100),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0011000011111100),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1358|u_logic/Pzibx6_reg (
.a({\u_logic/Dfqow6 ,open_n129420}),
.b({\u_logic/Ahqow6 ,\u_logic/_al_u672_o }),
.c({\u_logic/vis_r14_o[25] ,\u_logic/Ztgbx6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Nwbbx6 ,\u_logic/_al_u821_o }),
.mi({open_n129424,\u_logic/K3niu6 }),
.f({\u_logic/_al_u1358_o ,\u_logic/_al_u822_o }),
.q({open_n129440,\u_logic/vis_msp_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(20181)
// ../rtl/topmodule/cortexm0ds_logic.v(17897)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(C*B*A*~(0*D))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(C*B*A*~(1*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000010000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1359|u_logic/C3zpw6_reg (
.a({\u_logic/_al_u1357_o ,\u_logic/_al_u1382_o }),
.b({\u_logic/Yyzhu6 ,\u_logic/Dyzhu6 }),
.c({\u_logic/_al_u1358_o ,\u_logic/_al_u1383_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Hhqow6 ,\u_logic/Dfqow6 }),
.e({\u_logic/vis_r12_o[25] ,\u_logic/Ibqpw6 }),
.mi({open_n129442,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u1359_o ,\u_logic/_al_u1384_o }),
.q({open_n129458,\u_logic/vis_psp_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17897)
// ../rtl/topmodule/cortexm0ds_logic.v(17281)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(A*~(0*~(D*B))))"),
//.LUTF1("~(C*~(B*D))"),
//.LUTG0("(~C*~(A*~(1*~(D*B))))"),
//.LUTG1("~(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010100000101),
.INIT_LUTF1(16'b1100111100001111),
.INIT_LUTG0(16'b0000011100001111),
.INIT_LUTG1(16'b1100111100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u135|u_logic/Vzjpw6_reg (
.a({open_n129459,\u_logic/U6piu6 }),
.b({\u_logic/_al_u134_o ,\u_logic/_al_u1061_o }),
.c({\u_logic/Vzjpw6 ,\u_logic/Wofiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u133_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n129461,\u_logic/Vzjpw6 }),
.sr(cpuresetn),
.f({\u_logic/X5phu6 ,open_n129476}),
.q({open_n129480,\u_logic/Vzjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17281)
// ../rtl/topmodule/cortexm0ds_logic.v(19744)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B*~A)*~(D)*~(0)+~(~C*B*~A)*D*~(0)+~(~(~C*B*~A))*D*0+~(~C*B*~A)*D*0)"),
//.LUTF1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
//.LUTG0("(~(~C*B*~A)*~(D)*~(1)+~(~C*B*~A)*D*~(1)+~(~(~C*B*~A))*D*1+~(~C*B*~A)*D*1)"),
//.LUTG1("(~C*~(B*~(A)*~(D)+B*A*~(D)+~(B)*A*D+B*A*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101111111011),
.INIT_LUTF1(16'b0000010100000011),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b0000010100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1360|u_logic/F26bx6_reg (
.a({\u_logic/_al_u1359_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u1200_o ,\u_logic/_al_u4572_o }),
.c({\u_logic/_al_u1154_o ,\u_logic/_al_u4573_o }),
.ce(\u_logic/Jzmiu6 ),
.clk(clk_pad),
.d({\u_logic/Wofiu6_lutinv ,\u_logic/Gh0iu6_lutinv }),
.e({open_n129481,\u_logic/Uzaiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1360_o ,open_n129496}),
.q({open_n129500,\u_logic/F26bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19744)
// ../rtl/topmodule/cortexm0ds_logic.v(18967)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(~B*A*~(D*~C))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(~B*A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b1101111111011101),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1101111111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1363|u_logic/Xpxax6_reg (
.a({\u_logic/_al_u1362_o ,\u_logic/_al_u2534_o }),
.b({\u_logic/_al_u1202_o ,\u_logic/Jl8iu6 }),
.c({\u_logic/I28ju6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1353_o ,\u_logic/Mjmiu6 }),
.e({open_n129502,\u_logic/vis_pc_o[12] }),
.f({HWDATA[25],open_n129518}),
.q({open_n129522,\u_logic/Xpxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18967)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"))
\u_logic/_al_u1364|u_logic/_al_u2483 (
.a({\u_logic/Ka8ju6 ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u1353_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/R84iu6 ,\u_logic/G64iu6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Pbbbx6 }),
.f({\u_logic/_al_u1364_o ,\u_logic/E8miu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17694)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1365|u_logic/Xztpw6_reg (
.a({\u_logic/Ahqow6 ,\u_logic/H70iu6 }),
.b({\u_logic/Hhqow6 ,\u_logic/_al_u839_o }),
.c({\u_logic/vis_r12_o[26] ,\u_logic/_al_u840_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[26] ,\u_logic/_al_u841_o }),
.mi({open_n129553,\u_logic/Fzkiu6 }),
.f({\u_logic/Knwow6 ,\u_logic/_al_u842_o }),
.q({open_n129558,\u_logic/vis_r11_o[26] })); // ../rtl/topmodule/cortexm0ds_logic.v(17694)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1366|u_logic/_al_u1302 (
.a({\u_logic/Ryzhu6 ,\u_logic/Ahqow6 }),
.b({\u_logic/Knwow6 ,\u_logic/Hhqow6 }),
.c({\u_logic/Dfqow6 ,\u_logic/vis_r12_o[18] }),
.d({\u_logic/F8cbx6 ,\u_logic/vis_r14_o[18] }),
.f({\u_logic/_al_u1366_o ,\u_logic/Q9zow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("~(C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"))
\u_logic/_al_u136|u_logic/_al_u2249 (
.a({open_n129579,\u_logic/K5eiu6 }),
.b({\u_logic/Rzciu6_lutinv ,\u_logic/R9yax6 }),
.c({\u_logic/C1wpw6 ,\u_logic/W5ypw6 }),
.d({\u_logic/Cznow6 ,\u_logic/Ztupw6 }),
.f({\u_logic/Mpgiu6 ,\u_logic/Xrgiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17832)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1370|u_logic/P6xpw6_reg (
.a({\u_logic/_al_u1366_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u1369_o ,\u_logic/Qiqow6 }),
.c({\u_logic/Yfqow6 ,\u_logic/vis_r9_o[10] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[24] ,\u_logic/vis_r11_o[10] }),
.mi({open_n129603,\u_logic/C7miu6 }),
.f({\u_logic/_al_u1370_o ,\u_logic/_al_u1245_o }),
.q({open_n129619,\u_logic/vis_r9_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17832)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("~(B*~A*~(D*~C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("~(B*~A*~(D*~C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1011111110111011),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1011111110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1371|u_logic/_al_u2519 (
.a({\u_logic/_al_u1244_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u1364_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/_al_u1370_o ,\u_logic/Pl4iu6 }),
.d({\u_logic/Gdqow6 ,\u_logic/N61qw6 }),
.f({HWDATA[26],\u_logic/Y3niu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18755)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1372|u_logic/W9max6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/Sjqow6 ,\u_logic/Wr4ju6_lutinv }),
.c({\u_logic/vis_r11_o[27] ,\u_logic/vis_r9_o[15] }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[27] ,\u_logic/vis_r10_o[15] }),
.mi({open_n129654,\u_logic/E1miu6 }),
.f({\u_logic/Wfwow6 ,\u_logic/Vk8pw6 }),
.q({open_n129659,\u_logic/vis_r10_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18755)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~(B*~A*~(D*~C))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1011111110111011),
.MODE("LOGIC"))
\u_logic/_al_u1378|u_logic/_al_u2531 (
.a({\u_logic/_al_u1253_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/Vbwow6 ,\u_logic/_al_u2469_o }),
.c({\u_logic/_al_u1258_o ,\u_logic/Gk4iu6 }),
.d({\u_logic/_al_u1353_o ,\u_logic/Asupw6 }),
.f({HWDATA[27],\u_logic/Krkiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1379|u_logic/_al_u2507 (
.a({\u_logic/_al_u1266_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u1353_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/F94iu6 ,\u_logic/W74iu6 }),
.d({\u_logic/Wvgax6 ,\u_logic/Ztgbx6 }),
.f({\u_logic/_al_u1379_o ,\u_logic/Kv9iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18065)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1381|u_logic/Gr6ax6_reg (
.a({\u_logic/_al_u1380_o ,\u_logic/Gq4ju6_lutinv }),
.b({\u_logic/Fgqow6 ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/Sjqow6 ,\u_logic/vis_r12_o[25] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[26] ,\u_logic/vis_r11_o[25] }),
.e({\u_logic/vis_r10_o[28] ,open_n129704}),
.mi({open_n129706,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u1381_o ,\u_logic/Jo5pw6 }),
.q({open_n129722,\u_logic/vis_psp_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18065)
// ../rtl/topmodule/cortexm0ds_logic.v(18850)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1382|u_logic/Qirax6_reg (
.a({\u_logic/_al_u1381_o ,\u_logic/_al_u1356_o }),
.b({\u_logic/Yfqow6 ,\u_logic/Yfqow6 }),
.c({\u_logic/Gkqow6 ,\u_logic/Sjqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[28] ,\u_logic/vis_r10_o[25] }),
.e({\u_logic/vis_psp_o[26] ,\u_logic/vis_psp_o[23] }),
.mi({open_n129724,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u1382_o ,\u_logic/_al_u1357_o }),
.q({open_n129740,\u_logic/vis_r8_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18850)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUT1("~(B*~A*~(D*~C))"),
.INIT_LUT0(16'b0011000011111100),
.INIT_LUT1(16'b1011111110111011),
.MODE("LOGIC"))
\u_logic/_al_u1385|u_logic/_al_u794 (
.a({\u_logic/_al_u1260_o ,open_n129741}),
.b({\u_logic/_al_u1379_o ,\u_logic/_al_u672_o }),
.c({\u_logic/_al_u1384_o ,\u_logic/T6kbx6 }),
.d({\u_logic/Gdqow6 ,\u_logic/_al_u793_o }),
.f({HWDATA[28],\u_logic/_al_u794_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19026)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*~C))"),
//.LUT1("(~(D*C)*~(B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111110111011),
.INIT_LUT1(16'b0000101110111011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1386|u_logic/Wpyax6_reg (
.a({\u_logic/_al_u1281_o ,\u_logic/_al_u1283_o }),
.b({\u_logic/_al_u1353_o ,\u_logic/Khvow6 }),
.c({\u_logic/T94iu6 ,\u_logic/_al_u1289_o }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/_al_u1353_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1386_o ,HWDATA[31]}),
.q({open_n129777,\u_logic/Wpyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19026)
// ../rtl/topmodule/cortexm0ds_logic.v(17522)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1387|u_logic/Qlopw6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Gkqow6 ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r8_o[30] ,\u_logic/vis_r8_o[6] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[30] ,\u_logic/vis_r9_o[6] }),
.mi({open_n129781,\u_logic/K39iu6 }),
.f({\u_logic/_al_u1387_o ,\u_logic/_al_u876_o }),
.q({open_n129797,\u_logic/vis_r9_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17522)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
.INIT_LUTF0(16'b0011111111111111),
.INIT_LUTF1(16'b1111110000110000),
.INIT_LUTG0(16'b0011101000001010),
.INIT_LUTG1(16'b1111110000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u13|u_logic/_al_u1216 (
.a({open_n129798,\u_logic/U03iu6 }),
.b({\u_logic/Pmlpw6 ,SWDO}),
.c({\u_logic/Y8lpw6 ,\u_logic/_al_u981_o }),
.d({\u_logic/L5lpw6 ,\u_logic/Krlpw6 }),
.e({open_n129801,\u_logic/Rilpw6 }),
.f({SWDO,\u_logic/_al_u1216_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19812)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1401|u_logic/Ua9bx6_reg (
.a({\u_logic/_al_u1274_o ,\u_logic/_al_u2546_o }),
.b({\u_logic/_al_u1353_o ,\u_logic/Jl8iu6 }),
.c({\u_logic/M94iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/Zokiu6 }),
.e({open_n129823,\u_logic/vis_pc_o[5] }),
.f({\u_logic/Lqqow6 ,open_n129839}),
.q({open_n129843,\u_logic/Ua9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19812)
// ../rtl/topmodule/cortexm0ds_logic.v(19760)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1402|u_logic/Dq6bx6_reg (
.a({\u_logic/Ljqow6 ,\u_logic/Ljqow6 }),
.b({\u_logic/Qiqow6 ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r11_o[29] ,\u_logic/vis_r9_o[28] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[29] ,\u_logic/vis_r11_o[28] }),
.mi({open_n129854,\u_logic/K3niu6 }),
.f({\u_logic/_al_u1402_o ,\u_logic/_al_u1380_o }),
.q({open_n129859,\u_logic/vis_r3_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19760)
// ../rtl/topmodule/cortexm0ds_logic.v(17527)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1403|u_logic/Ovopw6_reg (
.a({\u_logic/_al_u1402_o ,\u_logic/Ljqow6 }),
.b({\u_logic/Gkqow6 ,\u_logic/Qiqow6 }),
.c({\u_logic/Sjqow6 ,\u_logic/vis_r11_o[7] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[29] ,\u_logic/vis_r9_o[7] }),
.e({\u_logic/vis_r10_o[29] ,open_n129860}),
.mi({open_n129862,\u_logic/P4liu6 }),
.f({\u_logic/_al_u1403_o ,\u_logic/_al_u1191_o }),
.q({open_n129878,\u_logic/vis_r9_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17527)
// ../rtl/topmodule/cortexm0ds_logic.v(20096)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("~(B*~A*~(D*~C))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("~(B*~A*~(D*~C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b1011111110111011),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b1011111110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1407|u_logic/Ztgbx6_reg (
.a({\u_logic/_al_u1268_o ,\u_logic/_al_u2506_o }),
.b({\u_logic/Lqqow6 ,\u_logic/Jl8iu6 }),
.c({\u_logic/_al_u1406_o ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Gdqow6 ,\u_logic/Kv9iu6 }),
.e({open_n129880,\u_logic/vis_pc_o[22] }),
.f({HWDATA[29],open_n129896}),
.q({open_n129900,\u_logic/Ztgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20096)
// ../rtl/topmodule/cortexm0ds_logic.v(19566)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1408|u_logic/Yw3bx6_reg (
.a({\u_logic/_al_u1135_o ,\u_logic/_al_u1276_o }),
.b({\u_logic/Lcqow6 ,\u_logic/_al_u1281_o }),
.c({\u_logic/Z54iu6 ,\u_logic/_al_u1150_o }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Wvgax6 ,\u_logic/L54iu6 }),
.e({open_n129901,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1408_o ,HWDATA[14]}),
.q({open_n129919,\u_logic/Yw3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19566)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u140|u_logic/_al_u98 (
.c({\u_logic/Dg2qw6 ,\u_logic/Dg2qw6 }),
.d({\u_logic/Kw1iu6_lutinv ,\u_logic/Zt1iu6 }),
.f({\u_logic/n533 ,\u_logic/n530 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*A*(C@B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*A*(C@B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0010100000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0010100000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1416|u_logic/_al_u1426 (
.a({open_n129944,\u_logic/_al_u1425_o }),
.b({open_n129945,\u_logic/Bclpw6 }),
.c({\u_logic/Yklpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Bclpw6 ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u1416_o ,\u_logic/Dsyhu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*D*C*B))"),
//.LUT1("(A*~(~1*D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1417 (
.a({\u_logic/I6yhu6_lutinv ,\u_logic/I6yhu6_lutinv }),
.b({\u_logic/Flzhu6_lutinv ,\u_logic/Flzhu6_lutinv }),
.c({\u_logic/_al_u1416_o ,\u_logic/_al_u1416_o }),
.d({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.mi({open_n129982,\u_logic/Krlpw6 }),
.fx({open_n129987,\u_logic/_al_u1417_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u141|u_logic/_al_u970 (
.b({open_n129992,\u_logic/Hirpw6 }),
.c({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/S2ziu6_lutinv }),
.f({\u_logic/_al_u141_o ,\u_logic/Vs0iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTG0("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1420 (
.c({open_n130017,\u_logic/_al_u1419_o }),
.d({open_n130020,\u_logic/M7zhu6 }),
.f({open_n130038,\u_logic/_al_u1420_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1421|u_logic/_al_u1422 (
.b({open_n130046,\u_logic/Bclpw6 }),
.c({\u_logic/Yklpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Jflpw6 ,\u_logic/Epyhu6 }),
.f({\u_logic/Epyhu6 ,\u_logic/_al_u1422_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~(~C*B)))"),
//.LUT1("(~D*~(~B*~(C*A)))"),
.INIT_LUT0(16'b1010111000000000),
.INIT_LUT1(16'b0000000011101100),
.MODE("LOGIC"))
\u_logic/_al_u1424|u_logic/_al_u1864 (
.a({\u_logic/_al_u1422_o ,\u_logic/Iyyhu6 }),
.b({\u_logic/_al_u1423_o ,\u_logic/_al_u1425_o }),
.c({\u_logic/Kalpw6 ,\u_logic/Bclpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u1424_o ,\u_logic/_al_u1864_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1425|u_logic/_al_u130 (
.c({\u_logic/Yklpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Kalpw6 ,\u_logic/Bclpw6 }),
.f({\u_logic/_al_u1425_o ,\u_logic/_al_u130_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\u_logic/_al_u1427|u_logic/_al_u630 (
.a({\u_logic/_al_u1417_o ,open_n130111}),
.b({\u_logic/_al_u1420_o ,open_n130112}),
.c({\u_logic/_al_u1424_o ,\u_logic/Jflpw6 }),
.d({\u_logic/Dsyhu6_lutinv ,\u_logic/Bclpw6 }),
.f({\u_logic/_al_u1427_o ,\u_logic/_al_u630_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(A*(C@(D*B)))"),
.INIT_LUT0(16'b1111101111011111),
.INIT_LUT1(16'b0010100010100000),
.MODE("LOGIC"))
\u_logic/_al_u1428|u_logic/_al_u1710 (
.a({\u_logic/T8yhu6_lutinv ,\u_logic/Jflpw6 }),
.b({\u_logic/_al_u130_o ,\u_logic/Kalpw6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/Kalpw6 ,\u_logic/Yklpw6 }),
.f({\u_logic/_al_u1428_o ,\u_logic/_al_u1710_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u142|u_logic/_al_u394 (
.c({\u_logic/Xxupw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u142_o ,\u_logic/_al_u394_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1430|u_logic/_al_u616 (
.c({\u_logic/Kalpw6 ,\u_logic/Yklpw6 }),
.d({\u_logic/_al_u1422_o ,\u_logic/Kalpw6 }),
.f({\u_logic/_al_u1430_o ,\u_logic/_al_u616_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(A)*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+~(A)*~(B)*D*~(0)+~(A)*B*~(D)*0+A*B*~(D)*0))"),
//.LUTF1("(A*(B*C*~(D)*~(0)+~(B)*C*D*~(0)+B*~(C)*~(D)*0))"),
//.LUTG0("(C*(~(A)*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+~(A)*~(B)*D*~(1)+~(A)*B*~(D)*1+A*B*~(D)*1))"),
//.LUTG1("(A*(B*C*~(D)*~(1)+~(B)*C*D*~(1)+B*~(C)*~(D)*1))"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b0010000010000000),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1431|u_logic/_al_u1869 (
.a({\u_logic/Epyhu6 ,\u_logic/Bclpw6 }),
.b({\u_logic/Bclpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Sdlpw6 }),
.e({\u_logic/Sdlpw6 ,\u_logic/Yklpw6 }),
.f({\u_logic/Vnyhu6_lutinv ,\u_logic/_al_u1869_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~(~A*~(C*B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110101000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110101000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1435|u_logic/_al_u1498 (
.a({open_n130223,\u_logic/N98iu6_lutinv }),
.b({\u_logic/Sq3ju6 ,\u_logic/_al_u142_o }),
.c({\u_logic/_al_u190_o ,\u_logic/_al_u638_o }),
.d({\u_logic/_al_u121_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1435_o ,\u_logic/_al_u1498_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*~(~D*~C)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1000100010001010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1436|u_logic/_al_u1472 (
.a({open_n130248,\u_logic/_al_u194_o }),
.b({open_n130249,\u_logic/Yi7ju6_lutinv }),
.c({\u_logic/Yvjpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/Nkaju6_lutinv ,\u_logic/_al_u1472_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUTG1("(~D*~A*~(C*B))"),
.INIT_LUTF0(16'b0101111111110011),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0101111111110011),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1437|u_logic/_al_u3637 (
.a({\u_logic/_al_u1435_o ,\u_logic/_al_u156_o }),
.b({\u_logic/Pt2ju6 ,\u_logic/_al_u410_o }),
.c({\u_logic/Pthiu6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Nkaju6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1437_o ,\u_logic/Ejaju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTF1("(~B*~(~C*~D))"),
//.LUTG0("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTG1("(~B*~(~C*~D))"),
.INIT_LUTF0(16'b1010101011111100),
.INIT_LUTF1(16'b0011001100110000),
.INIT_LUTG0(16'b1010101011111100),
.INIT_LUTG1(16'b0011001100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1438|u_logic/_al_u691 (
.a({open_n130294,\u_logic/Pt2ju6 }),
.b({\u_logic/_al_u907_o ,\u_logic/Fq8iu6 }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u690_o }),
.d({\u_logic/Owoiu6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u1438_o ,\u_logic/_al_u691_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
//.LUTF1("(D*~(~A*~(C*B)))"),
//.LUTG0("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
//.LUTG1("(D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b0101001101011111),
.INIT_LUTF1(16'b1110101000000000),
.INIT_LUTG0(16'b0101001101011111),
.INIT_LUTG1(16'b1110101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1439|u_logic/_al_u2069 (
.a({\u_logic/_al_u1438_o ,\u_logic/T23ju6_lutinv }),
.b({\u_logic/_al_u148_o ,\u_logic/_al_u410_o }),
.c({\u_logic/_al_u688_o ,\u_logic/P5vpw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1439_o ,\u_logic/Rvniu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@(D*C*B)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*(1@(D*C*B)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0100000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u143|u_logic/_al_u2340 (
.a({open_n130343,\u_logic/_al_u1500_o }),
.b({open_n130344,\u_logic/R9mpw6 }),
.c({\u_logic/Vzupw6 ,\u_logic/Rskax6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Sqkax6 }),
.e({open_n130347,\u_logic/U1kpw6 }),
.f({\u_logic/Fq8iu6 ,\u_logic/_al_u2340_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(~D*B)))"),
//.LUTF1("(~B*~(C*D))"),
//.LUTG0("(C*~(~A*~(~D*B)))"),
//.LUTG1("(~B*~(C*D))"),
.INIT_LUTF0(16'b1010000011100000),
.INIT_LUTF1(16'b0000001100110011),
.INIT_LUTG0(16'b1010000011100000),
.INIT_LUTG1(16'b0000001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1441|u_logic/_al_u1586 (
.a({open_n130368,\u_logic/_al_u159_o }),
.b({\u_logic/_al_u410_o ,\u_logic/Sq3ju6 }),
.c({\u_logic/_al_u688_o ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1440_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1441_o ,\u_logic/_al_u1586_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000010111000),
.INIT_LUTF1(16'b1111000100110101),
.INIT_LUTG0(16'b0000000010111000),
.INIT_LUTG1(16'b1111000100110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1444|u_logic/_al_u973 (
.a({\u_logic/Bi0iu6 ,\u_logic/_al_u194_o }),
.b({\u_logic/Nkaju6_lutinv ,\u_logic/Vzupw6 }),
.c({\u_logic/Aujpw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u1444_o ,\u_logic/_al_u973_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111010100111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111010100111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1445|u_logic/_al_u2290 (
.a({open_n130417,\u_logic/Edapw6_lutinv }),
.b({open_n130418,\u_logic/Aujpw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1445_o ,\u_logic/_al_u2290_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~(~D*C)*~(0*A)))"),
//.LUT1("(B*~(~(~D*C)*~(1*A)))"),
.INIT_LUT0(16'b0000000011000000),
.INIT_LUT1(16'b1000100011001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1446 (
.a({\u_logic/S2ziu6_lutinv ,\u_logic/S2ziu6_lutinv }),
.b({\u_logic/_al_u1445_o ,\u_logic/_al_u1445_o }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.mi({open_n130455,\u_logic/Ydopw6 }),
.fx({open_n130460,\u_logic/_al_u1446_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(0*C)*~(D*A))"),
//.LUTF1("(D*~(~C*B*A))"),
//.LUTG0("(~B*~(1*C)*~(D*A))"),
//.LUTG1("(D*~(~C*B*A))"),
.INIT_LUTF0(16'b0001000100110011),
.INIT_LUTF1(16'b1111011100000000),
.INIT_LUTG0(16'b0000000100000011),
.INIT_LUTG1(16'b1111011100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1447|u_logic/_al_u666 (
.a({\u_logic/Lu0iu6 ,\u_logic/Xc2ju6_lutinv }),
.b({\u_logic/_al_u1444_o ,\u_logic/_al_u665_o }),
.c({\u_logic/_al_u1446_o ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Vgjpw6 ,\u_logic/_al_u142_o }),
.e({open_n130465,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1447_o ,\u_logic/_al_u666_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1448|u_logic/_al_u1091 (
.b({\u_logic/_al_u398_o ,open_n130488}),
.c({\u_logic/Vgjpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Pt2ju6 ,\u_logic/P5vpw6 }),
.f({\u_logic/Zf7ju6 ,\u_logic/_al_u1091_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*~A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000101011001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1449|u_logic/_al_u2096 (
.a({open_n130513,\u_logic/Mpniu6 }),
.b({open_n130514,\u_logic/_al_u1731_o }),
.c({\u_logic/Rkkax6 ,\u_logic/Iekax6 }),
.d({\u_logic/Zf7ju6 ,\u_logic/Shopw6 }),
.f({\u_logic/_al_u1449_o ,\u_logic/Aqniu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~((~(0*D)*~C))+A*B*~((~(0*D)*~C))+~(A)*~(B)*(~(0*D)*~C)+A*~(B)*(~(0*D)*~C)+A*B*(~(0*D)*~C))"),
//.LUT1("(~(A)*B*~((~(1*D)*~C))+A*B*~((~(1*D)*~C))+~(A)*~(B)*(~(1*D)*~C)+A*~(B)*(~(1*D)*~C)+A*B*(~(1*D)*~C))"),
.INIT_LUT0(16'b1100101111001011),
.INIT_LUT1(16'b1100110011001011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1452 (
.a({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.b({\u_logic/_al_u1447_o ,\u_logic/_al_u1447_o }),
.c({\u_logic/_al_u1449_o ,\u_logic/_al_u1449_o }),
.d({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.mi({open_n130547,\u_logic/Rkkax6 }),
.fx({open_n130552,\u_logic/_al_u1452_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1455|u_logic/_al_u3744 (
.a({\u_logic/_al_u1398_o ,open_n130555}),
.b({\u_logic/_al_u1452_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/T75ju6 ,\u_logic/Qbfpw6 [30]}),
.f({\u_logic/D5epw6 ,\u_logic/_al_u3744_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1456|u_logic/_al_u872 (
.a({\u_logic/_al_u1359_o ,open_n130576}),
.b({\u_logic/_al_u1452_o ,open_n130577}),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u692_o }),
.d({\u_logic/T75ju6 ,\u_logic/_al_u871_o }),
.f({\u_logic/Qbfpw6 [25],\u_logic/Idfpw6 [30]}));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1457|u_logic/_al_u3839 (
.a({\u_logic/_al_u1370_o ,open_n130598}),
.b({\u_logic/_al_u1452_o ,\u_logic/Dc0iu6 }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/F60iu6 }),
.d({\u_logic/T75ju6 ,\u_logic/Y1qow6 }),
.f({\u_logic/Qbfpw6 [26],\u_logic/_al_u3839_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b1111101101110011),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1458|u_logic/_al_u1459 (
.a({\u_logic/_al_u1376_o ,\u_logic/_al_u1384_o }),
.b({\u_logic/_al_u1452_o ,\u_logic/_al_u1452_o }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/A85ju6_lutinv }),
.d({\u_logic/T75ju6 ,\u_logic/T75ju6 }),
.f({\u_logic/Qbfpw6 [27],\u_logic/Qbfpw6 [28]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1460|u_logic/_al_u3653 (
.a({\u_logic/_al_u1406_o ,open_n130643}),
.b({\u_logic/_al_u1452_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/A85ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/T75ju6 ,\u_logic/Qbfpw6 [23]}),
.f({\u_logic/Qbfpw6 [29],\u_logic/Vf5ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111101101110011),
.MODE("LOGIC"))
\u_logic/_al_u1461|u_logic/_al_u893 (
.a({\u_logic/_al_u1392_o ,open_n130664}),
.b({\u_logic/_al_u1452_o ,open_n130665}),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u692_o }),
.d({\u_logic/T75ju6 ,\u_logic/To2ju6_lutinv }),
.f({\u_logic/Qbfpw6 [30],\u_logic/Idfpw6 [31]}));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("~(B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b1111101101110011),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b1111101101110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1462|u_logic/_al_u3743 (
.a({\u_logic/_al_u1351_o ,\u_logic/Y47ju6_lutinv }),
.b({\u_logic/_al_u1452_o ,\u_logic/Qbfpw6 [27]}),
.c({\u_logic/A85ju6_lutinv ,\u_logic/_al_u3742_o }),
.d({\u_logic/T75ju6 ,\u_logic/_al_u850_o }),
.e({open_n130688,\u_logic/Ys4ju6 }),
.f({\u_logic/Qbfpw6 [24],\u_logic/_al_u3743_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~((D*C))*~(0)+B*(D*C)*~(0)+~(B)*(D*C)*0+B*(D*C)*0))"),
//.LUT1("(A*(B*~((D*C))*~(1)+B*(D*C)*~(1)+~(B)*(D*C)*1+B*(D*C)*1))"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1010000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1464 (
.a({\u_logic/_al_u121_o ,\u_logic/_al_u121_o }),
.b({\u_logic/_al_u1091_o ,\u_logic/_al_u1091_o }),
.c({\u_logic/_al_u1463_o ,\u_logic/_al_u1463_o }),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.mi({open_n130721,\u_logic/Ufopw6 }),
.fx({open_n130726,\u_logic/_al_u1464_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~(A*~(~0*~D)))"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(~C*~B*~(A*~(~1*~D)))"),
//.LUTG1("(~B*A*~(~D*C))"),
.INIT_LUTF0(16'b0000000100000011),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0000000100000001),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1465|u_logic/_al_u1495 (
.a({\u_logic/D6kiu6_lutinv ,\u_logic/U98iu6 }),
.b({\u_logic/Cc2ju6_lutinv ,\u_logic/Bi0iu6 }),
.c({\u_logic/_al_u638_o ,\u_logic/_al_u1493_o }),
.d({\u_logic/T1vpw6 ,\u_logic/N98iu6_lutinv }),
.e({open_n130731,\u_logic/Fr0iu6_lutinv }),
.f({\u_logic/_al_u1465_o ,\u_logic/_al_u1495_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*B*C+A*B*C))"),
//.LUT1("(D*~(~C*~B*~A))"),
.INIT_LUT0(16'b1100101000000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"))
\u_logic/_al_u1466|u_logic/_al_u1721 (
.a({\u_logic/_al_u1084_o ,\u_logic/Yi7ju6_lutinv }),
.b({\u_logic/_al_u1464_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u1465_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/Kc6ju6 ,\u_logic/_al_u1721_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1468|u_logic/_al_u1620 (
.a({open_n130772,\u_logic/Zf7ju6 }),
.b({\u_logic/_al_u1467_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/V6jax6 ,\u_logic/Oikax6 }),
.d({\u_logic/Kc6ju6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u1468_o ,\u_logic/_al_u1620_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("~(D@(B*~(C*~A)))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000110001110011),
.MODE("LOGIC"))
\u_logic/_al_u1469|u_logic/_al_u322 (
.a({\u_logic/I28ju6 ,open_n130797}),
.b({\u_logic/_al_u1468_o ,open_n130798}),
.c({\u_logic/_al_u1442_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1447_o ,\u_logic/P40iu6 }),
.f({\u_logic/Q5phu6 ,\u_logic/Mifpw6 [6]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(D*~(~A*~(~C*B)))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1010111000000000),
.MODE("LOGIC"))
\u_logic/_al_u1470|u_logic/_al_u1584 (
.a({\u_logic/_al_u159_o ,\u_logic/Pt2ju6 }),
.b({\u_logic/Cc2ju6_lutinv ,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/Xxupw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1470_o ,\u_logic/_al_u1584_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~(~A*~(~0*~B)))"),
//.LUT1("(D*~C*~(~A*~(~1*~B)))"),
.INIT_LUT0(16'b0000101100000000),
.INIT_LUT1(16'b0000101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1473 (
.a({\u_logic/Fq8iu6 ,\u_logic/Fq8iu6 }),
.b({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.c({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n130851,\u_logic/Yvjpw6 }),
.fx({open_n130856,\u_logic/_al_u1473_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1475|u_logic/_al_u1622 (
.a({open_n130859,\u_logic/Zf7ju6 }),
.b({open_n130860,\u_logic/_al_u1451_o }),
.c({\u_logic/Jckax6 ,\u_logic/Iekax6 }),
.d({\u_logic/_al_u1451_o ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u1475_o ,\u_logic/_al_u1622_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*~B)*~(D*A))"),
//.LUT1("(~C*~(1*~B)*~(D*A))"),
.INIT_LUT0(16'b0000010100001111),
.INIT_LUT1(16'b0000010000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1476 (
.a({\u_logic/Kc6ju6 ,\u_logic/Kc6ju6 }),
.b({\u_logic/_al_u1474_o ,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u1475_o ,\u_logic/_al_u1475_o }),
.d({\u_logic/Ubypw6 ,\u_logic/Ubypw6 }),
.mi({open_n130897,\u_logic/Umkax6 }),
.fx({open_n130902,\u_logic/_al_u1476_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(D@(B*~(C*~A)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(D@(B*~(C*~A)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000110001110011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000110001110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1477|u_logic/_al_u358 (
.a({\u_logic/_al_u1187_o ,open_n130905}),
.b({\u_logic/_al_u1476_o ,open_n130906}),
.c({\u_logic/_al_u1442_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1447_o ,\u_logic/W40iu6 }),
.f({\u_logic/E2epw6 ,\u_logic/Mifpw6 [5]}));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~A*~(~B*~(D*~C)))"),
//.LUTG0("(A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~A*~(~B*~(D*~C)))"),
.INIT_LUTF0(16'b1111111011101000),
.INIT_LUTF1(16'b0100010101000100),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b0100010101000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1479|u_logic/_al_u1683 (
.a({\u_logic/Iekax6 ,\u_logic/Iekax6 }),
.b({\u_logic/Lgkax6 ,\u_logic/Lgkax6 }),
.c({\u_logic/Oikax6 ,\u_logic/Oikax6 }),
.d({\u_logic/Rkkax6 ,\u_logic/Rkkax6 }),
.e({open_n130933,\u_logic/Ubypw6 }),
.f({\u_logic/_al_u1479_o ,\u_logic/_al_u1683_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~B*~(C*D))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u1480|u_logic/_al_u1467 (
.a({open_n130954,\u_logic/Zf7ju6 }),
.b({\u_logic/_al_u1479_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/Fkrpw6 ,\u_logic/Lgkax6 }),
.d({\u_logic/_al_u720_o ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u1480_o ,\u_logic/_al_u1467_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18634)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~((~D*~C))*~(0)+A*~(B)*(~D*~C)*~(0)+~(A)*~(B)*~((~D*~C))*0+A*~(B)*~((~D*~C))*0+~(A)*B*~((~D*~C))*0+~(A)*~(B)*(~D*~C)*0+A*~(B)*(~D*~C)*0+~(A)*B*(~D*~C)*0+A*B*(~D*~C)*0)"),
//.LUTF1("(C*~(D*~(B*~(0*A))))"),
//.LUTG0("(A*~(B)*~((~D*~C))*~(1)+A*~(B)*(~D*~C)*~(1)+~(A)*~(B)*~((~D*~C))*1+A*~(B)*~((~D*~C))*1+~(A)*B*~((~D*~C))*1+~(A)*~(B)*(~D*~C)*1+A*~(B)*(~D*~C)*1+~(A)*B*(~D*~C)*1+A*B*(~D*~C)*1)"),
//.LUTG1("(C*~(D*~(B*~(1*A))))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001000100010),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0111011101111111),
.INIT_LUTG1(16'b0100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1481|u_logic/V6jax6_reg (
.a({\u_logic/_al_u1052_o ,\u_logic/_al_u1972_o }),
.b({\u_logic/_al_u1480_o ,\u_logic/_al_u1980_o }),
.c({\u_logic/Gpyiu6 ,\u_logic/_al_u1052_o }),
.clk(clk_pad),
.d({\u_logic/L45iu6_lutinv ,\u_logic/Dk7ow6 }),
.e({\u_logic/V6jax6 ,\u_logic/V6jax6 }),
.f({\u_logic/_al_u1481_o ,open_n130991}),
.q({open_n130995,\u_logic/V6jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18634)
EG_PHY_MSLICE #(
//.LUT0("~(C*~((~D*B))*~(A)+C*(~D*B)*~(A)+~(C)*(~D*B)*A+C*(~D*B)*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1010111100100111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1482|u_logic/_al_u2056 (
.a({\u_logic/_al_u718_o ,\u_logic/Cn7ow6 }),
.b({\u_logic/_al_u156_o ,\u_logic/Ssjax6 }),
.c({\u_logic/Iekax6 ,\u_logic/Wkipw6 }),
.d({\u_logic/W4jax6 ,\u_logic/Hd8iu6_lutinv }),
.f({\u_logic/_al_u1482_o ,\u_logic/_al_u2056_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17286)
EG_PHY_MSLICE #(
//.LUT0("~(~B*A*~(D*~C))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101111111011101),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1483|u_logic/U1kpw6_reg (
.a({open_n131016,\u_logic/_al_u2341_o }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/_al_u2342_o }),
.c({\u_logic/Wfspw6 ,\u_logic/Yb8iu6 }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/_al_u1482_o ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1483_o ,open_n131030}),
.q({open_n131034,\u_logic/U1kpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17286)
EG_PHY_MSLICE #(
//.LUT0("(~(D*~C)*~(~B*A))"),
//.LUT1("(~B*~(C*D))"),
.INIT_LUT0(16'b1101000011011101),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u1485|u_logic/_al_u2119 (
.a({open_n131035,\u_logic/_al_u121_o }),
.b({\u_logic/Dxvpw6 ,\u_logic/P5vpw6 }),
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/R3vpw6 }),
.d({\u_logic/Bciax6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u2119_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1486|u_logic/_al_u1591 (
.a({open_n131056,\u_logic/_al_u1590_o }),
.b({\u_logic/Nbkiu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/Aujiu6 ,\u_logic/_al_u1503_o }),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1486_o ,\u_logic/Ljiiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(C*~(0*~D)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~B*A*~(C*~(1*~D)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000001000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1487|u_logic/_al_u1600 (
.a({open_n131077,\u_logic/_al_u1598_o }),
.b({open_n131078,\u_logic/_al_u1486_o }),
.c({\u_logic/_al_u386_o ,\u_logic/_al_u1487_o }),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/F6ziu6 }),
.e({open_n131081,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1487_o ,\u_logic/_al_u1600_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(~D*~C))"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u1488|u_logic/_al_u1973 (
.a({open_n131102,\u_logic/Ia8iu6_lutinv }),
.b({\u_logic/_al_u1487_o ,\u_logic/_al_u1503_o }),
.c({\u_logic/Aujiu6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1486_o ,\u_logic/U9ypw6 }),
.f({\u_logic/Ubkiu6 ,\u_logic/_al_u1973_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1489 (
.a({\u_logic/Ubkiu6 ,\u_logic/Ubkiu6 }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/A95iu6_lutinv }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.d({\u_logic/Kxziu6_lutinv ,\u_logic/Kxziu6_lutinv }),
.mi({open_n131135,\u_logic/_al_u398_o }),
.fx({open_n131140,\u_logic/_al_u1489_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*D*C*B))"),
//.LUT1("(A*~(~1*D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1491 (
.a({\u_logic/_al_u1489_o ,\u_logic/_al_u1489_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/Nbkiu6_lutinv ,\u_logic/Nbkiu6_lutinv }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n131155,\u_logic/U9ypw6 }),
.fx({open_n131160,\u_logic/Yb8iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0011000000111111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0011000000111111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1492|u_logic/_al_u2669 (
.b({open_n131165,\u_logic/Yi7ju6_lutinv }),
.c({\u_logic/Yljiu6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u638_o }),
.f({\u_logic/U98iu6 ,\u_logic/_al_u2669_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1496|u_logic/_al_u1817 (
.b({\u_logic/_al_u1237_o ,open_n131192}),
.c({\u_logic/P14qw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Ia8iu6_lutinv ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u1496_o ,\u_logic/_al_u1817_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~(~0*A))"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(D*~C*~B*~(~1*A))"),
//.LUTG1("(~B*A*~(~D*C))"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1497|u_logic/_al_u2740 (
.a({\u_logic/_al_u1495_o ,\u_logic/_al_u1487_o }),
.b({\u_logic/_al_u1496_o ,\u_logic/Bi0iu6 }),
.c({\u_logic/Qe8iu6_lutinv ,\u_logic/_al_u669_o }),
.d({\u_logic/R3vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n131215,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1497_o ,\u_logic/_al_u2740_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B))"),
//.LUTF1("~(C*D)"),
//.LUTG0("(D*~(~C*~B))"),
//.LUTG1("~(C*D)"),
.INIT_LUTF0(16'b1111110000000000),
.INIT_LUTF1(16'b0000111111111111),
.INIT_LUTG0(16'b1111110000000000),
.INIT_LUTG1(16'b0000111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u14|u_logic/_al_u2918 (
.b({open_n131238,\u_logic/Gpqpw6 }),
.c({\u_logic/Uofax6 ,\u_logic/Nmfax6 }),
.d({\u_logic/Sqfax6 ,\u_logic/_al_u126_o }),
.f({\u_logic/n274 ,\u_logic/K7xiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~A*~(D*B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"))
\u_logic/_al_u1500|u_logic/_al_u1440 (
.a({\u_logic/_al_u1498_o ,open_n131263}),
.b({\u_logic/U98iu6 ,open_n131264}),
.c({\u_logic/_al_u1499_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u142_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1500_o ,\u_logic/_al_u1440_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*A*~(0*~B))"),
//.LUTF1("(D*~(~A*~(C*~B)))"),
//.LUTG0("(D*C*A*~(1*~B))"),
//.LUTG1("(D*~(~A*~(C*~B)))"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b1011101000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1011101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1501|u_logic/_al_u2288 (
.a({\u_logic/_al_u1067_o ,\u_logic/Rcziu6 }),
.b({\u_logic/_al_u142_o ,\u_logic/_al_u2280_o }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/_al_u2284_o }),
.d({\u_logic/Vzjpw6 ,\u_logic/_al_u2287_o }),
.e({open_n131287,\u_logic/Yvjpw6 }),
.f({\u_logic/Tc8iu6 ,\u_logic/_al_u2288_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(~D*~B))"),
//.LUT1("(A*~(1*C)*~(~D*~B))"),
.INIT_LUT0(16'b1010101010001000),
.INIT_LUT1(16'b0000101000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1502 (
.a({\u_logic/_al_u1497_o ,\u_logic/_al_u1497_o }),
.b({\u_logic/_al_u1500_o ,\u_logic/_al_u1500_o }),
.c({\u_logic/Tc8iu6 ,\u_logic/Tc8iu6 }),
.d({\u_logic/Sqkax6 ,\u_logic/Sqkax6 }),
.mi({open_n131320,\u_logic/Xdspw6 }),
.fx({open_n131325,\u_logic/_al_u1502_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1503|u_logic/_al_u383 (
.c({\u_logic/Skjax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Nbkiu6_lutinv ,\u_logic/P14qw6 }),
.f({\u_logic/_al_u1503_o ,\u_logic/Nbkiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1504|u_logic/_al_u1987 (
.a({open_n131352,\u_logic/_al_u1604_o }),
.b({open_n131353,\u_logic/Kmiiu6 }),
.c({\u_logic/Kxziu6_lutinv ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1503_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1504_o ,\u_logic/_al_u1987_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~D*~(~A*~(C*B)))"),
//.LUTG0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~D*~(~A*~(C*B)))"),
.INIT_LUTF0(16'b0000010100010001),
.INIT_LUTF1(16'b0000000011101010),
.INIT_LUTG0(16'b0000010100010001),
.INIT_LUTG1(16'b0000000011101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1505|u_logic/_al_u1602 (
.a({\u_logic/_al_u1504_o ,\u_logic/_al_u1601_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/_al_u386_o ,\u_logic/_al_u1463_o }),
.d({\u_logic/U9ypw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1505_o ,\u_logic/Aaiiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTF1("(~A*~(~D*~C*B))"),
//.LUTG0("(A*(~(B)*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTG1("(~A*~(~D*~C*B))"),
.INIT_LUTF0(16'b1000100000100000),
.INIT_LUTF1(16'b0101010101010001),
.INIT_LUTG0(16'b1000100000100000),
.INIT_LUTG1(16'b0101010101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1506|u_logic/_al_u707 (
.a({\u_logic/_al_u1505_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/_al_u718_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/P14qw6 }),
.d({\u_logic/U9ypw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/Hd8iu6_lutinv ,\u_logic/Fb1ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~C)*~(0*~A))"),
//.LUT1("(B*~(D*~C)*~(1*~A))"),
.INIT_LUT0(16'b1100000011001100),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1507 (
.a({\u_logic/Yb8iu6 ,\u_logic/Yb8iu6 }),
.b({\u_logic/_al_u1502_o ,\u_logic/_al_u1502_o }),
.c({\u_logic/Hd8iu6_lutinv ,\u_logic/Hd8iu6_lutinv }),
.d({\u_logic/W4jax6 ,\u_logic/W4jax6 }),
.mi({open_n131438,\u_logic/Wfspw6 }),
.fx({open_n131443,\u_logic/_al_u1507_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C)*~(0*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(D*C)*~(1*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1508|u_logic/_al_u2062 (
.a({open_n131446,\u_logic/_al_u1600_o }),
.b({open_n131447,\u_logic/Aaiiu6 }),
.c({\u_logic/Ssjax6 ,\u_logic/_al_u1604_o }),
.d({\u_logic/_al_u1487_o ,\u_logic/P0kax6 }),
.e({open_n131450,\u_logic/Rwjax6 }),
.f({\u_logic/Ttjiu6_lutinv ,\u_logic/_al_u2062_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*~A*~(D*C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(1*~(~B*~A*~(D*C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111111011101110),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1509|u_logic/_al_u2664 (
.a({open_n131471,\u_logic/_al_u2661_o }),
.b({open_n131472,\u_logic/_al_u2663_o }),
.c({\u_logic/Sojax6 ,\u_logic/_al_u1815_o }),
.d({\u_logic/_al_u400_o ,\u_logic/_al_u386_o }),
.e({open_n131475,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1509_o ,\u_logic/_al_u2664_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~(C*B)*~(D*A)))"),
//.LUT1("(1*~(~(C*B)*~(D*A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1110101011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1510 (
.a({\u_logic/Ttjiu6_lutinv ,\u_logic/Ttjiu6_lutinv }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/_al_u1509_o ,\u_logic/_al_u1509_o }),
.d({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.mi({open_n131508,\u_logic/U9ypw6 }),
.fx({open_n131513,\u_logic/E88iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1514|u_logic/_al_u1548 (
.a({open_n131516,\u_logic/Q8eiu6_lutinv }),
.b({open_n131517,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/N8rpw6 ,\u_logic/Rq0qw6 }),
.d({\u_logic/Azeiu6 ,\u_logic/Ss0qw6 }),
.f({\u_logic/_al_u1514_o ,\u_logic/_al_u1548_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18104)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~A*~(D*C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0100010101010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1515|u_logic/Lp7ax6_reg (
.a({\u_logic/_al_u734_o ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Lp7ax6 ,\u_logic/Ujspw6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/Zszax6 ,\u_logic/Wlspw6 }),
.mi({open_n131548,\u_logic/G3eiu6 }),
.sr(cpuresetn),
.f({\u_logic/L9eiu6_lutinv ,\u_logic/_al_u1532_o }),
.q({open_n131552,\u_logic/Lp7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18104)
// ../rtl/topmodule/cortexm0ds_logic.v(18966)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1516|u_logic/Wnxax6_reg (
.b({\u_logic/L9eiu6_lutinv ,open_n131555}),
.c({\u_logic/_al_u734_o ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u1514_o ,HWDATA[1]}),
.f({\u_logic/Q8eiu6_lutinv ,\u_logic/I4eiu6 }),
.q({open_n131572,\u_logic/Wnxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18966)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u1517|u_logic/_al_u115 (
.a({\u_logic/Q8eiu6_lutinv ,open_n131573}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/W5ypw6 }),
.c({\u_logic/Coupw6 ,\u_logic/Ztupw6 }),
.d({\u_logic/J7xax6 ,\u_logic/R9yax6 }),
.f({\u_logic/_al_u1517_o ,\u_logic/Cznow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1520|u_logic/_al_u2990 (
.a({\u_logic/Q8eiu6_lutinv ,open_n131594}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/R9yax6 }),
.c({\u_logic/D7gbx6 ,\u_logic/W5ypw6 }),
.d({\u_logic/F9gbx6 ,\u_logic/Pjyiu6 }),
.f({\u_logic/_al_u1520_o ,\u_logic/M0eow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1522|u_logic/_al_u69 (
.a({\u_logic/J8eiu6 ,open_n131619}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/W5ypw6 }),
.c({\u_logic/Tjkpw6 ,\u_logic/Ztupw6 }),
.d({\u_logic/L6gpw6 [21],\u_logic/R9yax6 }),
.f({\u_logic/_al_u1522_o ,\u_logic/Wjyiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17231)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1524|u_logic/Z8jpw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n131644}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/X6jpw6 ,\u_logic/L6gpw6 [20]}),
.clk(clk_pad),
.d({\u_logic/Z8jpw6 ,\u_logic/_al_u1524_o }),
.f({\u_logic/_al_u1524_o ,open_n131659}),
.q({open_n131663,\u_logic/Z8jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17231)
// ../rtl/topmodule/cortexm0ds_logic.v(17829)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1526|u_logic/N0xpw6_reg (
.a({\u_logic/J8eiu6 ,open_n131664}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/Nr7ax6 ,\u_logic/L6gpw6 [18]}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [19],\u_logic/_al_u1528_o }),
.f({\u_logic/_al_u1526_o ,open_n131679}),
.q({open_n131683,\u_logic/N0xpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17829)
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*B*~A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1111000010110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u152|u_logic/_al_u2800 (
.a({open_n131684,\u_logic/LOCKUP }),
.b({\u_logic/Gr2qw6 ,\u_logic/Bepiu6 }),
.c({\u_logic/Isjpw6 ,\u_logic/_al_u2799_o }),
.d({\u_logic/Wofiu6_lutinv ,\u_logic/Ydopw6 }),
.f({\u_logic/HALTED ,\u_logic/_al_u2800_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19844)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1534|u_logic/Ox9bx6_reg (
.a({\u_logic/Q8eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/L9xax6 ,\u_logic/Pdxax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Nbxax6 ,\u_logic/Rfxax6 }),
.mi({open_n131708,HWDATA[6]}),
.f({\u_logic/_al_u1534_o ,\u_logic/_al_u1536_o }),
.q({open_n131724,\u_logic/Ox9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19844)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u1538|u_logic/_al_u68 (
.a({\u_logic/Q8eiu6_lutinv ,open_n131725}),
.b({\u_logic/L9eiu6_lutinv ,open_n131726}),
.c({\u_logic/R7kpw6 ,\u_logic/C1wpw6 }),
.d({\u_logic/T9kpw6 ,\u_logic/M6eiu6 }),
.f({\u_logic/_al_u1538_o ,\u_logic/n1009 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(~B*~(C*D))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u153|u_logic/_al_u645 (
.b({\u_logic/Vygax6 ,\u_logic/P5vpw6 }),
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/Bciax6 ,\u_logic/Bciax6 }),
.f({\u_logic/_al_u153_o ,\u_logic/Llaow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17598)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1542|u_logic/M6rpw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,\u_logic/J8eiu6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Ofmpw6 ,\u_logic/V0jpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Pt7ax6 ,\u_logic/L6gpw6 [12]}),
.mi({open_n131779,HWDATA[0]}),
.f({\u_logic/_al_u1542_o ,\u_logic/_al_u1540_o }),
.q({open_n131784,\u_logic/M6rpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17598)
// ../rtl/topmodule/cortexm0ds_logic.v(20248)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(B*D))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110011110000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1544|u_logic/Rekbx6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n131785}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/G3eiu6 }),
.c({\u_logic/Tptpw6 ,SYSRESETREQ}),
.clk(clk_pad),
.d({\u_logic/Vrtpw6 ,\u_logic/T9qow6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1544_o ,open_n131799}),
.q({open_n131803,SYSRESETREQ})); // ../rtl/topmodule/cortexm0ds_logic.v(20248)
// ../rtl/topmodule/cortexm0ds_logic.v(17600)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1546|u_logic/Oarpw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n131804}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Tmjbx6 ,\u_logic/Wnxax6 }),
.clk(clk_pad),
.d({\u_logic/Uojbx6 ,\u_logic/_al_u1562_o }),
.f({\u_logic/_al_u1546_o ,open_n131819}),
.q({open_n131823,\u_logic/Oarpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17600)
// ../rtl/topmodule/cortexm0ds_logic.v(19260)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1550|u_logic/Qx0bx6_reg (
.a({\u_logic/Q8eiu6_lutinv ,HWDATA[27]}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Thxax6 ,\u_logic/Qx0bx6 }),
.clk(clk_pad),
.d({\u_logic/Ujxax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1550_o ,open_n131841}),
.q({open_n131845,\u_logic/Qx0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19260)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1552|u_logic/_al_u3168 (
.a({\u_logic/Q8eiu6_lutinv ,\u_logic/F0eow6 }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/M0eow6 }),
.c({\u_logic/Ox9bx6 ,\u_logic/C50bx6 }),
.d({\u_logic/Rv7ax6 ,\u_logic/Fc1bx6 }),
.f({\u_logic/_al_u1552_o ,\u_logic/L9mow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17928)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1554|u_logic/Ss0qw6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n131870}),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/J8eiu6 }),
.c({\u_logic/X5opw6 ,\u_logic/L6gpw6 [8]}),
.clk(clk_pad),
.d({\u_logic/Y7opw6 ,\u_logic/_al_u1548_o }),
.f({\u_logic/_al_u1554_o ,open_n131889}),
.q({open_n131893,\u_logic/Ss0qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17928)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u1556|u_logic/_al_u1560 (
.a({\u_logic/Q8eiu6_lutinv ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/L9eiu6_lutinv ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Imhbx6 ,\u_logic/Kzabx6 }),
.d({\u_logic/Johbx6 ,\u_logic/Vlxax6 }),
.f({\u_logic/_al_u1556_o ,\u_logic/_al_u1560_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1558|u_logic/_al_u4001 (
.a({\u_logic/J8eiu6 ,open_n131914}),
.b({\u_logic/L9eiu6_lutinv ,open_n131915}),
.c({\u_logic/P0ibx6 ,\u_logic/W5ypw6 }),
.d({\u_logic/L6gpw6 [3],\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1558_o ,\u_logic/_al_u4001_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u155|u_logic/_al_u156 (
.c({\u_logic/R3vpw6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u155_o ,\u_logic/_al_u156_o }));
// ../rtl/topmodule/CortexM0_SoC.v(85)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1562|cpuresetn_reg (
.a({\u_logic/J8eiu6 ,open_n131964}),
.b({\u_logic/L9eiu6_lutinv ,open_n131965}),
.c({\u_logic/Oarpw6 ,open_n131966}),
.clk(clk_pad),
.d({\u_logic/L6gpw6 [1],SYSRESETREQ}),
.sr(RSTn_pad),
.f({\u_logic/_al_u1562_o ,open_n131984}),
.q({open_n131988,cpuresetn})); // ../rtl/topmodule/CortexM0_SoC.v(85)
// ../rtl/topmodule/cortexm0ds_logic.v(18965)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1564|u_logic/Vlxax6_reg (
.a({\u_logic/Q8eiu6_lutinv ,open_n131989}),
.b({\u_logic/L9eiu6_lutinv ,open_n131990}),
.c({\u_logic/M6rpw6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/N8rpw6 ,HWDATA[2]}),
.f({\u_logic/_al_u1564_o ,\u_logic/G3eiu6 }),
.q({open_n132011,\u_logic/Vlxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18965)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(D)*~(C)+~B*D*~(C)+~(~B)*D*C+~B*D*C)"),
//.LUT1("(~B*A*~(~D*~C))"),
.INIT_LUT0(16'b0000110011111100),
.INIT_LUT1(16'b0010001000100000),
.MODE("LOGIC"))
\u_logic/_al_u1567|u_logic/_al_u4561 (
.a({\u_logic/_al_u1566_o ,open_n132012}),
.b({\u_logic/_al_u1154_o ,\u_logic/R3vpw6 }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/Ufopw6 }),
.d({\u_logic/Ubypw6 ,\u_logic/T23ju6_lutinv }),
.f({\u_logic/_al_u1567_o ,\u_logic/B4mow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*~A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(D*~(~C*~B*~A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1111111000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111111000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1569|u_logic/_al_u4432 (
.a({open_n132033,\u_logic/_al_u4431_o }),
.b({open_n132034,\u_logic/Pt2ju6 }),
.c({\u_logic/_al_u145_o ,\u_logic/_al_u670_o }),
.d({\u_logic/Uzaiu6 ,\u_logic/Fpnpw6 }),
.f({\u_logic/Yi8iu6_lutinv ,\u_logic/_al_u4432_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B*D))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u1571|u_logic/_al_u1577 (
.b({open_n132061,\u_logic/Fhoiu6 }),
.c({\u_logic/Ph8iu6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Yi8iu6_lutinv ,\u_logic/Ug8iu6_lutinv }),
.f({\u_logic/Ug8iu6_lutinv ,\u_logic/Y5liu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*~A*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*~A*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000010101),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1573|u_logic/_al_u1830 (
.a({\u_logic/_al_u1572_o ,\u_logic/Habiu6 }),
.b({\u_logic/_al_u194_o ,\u_logic/Tc8iu6 }),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/T5mpw6 }),
.d({\u_logic/_al_u1099_o ,\u_logic/Wfspw6 }),
.f({\u_logic/_al_u1573_o ,\u_logic/_al_u1830_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~(~B*~A)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~C*~(D*~(~B*~A)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000100001111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000100001111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u157|u_logic/_al_u4448 (
.a({\u_logic/_al_u153_o ,\u_logic/_al_u153_o }),
.b({\u_logic/_al_u154_o ,\u_logic/_al_u1610_o }),
.c({\u_logic/_al_u155_o ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u156_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u157_o ,\u_logic/Tucow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~D*~(~C*A)))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~B*~(~D*~(~C*A)))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0011001100000010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011001100000010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1580|u_logic/_al_u2148 (
.a({open_n132130,\u_logic/_al_u2146_o }),
.b({open_n132131,\u_logic/_al_u2147_o }),
.c({\u_logic/Yvjpw6 ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1580_o ,\u_logic/_al_u2148_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*~(~D*~A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(~C*~(~D*~A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000011000100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000011000100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1581|u_logic/_al_u2701 (
.a({open_n132156,\u_logic/_al_u956_o }),
.b({open_n132157,\u_logic/_al_u1094_o }),
.c({\u_logic/D31ju6 ,\u_logic/_al_u685_o }),
.d({\u_logic/_al_u1580_o ,\u_logic/Vzupw6 }),
.f({\u_logic/Nz2ju6 ,\u_logic/_al_u2701_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18580)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*C*B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(~A*~(D*C*B))"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110101010101010),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1110101010101010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1582|u_logic/Zdiax6_reg (
.a({open_n132182,\u_logic/_al_u157_o }),
.b({open_n132183,\u_logic/_al_u158_o }),
.c({\u_logic/Xxupw6 ,\u_logic/_al_u159_o }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/Ufopw6 ,\u_logic/T1vpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1582_o ,open_n132200}),
.q({open_n132204,\u_logic/Zdiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18580)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1583|u_logic/_al_u961 (
.a({\u_logic/_al_u1579_o ,\u_logic/_al_u394_o }),
.b({\u_logic/Nz2ju6 ,\u_logic/S7mpw6 }),
.c({\u_logic/_al_u410_o ,\u_logic/Wfspw6 }),
.d({\u_logic/_al_u1582_o ,\u_logic/Wkipw6 }),
.f({\u_logic/Im2ju6 ,\u_logic/_al_u961_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*A*~(D*~B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000100000001010),
.MODE("LOGIC"))
\u_logic/_al_u1587|u_logic/_al_u975 (
.a({\u_logic/Im2ju6 ,open_n132229}),
.b({\u_logic/_al_u1585_o ,open_n132230}),
.c({\u_logic/_al_u1586_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Nkaju6_lutinv ,\u_logic/Hirpw6 }),
.f({\u_logic/Ng8iu6 ,\u_logic/Fr0iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1589|u_logic/_al_u718 (
.c({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/_al_u1487_o ,\u_logic/Nbkiu6_lutinv }),
.f({\u_logic/Z4jiu6_lutinv ,\u_logic/_al_u718_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~B*~(~D*~C)))"),
//.LUT1("(~A*~(1*~B*~(~D*~C)))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0100010001000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1594 (
.a({\u_logic/_al_u1504_o ,\u_logic/_al_u1504_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/_al_u386_o ,\u_logic/_al_u386_o }),
.d({\u_logic/_al_u1592_o ,\u_logic/_al_u1592_o }),
.mi({open_n132291,\u_logic/Jiiiu6 }),
.fx({open_n132296,\u_logic/Hhiiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B*A*~(~D*C))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000100000001000),
.MODE("LOGIC"))
\u_logic/_al_u1595|u_logic/_al_u1601 (
.a({\u_logic/Ljiiu6 ,\u_logic/Ia8iu6_lutinv }),
.b({\u_logic/Hhiiu6 ,\u_logic/Nbkiu6_lutinv }),
.c({\u_logic/Z4jiu6_lutinv ,\u_logic/Aujiu6 }),
.d({\u_logic/Ssjax6 ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u1595_o ,\u_logic/_al_u1601_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1596|u_logic/_al_u1228 (
.c({\u_logic/Dxvpw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Jiiiu6 ,\u_logic/Rwjax6 }),
.f({\u_logic/Dmiiu6 ,\u_logic/_al_u1228_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~0*D*~C*A))"),
//.LUT1("(~B*~(~1*D*~C*A))"),
.INIT_LUT0(16'b0011000100110011),
.INIT_LUT1(16'b0011001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1598 (
.a({\u_logic/Dmiiu6 ,\u_logic/Dmiiu6 }),
.b({\u_logic/Kmiiu6 ,\u_logic/Kmiiu6 }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Nbkiu6_lutinv ,\u_logic/Nbkiu6_lutinv }),
.mi({open_n132355,\u_logic/Skjax6 }),
.fx({open_n132360,\u_logic/_al_u1598_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)*~((~0*~A))+B*C*~(D)*~((~0*~A))+B*~(C)*D*~((~0*~A))+~(B)*C*D*~((~0*~A))+B*C*D*~((~0*~A))+B*C*~(D)*(~0*~A)+~(B)*C*D*(~0*~A)+B*C*D*(~0*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*~(C)*~(D)*~((~1*~A))+B*C*~(D)*~((~1*~A))+B*~(C)*D*~((~1*~A))+~(B)*C*D*~((~1*~A))+B*C*D*~((~1*~A))+B*C*~(D)*(~1*~A)+~(B)*C*D*(~1*~A)+B*C*D*(~1*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111100011001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111110011001100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1603|u_logic/_al_u4017 (
.a({open_n132363,\u_logic/_al_u4016_o }),
.b({open_n132364,\u_logic/Dxvpw6 }),
.c({\u_logic/P14qw6 ,\u_logic/Skjax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Sojax6 }),
.e({open_n132367,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1603_o ,\u_logic/_al_u4017_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C)*~(0*~A))"),
//.LUTF1("(B*~(D*C)*~(0*~A))"),
//.LUTG0("(B*~(D*C)*~(1*~A))"),
//.LUTG1("(B*~(D*C)*~(1*~A))"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1605|u_logic/_al_u2350 (
.a({\u_logic/_al_u1600_o ,\u_logic/_al_u1600_o }),
.b({\u_logic/Aaiiu6 ,\u_logic/Aaiiu6 }),
.c({\u_logic/_al_u1604_o ,\u_logic/_al_u1604_o }),
.d({\u_logic/N4kax6 ,\u_logic/Rwjax6 }),
.e({\u_logic/P0kax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1605_o ,\u_logic/_al_u2350_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(~C*~(~0*D))))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*~(~A*~(~C*~(~1*D))))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000100010001100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000110010001100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1608|u_logic/_al_u2374 (
.a({\u_logic/F6ziu6 ,\u_logic/_al_u2373_o }),
.b({\u_logic/_al_u386_o ,\u_logic/J9kiu6_lutinv }),
.c({\u_logic/Y40ju6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/U9ypw6 ,\u_logic/Gr2qw6 }),
.e({open_n132412,\u_logic/P0kax6 }),
.f({\u_logic/Dd7ow6 ,\u_logic/_al_u2374_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17738)
EG_PHY_LSLICE #(
//.LUTF0("(~(~0*~D)*~(~C*~B*A))"),
//.LUTF1("(~A*~(~D*C)*~(0*B))"),
//.LUTG0("(~(~1*~D)*~(~C*~B*A))"),
//.LUTG1("(~A*~(~D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110100000000),
.INIT_LUTF1(16'b0101010100000101),
.INIT_LUTG0(16'b1111110111111101),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1612|u_logic/Vzupw6_reg (
.a({\u_logic/_al_u1611_o ,\u_logic/_al_u2167_o }),
.b({\u_logic/_al_u145_o ,\u_logic/_al_u2178_o }),
.c({\u_logic/_al_u690_o ,\u_logic/_al_u2180_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1155_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({\u_logic/Pu1ju6_lutinv ,\u_logic/Vzupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1612_o ,open_n132448}),
.q({open_n132452,\u_logic/Vzupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17738)
// ../rtl/topmodule/cortexm0ds_logic.v(17274)
EG_PHY_LSLICE #(
//.LUTF0("(~(~0*~D)*~(A*~(~C*B)))"),
//.LUTF1("(B*~A*~(C*~(0*~D)))"),
//.LUTG0("(~(~1*~D)*~(A*~(~C*B)))"),
//.LUTG1("(B*~A*~(C*~(1*~D)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101110100000000),
.INIT_LUTF1(16'b0000010000000100),
.INIT_LUTG0(16'b0101110101011101),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1613|u_logic/Yvjpw6_reg (
.a({\u_logic/_al_u1609_o ,\u_logic/_al_u2144_o }),
.b({\u_logic/_al_u1612_o ,\u_logic/I30ju6_lutinv }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u2148_o }),
.clk(clk_pad),
.d({\u_logic/_al_u638_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({\u_logic/P5vpw6 ,\u_logic/Yvjpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1613_o ,open_n132468}),
.q({open_n132472,\u_logic/Yvjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17274)
EG_PHY_LSLICE #(
//.LUTF0("((B*~(~0*D))*~(A)*~(C)+(B*~(~0*D))*A*~(C)+~((B*~(~0*D)))*A*C+(B*~(~0*D))*A*C)"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("((B*~(~1*D))*~(A)*~(C)+(B*~(~1*D))*A*~(C)+~((B*~(~1*D)))*A*C+(B*~(~1*D))*A*C)"),
//.LUTG1("(A*~(D*~C*B))"),
.INIT_LUTF0(16'b1010000010101100),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1010110010101100),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1615|u_logic/_al_u2300 (
.a({\u_logic/_al_u1613_o ,\u_logic/_al_u705_o }),
.b({\u_logic/_al_u956_o ,\u_logic/_al_u394_o }),
.c({\u_logic/_al_u1614_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Yljiu6 ,\u_logic/T1vpw6 }),
.e({open_n132475,\u_logic/Vygax6 }),
.f({\u_logic/_al_u1615_o ,\u_logic/_al_u2300_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*(~B*~(0)*~(C)+~B*0*~(C)+~(~B)*0*C+~B*0*C)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~(~A*(~B*~(1)*~(C)+~B*1*~(C)+~(~B)*1*C+~B*1*C)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000011111110),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010101110),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1616|u_logic/_al_u1618 (
.a({\u_logic/_al_u1087_o ,\u_logic/_al_u1616_o }),
.b({\u_logic/_al_u142_o ,\u_logic/_al_u1617_o }),
.c({\u_logic/Aujpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/R3vpw6 }),
.e({open_n132498,\u_logic/Vygax6 }),
.f({\u_logic/_al_u1616_o ,\u_logic/_al_u1618_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17905)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("~(C@(D*~(B*~A)))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("~(C@(D*~(B*~A)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1011010000001111),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1011010000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1621|u_logic/Yizpw6_reg (
.a({\u_logic/Ka8ju6 ,open_n132519}),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u4691_o }),
.c({\u_logic/_al_u1447_o ,\u_logic/Bbliu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u1620_o ,\u_logic/_al_u4662_o }),
.f({\u_logic/Qbfpw6 [10],\u_logic/D39iu6 }),
.q({open_n132540,\u_logic/vis_msp_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17905)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u1623|u_logic/_al_u3797 (
.a({open_n132541,\u_logic/_al_u3796_o }),
.b({\u_logic/_al_u1622_o ,\u_logic/_al_u1095_o }),
.c({\u_logic/Umkax6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Kc6ju6 ,\u_logic/U1kpw6 }),
.f({\u_logic/_al_u1623_o ,\u_logic/_al_u3797_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("~(D@(B*~(C*~A)))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1000110001110011),
.MODE("LOGIC"))
\u_logic/_al_u1624|u_logic/_al_u3693 (
.a({\u_logic/Cz7ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1623_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Nxkbx6 [25]}),
.d({\u_logic/_al_u1447_o ,\u_logic/n159 [6]}),
.f({\u_logic/W4epw6 ,\u_logic/Ww6ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1625|u_logic/_al_u2625 (
.a({open_n132582,\u_logic/Llaow6_lutinv }),
.b({open_n132583,\u_logic/_al_u688_o }),
.c({\u_logic/_al_u1580_o ,\u_logic/_al_u1097_o }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1625_o ,\u_logic/Jxaiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1626|u_logic/_al_u3801 (
.a({\u_logic/_al_u1474_o ,open_n132608}),
.b({\u_logic/_al_u1625_o ,open_n132609}),
.c({\u_logic/_al_u685_o ,\u_logic/Hirpw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/_al_u148_o }),
.f({\u_logic/_al_u1626_o ,\u_logic/Df3ju6 }));
EG_PHY_MSLICE #(
//.LUT0("~(C@D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1111000000001111),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1629 (
.c({open_n132638,\u_logic/_al_u1447_o }),
.d({open_n132641,\u_logic/_al_u1628_o }),
.f({open_n132655,\u_logic/C1epw6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1632|u_logic/_al_u352 (
.b({\u_logic/_al_u1451_o ,open_n132663}),
.c({\u_logic/Rskax6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1449_o ,\u_logic/K50iu6 }),
.f({\u_logic/_al_u1632_o ,\u_logic/Mifpw6 [3]}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1634|u_logic/_al_u1639 (
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/U1kpw6 ,\u_logic/Ubypw6 }),
.d({\u_logic/_al_u1449_o ,\u_logic/_al_u1449_o }),
.f({\u_logic/_al_u1634_o ,\u_logic/_al_u1639_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1635|u_logic/_al_u3642 (
.a({\u_logic/_al_u1281_o ,open_n132710}),
.b({\u_logic/_al_u1442_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u1447_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1634_o ,\u_logic/S2epw6 }),
.f({\u_logic/X1epw6 ,\u_logic/Dq6ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*~B)*~(D*A))"),
//.LUT1("(~C*~(1*~B)*~(D*A))"),
.INIT_LUT0(16'b0000010100001111),
.INIT_LUT1(16'b0000010000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1637 (
.a({\u_logic/Kc6ju6 ,\u_logic/Kc6ju6 }),
.b({\u_logic/_al_u1474_o ,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u1636_o ,\u_logic/_al_u1636_o }),
.d({\u_logic/Fkrpw6 ,\u_logic/Fkrpw6 }),
.mi({open_n132743,\u_logic/V6jax6 }),
.fx({open_n132748,\u_logic/_al_u1637_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("~(D@(B*~(C*~A)))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000110001110011),
.MODE("LOGIC"))
\u_logic/_al_u1638|u_logic/_al_u256 (
.a({\u_logic/_al_u1194_o ,open_n132751}),
.b({\u_logic/_al_u1637_o ,open_n132752}),
.c({\u_logic/_al_u1442_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1447_o ,\u_logic/X80iu6 }),
.f({\u_logic/S2epw6 ,\u_logic/Mifpw6 [20]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17903)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("~(C@(D*~(B*~A)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1640|u_logic/Zezpw6_reg (
.a({\u_logic/_al_u1289_o ,open_n132773}),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u4667_o }),
.c({\u_logic/_al_u1447_o ,\u_logic/_al_u3739_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1639_o ,\u_logic/_al_u4662_o }),
.f({\u_logic/L2epw6 ,\u_logic/P4liu6 }),
.q({open_n132790,\u_logic/vis_psp_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17903)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1641|u_logic/_al_u1649 (
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/Umkax6 ,\u_logic/Oikax6 }),
.d({\u_logic/_al_u1449_o ,\u_logic/_al_u1449_o }),
.f({\u_logic/_al_u1641_o ,\u_logic/_al_u1649_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(C@(D*~(B*~A)))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(C@(D*~(B*~A)))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1011010000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1011010000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1642|u_logic/_al_u558 (
.a({\u_logic/_al_u1297_o ,open_n132817}),
.b({\u_logic/_al_u1442_o ,open_n132818}),
.c({\u_logic/_al_u1447_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1641_o ,\u_logic/Lvzhu6 }),
.f({\u_logic/G3epw6 ,\u_logic/Tgfpw6 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1643|u_logic/_al_u3786 (
.a({open_n132843,\u_logic/N30iu6 }),
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u410_o }),
.c({\u_logic/V6jax6 ,\u_logic/_al_u1095_o }),
.d({\u_logic/_al_u1449_o ,\u_logic/Sqkax6 }),
.f({\u_logic/_al_u1643_o ,\u_logic/_al_u3786_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1644|u_logic/_al_u3709 (
.a({\u_logic/_al_u1306_o ,open_n132868}),
.b({\u_logic/_al_u1442_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u1447_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1643_o ,\u_logic/C1epw6 }),
.f({\u_logic/N3epw6 ,\u_logic/Ro8ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1645|u_logic/_al_u1647 (
.b({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/Iekax6 ,\u_logic/Lgkax6 }),
.d({\u_logic/_al_u1449_o ,\u_logic/_al_u1449_o }),
.f({\u_logic/_al_u1645_o ,\u_logic/_al_u1647_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1646|u_logic/_al_u570 (
.a({\u_logic/_al_u1314_o ,open_n132915}),
.b({\u_logic/_al_u1442_o ,open_n132916}),
.c({\u_logic/_al_u1447_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1645_o ,\u_logic/Evzhu6 }),
.f({\u_logic/U3epw6 ,\u_logic/Tgfpw6 [9]}));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1648|u_logic/_al_u3777 (
.a({\u_logic/_al_u1321_o ,open_n132937}),
.b({\u_logic/_al_u1442_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u1447_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1647_o ,\u_logic/U3epw6 }),
.f({\u_logic/B4epw6 ,\u_logic/Er9ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(C@(D*~(B*~A)))"),
//.LUT1("~(C@(D*~(B*~A)))"),
.INIT_LUT0(16'b1011010000001111),
.INIT_LUT1(16'b1011010000001111),
.MODE("LOGIC"))
\u_logic/_al_u1650|u_logic/_al_u1656 (
.a({\u_logic/_al_u1328_o ,\u_logic/_al_u1414_o }),
.b({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u1447_o ,\u_logic/_al_u1447_o }),
.d({\u_logic/_al_u1649_o ,\u_logic/_al_u1655_o }),
.f({\u_logic/I4epw6 ,\u_logic/Z2epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1657|u_logic/_al_u1785 (
.a({\u_logic/_al_u1237_o ,\u_logic/_al_u1784_o }),
.b({\u_logic/Qxoiu6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/N4kax6 ,\u_logic/P14qw6 }),
.d({\u_logic/P0kax6 ,\u_logic/Skjax6 }),
.f({\u_logic/Cjiow6 ,\u_logic/_al_u1785_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1658|u_logic/_al_u1784 (
.b({open_n133004,\u_logic/P0kax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1503_o ,\u_logic/Cwiiu6 }),
.f({\u_logic/J1ziu6 ,\u_logic/_al_u1784_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1660|u_logic/_al_u410 (
.c({\u_logic/Ydopw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1660_o ,\u_logic/_al_u410_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*~B*~A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*D*~C*~B*~A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1662|u_logic/_al_u1101 (
.a({open_n133053,\u_logic/_al_u1083_o }),
.b({\u_logic/_al_u1660_o ,\u_logic/_al_u1086_o }),
.c({\u_logic/Yp8iu6 ,\u_logic/_al_u1089_o }),
.d({\u_logic/_al_u121_o ,\u_logic/_al_u1096_o }),
.e({open_n133056,\u_logic/_al_u1100_o }),
.f({\u_logic/_al_u1662_o ,\u_logic/_al_u1101_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*A*~(C*~B))"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b0000000010001010),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u1665|u_logic/_al_u1765 (
.a({open_n133077,\u_logic/Y0jiu6 }),
.b({\u_logic/Y0jiu6 ,\u_logic/_al_u1095_o }),
.c({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u1083_o ,\u_logic/Ufopw6 }),
.f({\u_logic/Veziu6 ,\u_logic/_al_u1765_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*~(~D*~C)))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b1000100010001010),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u1666|u_logic/_al_u1667 (
.a({\u_logic/_al_u1221_o ,\u_logic/Vviiu6 }),
.b({\u_logic/Nbkiu6_lutinv ,\u_logic/Dxvpw6 }),
.c({\u_logic/Dxvpw6 ,\u_logic/P0kax6 }),
.d({\u_logic/Sojax6 ,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u1666_o ,\u_logic/_al_u1667_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(~C*~B*~(~D*A))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000001100000001),
.MODE("LOGIC"))
\u_logic/_al_u1668|u_logic/_al_u2738 (
.a({\u_logic/_al_u1103_o ,\u_logic/_al_u2737_o }),
.b({\u_logic/_al_u1666_o ,\u_logic/_al_u718_o }),
.c({\u_logic/_al_u1667_o ,\u_logic/Hgrpw6 }),
.d({\u_logic/Wkipw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1668_o ,\u_logic/_al_u2738_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~0*D*C)*~(B*~A))"),
//.LUT1("(~(~1*D*C)*~(B*~A))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b1011101110111011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1669 (
.a({\u_logic/_al_u1239_o ,\u_logic/_al_u1239_o }),
.b({\u_logic/W0piu6_lutinv ,\u_logic/W0piu6_lutinv }),
.c({\u_logic/Pt2ju6 ,\u_logic/Pt2ju6 }),
.d({\u_logic/D1piu6_lutinv ,\u_logic/D1piu6_lutinv }),
.mi({open_n133150,\u_logic/Ufopw6 }),
.fx({open_n133155,\u_logic/_al_u1669_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*C*~B*A))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(1*~(~D*C*~B*A))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1111111111011111),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1670|u_logic/_al_u1243 (
.a({open_n133158,\u_logic/M1jiu6 }),
.b({\u_logic/_al_u1103_o ,\u_logic/_al_u1232_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u1238_o }),
.d({\u_logic/_al_u1669_o ,\u_logic/_al_u1242_o }),
.e({open_n133161,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/D0jiu6 ,\u_logic/n3767 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*A*~(0*~B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*C*A*~(1*~B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000010100000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1672|u_logic/_al_u2164 (
.a({open_n133182,\u_logic/_al_u2158_o }),
.b({open_n133183,\u_logic/_al_u2159_o }),
.c({\u_logic/Aujpw6 ,\u_logic/_al_u2161_o }),
.d({\u_logic/Yljiu6 ,\u_logic/_al_u2163_o }),
.e({open_n133186,\u_logic/W0piu6_lutinv }),
.f({\u_logic/_al_u1672_o ,\u_logic/_al_u2164_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1674|u_logic/_al_u1687 (
.c({\u_logic/T1vpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/Edapw6_lutinv ,\u_logic/Jf6ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000101000100010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000101000100010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1675|u_logic/_al_u2078 (
.a({open_n133235,\u_logic/_al_u2077_o }),
.b({\u_logic/_al_u388_o ,\u_logic/_al_u154_o }),
.c({\u_logic/Edapw6_lutinv ,\u_logic/_al_u1440_o }),
.d({\u_logic/S2ziu6_lutinv ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u1675_o ,\u_logic/_al_u2078_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u1676|u_logic/_al_u1823 (
.a({\u_logic/_al_u1673_o ,\u_logic/Irmpw6 }),
.b({\u_logic/_al_u1675_o ,\u_logic/S7mpw6 }),
.c({\u_logic/_al_u410_o ,\u_logic/Wfspw6 }),
.d({\u_logic/_al_u690_o ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u1676_o ,\u_logic/Wh0ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1680|u_logic/_al_u1080 (
.a({\u_logic/_al_u1087_o ,open_n133280}),
.b({\u_logic/Fnnpw6 ,\u_logic/P5vpw6 }),
.c({\u_logic/G0zax6 ,\u_logic/Vygax6 }),
.d({\u_logic/vis_pc_o[2] ,\u_logic/I8lax6 }),
.f({\u_logic/Mmjiu6_lutinv ,\u_logic/_al_u1080_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(A*~(~D*~(~C*~B)))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(A*~(~D*~(~C*~B)))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1010101000000010),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1010101000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1682|u_logic/_al_u1664 (
.a({\u_logic/_al_u1679_o ,open_n133305}),
.b({\u_logic/_al_u1681_o ,open_n133306}),
.c({\u_logic/_al_u1068_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Pu1ju6_lutinv }),
.f({\u_logic/_al_u1682_o ,\u_logic/Y0jiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+A*C*~(D)*~(0)+A*~(C)*D*~(0)+A*~(C)*~(D)*0))"),
//.LUT1("(~B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+A*C*~(D)*~(1)+A*~(C)*D*~(1)+A*~(C)*~(D)*1))"),
.INIT_LUT0(16'b0000001000100011),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1684 (
.a({\u_logic/_al_u720_o ,\u_logic/_al_u720_o }),
.b({\u_logic/_al_u1683_o ,\u_logic/_al_u1683_o }),
.c({\u_logic/Fkrpw6 ,\u_logic/Fkrpw6 }),
.d({\u_logic/Umkax6 ,\u_logic/Umkax6 }),
.mi({open_n133343,\u_logic/V6jax6 }),
.fx({open_n133348,\u_logic/_al_u1684_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~C*~(D*B*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000011100001111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000011100001111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1688|u_logic/_al_u2085 (
.a({\u_logic/_al_u121_o ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/Pthiu6 ,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/Jf6ju6 ,\u_logic/_al_u670_o }),
.d({\u_logic/P5vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1688_o ,\u_logic/_al_u2085_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~A*~(C*B)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1110101000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1690|u_logic/_al_u1072 (
.a({open_n133375,\u_logic/T23ju6_lutinv }),
.b({open_n133376,\u_logic/_al_u410_o }),
.c({\u_logic/Ufopw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/Difiu6 ,\u_logic/_al_u1072_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
//.LUT1("(1*~D*(~B*~(A)*~(C)+~B*A*~(C)+~(~B)*A*C+~B*A*C))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000010100011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1691 (
.a({\u_logic/Difiu6 ,\u_logic/Difiu6 }),
.b({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Ydopw6 }),
.mi({open_n133409,\u_logic/Yvjpw6 }),
.fx({open_n133414,\u_logic/_al_u1691_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~A*~(D*~(~C*B)))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~A*~(D*~(~C*B)))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000010001010101),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000010001010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1692|u_logic/_al_u3645 (
.a({\u_logic/Kc6ju6 ,open_n133417}),
.b({\u_logic/_al_u1689_o ,\u_logic/T1vpw6 }),
.c({\u_logic/_al_u1691_o ,\u_logic/Vgjpw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/Fq8iu6 }),
.f({\u_logic/Qv4ju6_lutinv ,\u_logic/_al_u3645_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("~(D@(A*~(C*~B)))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1000101001110101),
.MODE("LOGIC"))
\u_logic/_al_u1695|u_logic/_al_u3722 (
.a({\u_logic/_al_u1694_o ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1180_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Nxkbx6 [23]}),
.d({\u_logic/_al_u1447_o ,\u_logic/n159 [4]}),
.f({\u_logic/Qbfpw6 [5],\u_logic/_al_u3722_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010111110011),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1696|u_logic/_al_u1653 (
.a({open_n133462,\u_logic/Zf7ju6 }),
.b({open_n133463,\u_logic/_al_u1451_o }),
.c({\u_logic/Irmpw6 ,\u_logic/P14qw6 }),
.d({\u_logic/_al_u1451_o ,\u_logic/Rkkax6 }),
.f({\u_logic/_al_u1696_o ,\u_logic/_al_u1653_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*~B)*~(D*~A))"),
//.LUT1("(~C*~(1*~B)*~(D*~A))"),
.INIT_LUT0(16'b0000101000001111),
.INIT_LUT1(16'b0000100000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1697 (
.a({\u_logic/Qv4ju6_lutinv ,\u_logic/Qv4ju6_lutinv }),
.b({\u_logic/_al_u1474_o ,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u1696_o ,\u_logic/_al_u1696_o }),
.d({\u_logic/R9mpw6 ,\u_logic/R9mpw6 }),
.mi({open_n133496,\u_logic/U1kpw6 }),
.fx({open_n133501,\u_logic/_al_u1697_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("~(D@(A*~(C*~B)))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1000101001110101),
.MODE("LOGIC"))
\u_logic/_al_u1698|u_logic/_al_u3778 (
.a({\u_logic/_al_u1697_o ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1168_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Nxkbx6 [20]}),
.d({\u_logic/_al_u1447_o ,\u_logic/n159 [1]}),
.f({\u_logic/Qbfpw6 [3],\u_logic/_al_u3778_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0001111101010011),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1699|u_logic/_al_u1651 (
.a({open_n133524,\u_logic/Zf7ju6 }),
.b({open_n133525,\u_logic/_al_u1451_o }),
.c({\u_logic/Ubypw6 ,\u_logic/Rkkax6 }),
.d({\u_logic/_al_u1474_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1699_o ,\u_logic/_al_u1651_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*C)*~(D*~A))"),
//.LUT1("(~B*~(1*C)*~(D*~A))"),
.INIT_LUT0(16'b0010001000110011),
.INIT_LUT1(16'b0000001000000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1700 (
.a({\u_logic/Qv4ju6_lutinv ,\u_logic/Qv4ju6_lutinv }),
.b({\u_logic/_al_u1699_o ,\u_logic/_al_u1699_o }),
.c({\u_logic/_al_u1451_o ,\u_logic/_al_u1451_o }),
.d({\u_logic/Rskax6 ,\u_logic/Rskax6 }),
.mi({open_n133558,\u_logic/Wkipw6 }),
.fx({open_n133563,\u_logic/_al_u1700_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("~(D@(A*~(C*~B)))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("~(D@(A*~(C*~B)))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1000101001110101),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1000101001110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1701|u_logic/_al_u3701 (
.a({\u_logic/_al_u1700_o ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1174_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u1442_o ,\u_logic/Nxkbx6 [18]}),
.d({\u_logic/_al_u1447_o ,\u_logic/mult0_0_0_17 }),
.f({\u_logic/Qbfpw6 [4],\u_logic/_al_u3701_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("~(C@(A*~(D*~B)))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b1000011110100101),
.MODE("LOGIC"))
\u_logic/_al_u1703|u_logic/_al_u1702 (
.a({\u_logic/_al_u1702_o ,\u_logic/_al_u1200_o }),
.b({\u_logic/_al_u1626_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u1447_o ,\u_logic/_al_u1451_o }),
.d({\u_logic/R9mpw6 ,\u_logic/Wfspw6 }),
.f({\u_logic/Qbfpw6 [1],\u_logic/_al_u1702_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B*A))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000001111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1704|u_logic/_al_u3895 (
.a({open_n133610,\u_logic/Kupow6_lutinv }),
.b({open_n133611,\u_logic/_al_u3792_o }),
.c({\u_logic/Rskax6 ,\u_logic/_al_u3790_o }),
.d({\u_logic/_al_u1626_o ,\u_logic/Df3ju6 }),
.f({\u_logic/_al_u1704_o ,\u_logic/V2kow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*~C)*~(D*~A))"),
//.LUT1("(~B*~(1*~C)*~(D*~A))"),
.INIT_LUT0(16'b0010001000110011),
.INIT_LUT1(16'b0010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1705 (
.a({\u_logic/_al_u1161_o ,\u_logic/_al_u1161_o }),
.b({\u_logic/_al_u1704_o ,\u_logic/_al_u1704_o }),
.c({\u_logic/Qv4ju6_lutinv ,\u_logic/Qv4ju6_lutinv }),
.d({\u_logic/_al_u1442_o ,\u_logic/_al_u1442_o }),
.mi({open_n133644,\u_logic/Sqkax6 }),
.fx({open_n133649,\u_logic/_al_u1705_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B@(C*~D))"),
//.LUT1("~(B@(A*~(D*C)))"),
.INIT_LUT0(16'b0011001111000011),
.INIT_LUT1(16'b0011100110011001),
.MODE("LOGIC"))
\u_logic/_al_u1706|u_logic/_al_u3825 (
.a({\u_logic/_al_u1705_o ,open_n133652}),
.b({\u_logic/_al_u1447_o ,\u_logic/_al_u3788_o }),
.c({\u_logic/_al_u1451_o ,\u_logic/Hirpw6 }),
.d({\u_logic/S7mpw6 ,\u_logic/_al_u3824_o }),
.f({\u_logic/Qbfpw6 [2],\u_logic/Hv3ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1708|u_logic/_al_u2008 (
.a({open_n133673,\u_logic/Lbyhu6 }),
.b({open_n133674,\u_logic/V8zhu6_lutinv }),
.c({\u_logic/_al_u1419_o ,\u_logic/F7zhu6 }),
.d({\u_logic/M7zhu6 ,\u_logic/Epyhu6 }),
.f({\u_logic/Lbyhu6 ,\u_logic/R6zhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111111111110111),
.INIT_LUT1(16'b1110110111011111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1709 (
.a({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.b({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Sdlpw6 ,\u_logic/Sdlpw6 }),
.mi({open_n133711,\u_logic/Yklpw6 }),
.fx({open_n133716,\u_logic/Reyhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~(~C*~B)*~(A)*~(D)+~(~C*~B)*A*~(D)+~(~(~C*~B))*A*D+~(~C*~B)*A*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1010101011111100),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1010101011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1711|u_logic/_al_u653 (
.a({\u_logic/Reyhu6 ,open_n133719}),
.b({\u_logic/_al_u1710_o ,\u_logic/Jflpw6 }),
.c({\u_logic/Bclpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Rilpw6 ,\u_logic/_al_u130_o }),
.f({\u_logic/Zbyhu6_lutinv ,\u_logic/_al_u653_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~A*~(0*D))"),
//.LUT1("(C*~B*~A*~(1*D))"),
.INIT_LUT0(16'b0001000000010000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1713 (
.a({\u_logic/Sbyhu6 ,\u_logic/Sbyhu6 }),
.b({\u_logic/Lbyhu6 ,\u_logic/Lbyhu6 }),
.c({\u_logic/Zbyhu6_lutinv ,\u_logic/Zbyhu6_lutinv }),
.d({\u_logic/Ncyhu6_lutinv ,\u_logic/Ncyhu6_lutinv }),
.mi({open_n133756,\u_logic/Yklpw6 }),
.fx({open_n133761,\u_logic/_al_u1713_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*A))"),
//.LUT1("(A*~(~D*~(~C*~B)))"),
.INIT_LUT0(16'b0000011101110111),
.INIT_LUT1(16'b1010101000000010),
.MODE("LOGIC"))
\u_logic/_al_u1717|u_logic/_al_u1716 (
.a({\u_logic/_al_u1716_o ,\u_logic/_al_u1440_o }),
.b({\u_logic/_al_u142_o ,\u_logic/_al_u398_o }),
.c({\u_logic/_al_u688_o ,\u_logic/_al_u410_o }),
.d({\u_logic/R3vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1717_o ,\u_logic/_al_u1716_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~(C*B*A))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000001111111),
.MODE("LOGIC"))
\u_logic/_al_u1719|u_logic/_al_u644 (
.a({\u_logic/_al_u142_o ,open_n133784}),
.b({\u_logic/Ae0iu6_lutinv ,open_n133785}),
.c({\u_logic/Yljiu6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u1718_o ,\u_logic/_al_u159_o }),
.f({\u_logic/Xiaju6 ,\u_logic/Ru3pw6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u1722|u_logic/_al_u1585 (
.a({\u_logic/_al_u1717_o ,open_n133806}),
.b({\u_logic/Xiaju6 ,\u_logic/_al_u1095_o }),
.c({\u_logic/_al_u1720_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1721_o ,\u_logic/_al_u1584_o }),
.f({\u_logic/Mpniu6 ,\u_logic/_al_u1585_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000100000001010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1000100000001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1724|u_logic/_al_u2281 (
.a({open_n133827,\u_logic/Ls1ju6 }),
.b({open_n133828,\u_logic/Hirpw6 }),
.c({\u_logic/D31ju6 ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u907_o ,\u_logic/Vzupw6 }),
.f({\u_logic/Glaiu6 ,\u_logic/_al_u2281_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~(C*B)*~(0*A)))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~D*~(~(C*B)*~(1*A)))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000000011101010),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1726|u_logic/_al_u2073 (
.a({\u_logic/_al_u1723_o ,\u_logic/_al_u158_o }),
.b({\u_logic/Glaiu6 ,\u_logic/_al_u394_o }),
.c({\u_logic/_al_u1725_o ,\u_logic/_al_u1095_o }),
.d({\u_logic/Cc2ju6_lutinv ,\u_logic/Ufopw6 }),
.e({open_n133855,\u_logic/Vzupw6 }),
.f({\u_logic/Yoniu6 ,\u_logic/_al_u2073_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~(B*~(~D*C))))"),
//.LUT1("(~A*~(1*~(B*~(~D*C))))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0100010000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1729 (
.a({\u_logic/Iugiu6 ,\u_logic/Iugiu6 }),
.b({\u_logic/_al_u1728_o ,\u_logic/_al_u1728_o }),
.c({\u_logic/_al_u1095_o ,\u_logic/_al_u1095_o }),
.d({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.mi({open_n133888,\u_logic/Ydopw6 }),
.fx({open_n133893,\u_logic/_al_u1729_o }));
EG_PHY_MSLICE #(
//.LUT0("~((C*B)*~(A)*~(D)+(C*B)*A*~(D)+~((C*B))*A*D+(C*B)*A*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0101010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1730|u_logic/_al_u1728 (
.a({open_n133896,\u_logic/_al_u154_o }),
.b({open_n133897,\u_logic/Aujpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/Yecpw6_lutinv ,\u_logic/_al_u1728_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*D*C*~A))"),
//.LUT1("(~B*~(1*D*C*~A))"),
.INIT_LUT0(16'b0011001100110011),
.INIT_LUT1(16'b0010001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1734 (
.a({\u_logic/_al_u1732_o ,\u_logic/_al_u1732_o }),
.b({\u_logic/_al_u1733_o ,\u_logic/_al_u1733_o }),
.c({\u_logic/_al_u158_o ,\u_logic/_al_u158_o }),
.d({\u_logic/_al_u1155_o ,\u_logic/_al_u1155_o }),
.mi({open_n133930,\u_logic/T1vpw6 }),
.fx({open_n133935,\u_logic/Cz8iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20046)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1737|u_logic/F9gbx6_reg (
.b({\u_logic/R9yax6 ,\u_logic/J8eiu6 }),
.c({\u_logic/W5ypw6 ,\u_logic/L6gpw6 [22]}),
.clk(clk_pad),
.d({\u_logic/K5eiu6 ,\u_logic/_al_u1520_o }),
.f({\u_logic/F0eow6 ,open_n133958}),
.q({open_n133962,\u_logic/F9gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20046)
// ../rtl/topmodule/cortexm0ds_logic.v(19176)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1738|u_logic/C50bx6_reg (
.a({open_n133963,HWDATA[10]}),
.b({open_n133964,\u_logic/O59iu6_lutinv }),
.c({\u_logic/C1wpw6 ,\u_logic/C50bx6 }),
.clk(clk_pad),
.d({\u_logic/F0eow6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/O59iu6_lutinv ,open_n133982}),
.q({open_n133986,\u_logic/C50bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19176)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*B))"),
//.LUT1("(A*~(~D*C*~B))"),
.INIT_LUT0(16'b1010101010100010),
.INIT_LUT1(16'b1010101010001010),
.MODE("LOGIC"))
\u_logic/_al_u1747|u_logic/_al_u2280 (
.a({\u_logic/Yavow6 ,\u_logic/_al_u2279_o }),
.b({\u_logic/Mmjiu6_lutinv ,\u_logic/_al_u1059_o }),
.c({\u_logic/Glaiu6 ,\u_logic/Daiax6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u1747_o ,\u_logic/_al_u2280_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1748|u_logic/_al_u2725 (
.b({open_n134009,\u_logic/Hirpw6 }),
.c({\u_logic/Yljiu6 ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u153_o ,\u_logic/Cc2ju6_lutinv }),
.f({\u_logic/Ls1ju6 ,\u_logic/Em0ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17470)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(~B*A))"),
//.LUTF1("(~B*~(~D*C*A))"),
//.LUTG0("(~(~D*~C)*~(~B*A))"),
//.LUTG1("(~B*~(~D*C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110111010000),
.INIT_LUTF1(16'b0011001100010011),
.INIT_LUTG0(16'b1101110111010000),
.INIT_LUTG1(16'b0011001100010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1750|u_logic/Fpnpw6_reg (
.a({\u_logic/Ls1ju6 ,\u_logic/_al_u2114_o }),
.b({\u_logic/_al_u1749_o ,\u_logic/_al_u2116_o }),
.c({\u_logic/Md0iu6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Aujpw6 ,\u_logic/Fpnpw6 }),
.f({\u_logic/Do1ju6 ,open_n134052}),
.q({open_n134056,\u_logic/Fpnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17470)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1751|u_logic/_al_u2630 (
.a({open_n134057,\u_logic/Kr7ow6_lutinv }),
.b({\u_logic/_al_u388_o ,\u_logic/Kxziu6_lutinv }),
.c({\u_logic/Edapw6_lutinv ,\u_logic/_al_u688_o }),
.d({\u_logic/_al_u153_o ,\u_logic/F9vpw6 }),
.f({\u_logic/I82ju6 ,\u_logic/_al_u2630_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*~B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~A*~(D*C*~B))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0100010101010101),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0100010101010101),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1752|u_logic/_al_u1764 (
.a({\u_logic/_al_u1747_o ,\u_logic/_al_u1763_o }),
.b({\u_logic/Do1ju6 ,\u_logic/Mmjiu6_lutinv }),
.c({\u_logic/I82ju6 ,\u_logic/_al_u145_o }),
.d({\u_logic/_al_u121_o ,\u_logic/_al_u394_o }),
.f({\u_logic/_al_u1752_o ,\u_logic/T1jiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*C*~(~0*~A)))"),
//.LUT1("(~B*~(D*C*~(~1*~A)))"),
.INIT_LUT0(16'b0001001100110011),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1753 (
.a({\u_logic/_al_u1684_o ,\u_logic/_al_u1684_o }),
.b({\u_logic/_al_u648_o ,\u_logic/_al_u648_o }),
.c({\u_logic/_al_u638_o ,\u_logic/_al_u638_o }),
.d({\u_logic/_al_u690_o ,\u_logic/_al_u690_o }),
.mi({open_n134118,\u_logic/Hirpw6 }),
.fx({open_n134123,\u_logic/Rcziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(~B*~(~C*D))"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(~B*~(~C*D))"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0011000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1754|u_logic/_al_u1749 (
.a({open_n134126,\u_logic/_al_u158_o }),
.b({\u_logic/_al_u145_o ,\u_logic/Aujpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Qe8iu6_lutinv ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1754_o ,\u_logic/_al_u1749_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1756|u_logic/_al_u1070 (
.b({\u_logic/D6kiu6_lutinv ,open_n134153}),
.c({\u_logic/Daiax6 ,\u_logic/D6kiu6_lutinv }),
.d({\u_logic/_al_u190_o ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u1756_o ,\u_logic/_al_u1070_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*C)*~(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(~D*C)*~(B*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0111011100000111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111011100000111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1759|u_logic/_al_u2305 (
.a({open_n134178,\u_logic/Qe8iu6_lutinv }),
.b({open_n134179,\u_logic/_al_u1625_o }),
.c({\u_logic/_al_u1463_o ,\u_logic/_al_u1059_o }),
.d({\u_logic/Qe8iu6_lutinv ,\u_logic/_al_u2304_o }),
.f({\u_logic/_al_u1759_o ,\u_logic/_al_u2305_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*A)*(~(B)*~(C)*~(D)+B*~(C)*~(D)+~(B)*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~(1*A)*(~(B)*~(C)*~(D)+B*~(C)*~(D)+~(B)*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b1111000000111111),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0101000000010101),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1760|u_logic/_al_u2077 (
.a({\u_logic/_al_u1752_o ,\u_logic/Pthiu6 }),
.b({\u_logic/Rcziu6 ,\u_logic/T1vpw6 }),
.c({\u_logic/_al_u1758_o ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u1759_o ,\u_logic/Xxupw6 }),
.e({open_n134206,\u_logic/Yvjpw6 }),
.f({\u_logic/L18iu6 ,\u_logic/_al_u2077_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18564)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*D))"),
//.LUT1("~(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111001100110011),
.INIT_LUT1(16'b1111111100001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1761|u_logic/F4iax6_reg (
.b({open_n134229,\u_logic/_al_u4387_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,HRDATA[5]}),
.clk(clk_pad),
.d({\u_logic/L18iu6 ,\u_logic/Mnbiu6 }),
.f({\u_logic/n1481 ,open_n134244}),
.q({open_n134248,\u_logic/F4iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18564)
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*~(~C*~(B*A)))"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000011111000),
.MODE("LOGIC"))
\u_logic/_al_u1766|u_logic/_al_u1769 (
.a({\u_logic/_al_u145_o ,\u_logic/_al_u398_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u688_o }),
.c({\u_logic/_al_u648_o ,\u_logic/P5vpw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u1766_o ,\u_logic/_al_u1769_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(~D*C*~B*~A)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(~D*C*~B*~A)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000010000),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u176|u_logic/_al_u186 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/N9now6_lutinv ,\u_logic/Wanow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(~D*C))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001000100000001),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1770|u_logic/_al_u1768 (
.a({\u_logic/T1jiu6 ,\u_logic/_al_u1765_o }),
.b({\u_logic/_al_u1768_o ,\u_logic/_al_u1766_o }),
.c({\u_logic/_al_u1769_o ,\u_logic/_al_u1767_o }),
.d({\u_logic/Hgrpw6 ,\u_logic/_al_u1087_o }),
.f({\u_logic/_al_u1770_o ,\u_logic/_al_u1768_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u1773|u_logic/_al_u1677 (
.a({\u_logic/_al_u1770_o ,\u_logic/_al_u1221_o }),
.b({\u_logic/M1jiu6 ,\u_logic/Nbkiu6_lutinv }),
.c({\u_logic/D0jiu6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/_al_u1772_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u1773_o ,\u_logic/_al_u1677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1774|u_logic/_al_u2002 (
.c({\u_logic/N4kax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Jckax6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1774_o ,\u_logic/_al_u2002_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1775|u_logic/_al_u400 (
.c({\u_logic/W4jax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1774_o ,\u_logic/Skjax6 }),
.f({\u_logic/Cwiiu6 ,\u_logic/_al_u400_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*~(0*D*~B))"),
//.LUTF1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUTG0("(~C*A*~(1*D*~B))"),
//.LUTG1("(A*(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
.INIT_LUTF0(16'b0000101000001010),
.INIT_LUTF1(16'b1010000010001000),
.INIT_LUTG0(16'b0000100000001010),
.INIT_LUTG1(16'b1010000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1776|u_logic/_al_u1787 (
.a({\u_logic/Hviiu6 ,\u_logic/_al_u1783_o }),
.b({\u_logic/Cwiiu6 ,\u_logic/_al_u1785_o }),
.c({\u_logic/Gr2qw6 ,\u_logic/Ot7ow6 }),
.d({\u_logic/Sojax6 ,\u_logic/Vviiu6 }),
.e({open_n134391,\u_logic/_al_u153_o }),
.f({\u_logic/_al_u1776_o ,\u_logic/_al_u1787_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u177|u_logic/_al_u185 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/C7now6_lutinv ,\u_logic/X7now6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1780|u_logic/_al_u1604 (
.b({open_n134438,\u_logic/_al_u1603_o }),
.c({\u_logic/Vygax6 ,\u_logic/J9kiu6_lutinv }),
.d({\u_logic/W0piu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/Htyiu6 ,\u_logic/_al_u1604_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~C*~B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1010100010101010),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1781|u_logic/_al_u3958 (
.a({open_n134463,\u_logic/_al_u1781_o }),
.b({open_n134464,\u_logic/_al_u3957_o }),
.c({\u_logic/_al_u1487_o ,\u_logic/_al_u1227_o }),
.d({\u_logic/Htyiu6 ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1781_o ,\u_logic/_al_u3958_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1789|u_logic/_al_u1788 (
.a({open_n134485,\u_logic/_al_u1221_o }),
.b({\u_logic/Dxvpw6 ,\u_logic/_al_u718_o }),
.c({\u_logic/Sojax6 ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u1788_o ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1789_o ,\u_logic/_al_u1788_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(~0*D))"),
//.LUT1("(C*B*A*~(~1*D))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1790 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/W0piu6_lutinv }),
.b({\u_logic/_al_u718_o ,\u_logic/_al_u718_o }),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.d({\u_logic/Qxoiu6 ,\u_logic/Qxoiu6 }),
.mi({open_n134522,\u_logic/P0kax6 }),
.fx({open_n134527,\u_logic/_al_u1790_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~A*~(~0*D)))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(C*~(~B*~A*~(~1*D)))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b1111000011100000),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b1110000011100000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1791|u_logic/_al_u1659 (
.a({\u_logic/_al_u1789_o ,\u_logic/Cjiow6 }),
.b({\u_logic/_al_u1790_o ,\u_logic/J1ziu6 }),
.c({\u_logic/_al_u394_o ,\u_logic/_al_u1221_o }),
.d({\u_logic/T1vpw6 ,\u_logic/_al_u386_o }),
.e({open_n134532,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u1791_o ,\u_logic/_al_u1659_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~(~A*~(~0*C)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*~B*~(~A*~(~1*C)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0011001000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0010001000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1793|u_logic/_al_u1772 (
.a({\u_logic/A95iu6_lutinv ,\u_logic/_al_u1771_o }),
.b({\u_logic/_al_u1237_o ,\u_logic/_al_u1087_o }),
.c({\u_logic/X8ziu6_lutinv ,\u_logic/_al_u1592_o }),
.d({\u_logic/P14qw6 ,\u_logic/Dxvpw6 }),
.e({open_n134555,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1793_o ,\u_logic/_al_u1772_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~D))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000111100001100),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u1794|u_logic/_al_u2105 (
.b({\u_logic/W0piu6_lutinv ,\u_logic/_al_u1816_o }),
.c({\u_logic/_al_u153_o ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1793_o ,\u_logic/_al_u2104_o }),
.f({\u_logic/_al_u1794_o ,\u_logic/_al_u2105_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~B*~(D*~A)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1101000011000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1795|u_logic/_al_u2151 (
.a({open_n134598,\u_logic/Eoyiu6_lutinv }),
.b({open_n134599,\u_logic/_al_u2150_o }),
.c({\u_logic/_al_u156_o ,\u_logic/_al_u1094_o }),
.d({\u_logic/_al_u158_o ,\u_logic/_al_u638_o }),
.f({\u_logic/_al_u1795_o ,\u_logic/_al_u2151_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~(~C*~B)*~(0)*~(D)+~(~C*~B)*0*~(D)+~(~(~C*~B))*0*D+~(~C*~B)*0*D))"),
//.LUT1("(A*~(~(~C*~B)*~(1)*~(D)+~(~C*~B)*1*~(D)+~(~(~C*~B))*1*D+~(~C*~B)*1*D))"),
.INIT_LUT0(16'b1010101000000010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1796 (
.a({\u_logic/_al_u1795_o ,\u_logic/_al_u1795_o }),
.b({\u_logic/Sq3ju6 ,\u_logic/Sq3ju6 }),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.mi({open_n134632,\u_logic/Yvjpw6 }),
.fx({open_n134637,\u_logic/_al_u1796_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(~D*C)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1000100011001000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1797|u_logic/_al_u1673 (
.a({open_n134640,\u_logic/_al_u1672_o }),
.b({open_n134641,\u_logic/_al_u638_o }),
.c({\u_logic/_al_u1155_o ,\u_logic/Pu1ju6_lutinv }),
.d({\u_logic/_al_u1685_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1797_o ,\u_logic/_al_u1673_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1798|u_logic/_al_u2125 (
.a({\u_logic/_al_u1059_o ,\u_logic/_al_u141_o }),
.b({\u_logic/_al_u1085_o ,\u_logic/_al_u386_o }),
.c({\u_logic/Daiax6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1798_o ,\u_logic/_al_u2125_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*A)"),
//.LUT1("(D*C*~B*~A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0001000000000000),
.MODE("LOGIC"))
\u_logic/_al_u179|u_logic/_al_u183 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Panow6_lutinv ,\u_logic/E8now6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~(~D*~A)))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000110000001101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1800|u_logic/_al_u2304 (
.a({open_n134706,\u_logic/Daiax6 }),
.b({open_n134707,\u_logic/R3vpw6 }),
.c({\u_logic/_al_u158_o ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u1094_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1800_o ,\u_logic/_al_u2304_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1802|u_logic/_al_u1609 (
.a({open_n134728,\u_logic/Dd7ow6 }),
.b({open_n134729,\u_logic/Gr2qw6 }),
.c({\u_logic/W4jax6 ,\u_logic/P0kax6 }),
.d({\u_logic/N4kax6 ,\u_logic/Vygax6 }),
.f({\u_logic/Dcziu6 ,\u_logic/_al_u1609_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0*D))"),
//.LUT1("(C*B*A*~(1*D))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1803 (
.a({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.b({\u_logic/Ya1ju6_lutinv ,\u_logic/Ya1ju6_lutinv }),
.c({\u_logic/Dcziu6 ,\u_logic/Dcziu6 }),
.d({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.mi({open_n134762,\u_logic/Jgxpw6 }),
.fx({open_n134767,\u_logic/T4aow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1804|u_logic/_al_u2118 (
.b({\u_logic/Kxziu6_lutinv ,open_n134772}),
.c({\u_logic/_al_u154_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/T4aow6 ,\u_logic/_al_u1083_o }),
.f({\u_logic/_al_u1804_o ,\u_logic/_al_u2118_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~(B*A)))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000000011111000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u1805|u_logic/_al_u2687 (
.a({open_n134797,\u_logic/Fpaow6_lutinv }),
.b({\u_logic/_al_u705_o ,\u_logic/Sy2ju6 }),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Yi7ju6_lutinv }),
.d({\u_logic/_al_u1087_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1805_o ,\u_logic/_al_u2687_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*B*A*~(D*~C))"),
//.LUTF1("(D*~A*~(C*B))"),
//.LUTG0("(~1*B*A*~(D*~C))"),
//.LUTG1("(D*~A*~(C*B))"),
.INIT_LUTF0(16'b1000000010001000),
.INIT_LUTF1(16'b0001010100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1806|u_logic/_al_u3965 (
.a({\u_logic/_al_u1805_o ,\u_logic/_al_u1684_o }),
.b({\u_logic/D6kiu6_lutinv ,\u_logic/Ls1ju6 }),
.c({\u_logic/Sy2ju6 ,\u_logic/Md0iu6_lutinv }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Aujpw6 }),
.e({open_n134820,\u_logic/Ufopw6 }),
.f({\u_logic/Oeziu6 ,\u_logic/_al_u3965_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*A*~(~0*B))"),
//.LUT1("(~D*C*A*~(~1*B))"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b0000000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1808 (
.a({\u_logic/Ez1ju6 ,\u_logic/Ez1ju6 }),
.b({\u_logic/_al_u1804_o ,\u_logic/_al_u1804_o }),
.c({\u_logic/Oeziu6 ,\u_logic/Oeziu6 }),
.d({\u_logic/_al_u1807_o ,\u_logic/_al_u1807_o }),
.mi({open_n134853,\u_logic/Ydopw6 }),
.fx({open_n134858,\u_logic/_al_u1808_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(D*~C*~B*~A)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"))
\u_logic/_al_u180|u_logic/_al_u182 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/V6now6_lutinv ,\u_logic/U9now6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~(~D*C)*~(~0*B)))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(A*~(~(~D*C)*~(~1*B)))"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b1000100010101000),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0000000010100000),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1811|u_logic/_al_u1810 (
.a({\u_logic/_al_u1810_o ,\u_logic/Ls1ju6 }),
.b({\u_logic/_al_u158_o ,\u_logic/_al_u142_o }),
.c({\u_logic/_al_u145_o ,\u_logic/_al_u638_o }),
.d({\u_logic/D1piu6_lutinv ,\u_logic/Aujpw6 }),
.e({open_n134883,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1811_o ,\u_logic/_al_u1810_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(A*~(D*~B)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0111000001010000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1814|u_logic/_al_u2722 (
.a({open_n134904,\u_logic/_al_u2719_o }),
.b({open_n134905,\u_logic/_al_u2721_o }),
.c({\u_logic/_al_u1087_o ,\u_logic/J9kiu6_lutinv }),
.d({\u_logic/_al_u153_o ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1814_o ,\u_logic/_al_u2722_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1815|u_logic/_al_u1592 (
.c({\u_logic/Jiiiu6 ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u1814_o ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1815_o ,\u_logic/_al_u1592_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*~C))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b1000000010001000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1816|u_logic/_al_u2665 (
.a({open_n134954,\u_logic/_al_u1815_o }),
.b({\u_logic/_al_u1223_o ,\u_logic/_al_u1223_o }),
.c({\u_logic/P14qw6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1815_o ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1816_o ,\u_logic/_al_u2665_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*~B)))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000010111010),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1819|u_logic/_al_u2720 (
.a({open_n134975,\u_logic/_al_u1226_o }),
.b({\u_logic/_al_u1237_o ,\u_logic/Rwjax6 }),
.c({\u_logic/P14qw6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1815_o ,\u_logic/Ssjax6 }),
.f({\u_logic/Yo1ju6 ,\u_logic/_al_u2720_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1100111010111110),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1100111001111110),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1820|u_logic/_al_u2731 (
.a({open_n134996,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/_al_u1230_o ,\u_logic/Ssjax6 }),
.e({open_n134999,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1820_o ,\u_logic/_al_u2731_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1011111101110000),
.INIT_LUT1(16'b1011111101010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1821 (
.a({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n135032,\u_logic/W4jax6 }),
.fx({open_n135037,\u_logic/_al_u1821_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1824|u_logic/_al_u2104 (
.a({\u_logic/_al_u1781_o ,\u_logic/_al_u1781_o }),
.b({\u_logic/F6ziu6 ,\u_logic/_al_u1225_o }),
.c({\u_logic/Wh0ju6 ,\u_logic/P0kax6 }),
.d({\u_logic/P0kax6 ,\u_logic/Skjax6 }),
.f({\u_logic/_al_u1824_o ,\u_logic/_al_u2104_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~A*~(~C*B)))"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(D*~(~A*~(~C*B)))"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b1010111000000000),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b1010111000000000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1825|u_logic/_al_u1771 (
.a({\u_logic/Jckax6 ,\u_logic/Hgrpw6 }),
.b({\u_logic/Jgxpw6 ,\u_logic/Sojax6 }),
.c({\u_logic/N4kax6 ,\u_logic/U9ypw6 }),
.d({\u_logic/W4jax6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u1825_o ,\u_logic/_al_u1771_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~D)"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~C*B*~D)"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000000000001100),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1826|u_logic/_al_u1234 (
.a({\u_logic/_al_u1818_o ,open_n135084}),
.b({\u_logic/_al_u1822_o ,\u_logic/J9kiu6_lutinv }),
.c({\u_logic/_al_u1824_o ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1825_o ,\u_logic/_al_u1087_o }),
.f({\u_logic/_al_u1826_o ,\u_logic/Vviiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b0011111101010101),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b0011111101010101),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1827|u_logic/_al_u4030 (
.a({\u_logic/_al_u1809_o ,\u_logic/_al_u4029_o }),
.b({\u_logic/_al_u1813_o ,\u_logic/_al_u1769_o }),
.c({\u_logic/_al_u1826_o ,\u_logic/Aujiu6 }),
.d({\u_logic/_al_u1684_o ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u1827_o ,\u_logic/Mdziu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C*B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(~D*~(C*B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010101010000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010101010000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1831|u_logic/_al_u701 (
.a({open_n135133,\u_logic/Srbow6 }),
.b({open_n135134,\u_logic/Qxoiu6 }),
.c({\u_logic/U9ypw6 ,\u_logic/P0kax6 }),
.d({\u_logic/_al_u1504_o ,\u_logic/Sojax6 }),
.f({\u_logic/Cbbiu6_lutinv ,\u_logic/H4iow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*A*~(D*B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"))
\u_logic/_al_u1832|u_logic/_al_u668 (
.a({\u_logic/_al_u1830_o ,open_n135159}),
.b({\u_logic/Cbbiu6_lutinv ,open_n135160}),
.c({\u_logic/_al_u1493_o ,\u_logic/T1vpw6 }),
.d({\u_logic/W4jax6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u1832_o ,\u_logic/Mfjiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*(D@(0*C))))"),
//.LUTF1("(A*~(~B*(D@C)))"),
//.LUTG0("(A*~(~B*(D@(1*C))))"),
//.LUTG1("(A*~(~B*(D@C)))"),
.INIT_LUTF0(16'b1000100010101010),
.INIT_LUTF1(16'b1010100010001010),
.INIT_LUTG0(16'b1010100010001010),
.INIT_LUTG1(16'b1010100010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1833|u_logic/_al_u1874 (
.a({\u_logic/_al_u1832_o ,\u_logic/_al_u1873_o }),
.b({\u_logic/_al_u1500_o ,\u_logic/_al_u1500_o }),
.c({\u_logic/R9mpw6 ,\u_logic/R9mpw6 }),
.d({\u_logic/Sqkax6 ,\u_logic/Rskax6 }),
.e({open_n135183,\u_logic/Sqkax6 }),
.f({\u_logic/_al_u1833_o ,\u_logic/_al_u1874_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*B))"),
//.LUTF1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+~(D)*~(B)*C)"),
//.LUTG0("(~D*~(~C*B))"),
//.LUTG1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+~(D)*~(B)*C)"),
.INIT_LUTF0(16'b0000000011110011),
.INIT_LUTF1(16'b0000001100111111),
.INIT_LUTG0(16'b0000000011110011),
.INIT_LUTG1(16'b0000001100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1836|u_logic/_al_u711 (
.b({\u_logic/Jckax6 ,\u_logic/_al_u705_o }),
.c({\u_logic/Jgxpw6 ,\u_logic/Wkipw6 }),
.d({\u_logic/_al_u1511_o ,\u_logic/Srbow6 }),
.f({\u_logic/_al_u1836_o ,\u_logic/_al_u711_o }));
EG_PHY_MSLICE #(
//.LUT0("(A@(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+~(B)*~(C)*~(D)*0+B*C*D*0))"),
//.LUT1("(A@(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+~(B)*~(C)*~(D)*1+B*C*D*1))"),
.INIT_LUT0(16'b1010100110010101),
.INIT_LUT1(16'b0110101010101001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1837 (
.a({\u_logic/_al_u1836_o ,\u_logic/_al_u1836_o }),
.b({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.c({\u_logic/S7mpw6 ,\u_logic/S7mpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Wfspw6 }),
.mi({open_n135242,\u_logic/Wkipw6 }),
.fx({open_n135247,\u_logic/Ewjiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~((C@B@A))*~(D)*~(0)+(C@B@A)*~(D)*~(0)+~((C@B@A))*D*~(0)+~((C@B@A))*~(D)*0)"),
//.LUT1("(~((C@B@A))*~(D)*~(1)+(C@B@A)*~(D)*~(1)+~((C@B@A))*D*~(1)+~((C@B@A))*~(D)*1)"),
.INIT_LUT0(16'b0110100111111111),
.INIT_LUT1(16'b0000000001101001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1838 (
.a({\u_logic/_al_u1511_o ,\u_logic/_al_u1511_o }),
.b({\u_logic/Jckax6 ,\u_logic/Jckax6 }),
.c({\u_logic/Jgxpw6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.mi({open_n135262,\u_logic/W4jax6 }),
.fx({open_n135267,\u_logic/_al_u1838_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u1866|u_logic/_al_u1418 (
.b({\u_logic/Flzhu6_lutinv ,\u_logic/_al_u630_o }),
.c({\u_logic/Epyhu6 ,\u_logic/Sdlpw6 }),
.d({\u_logic/_al_u1422_o ,\u_logic/_al_u616_o }),
.f({\u_logic/_al_u1866_o ,\u_logic/M7zhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~(0*B)*~(D*A)))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*~(C)*~(D)*0+~(B)*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0))"),
//.LUTG0("(C*~(~(1*B)*~(D*A)))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*~(C)*~(D)*1+~(B)*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1))"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b0000101000000010),
.INIT_LUTG0(16'b1110000011000000),
.INIT_LUTG1(16'b0000101000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1868|u_logic/_al_u1962 (
.a({\u_logic/_al_u1866_o ,\u_logic/Lbyhu6 }),
.b({\u_logic/M7zhu6 ,\u_logic/_al_u1423_o }),
.c({\u_logic/_al_u1423_o ,\u_logic/Pyyhu6_lutinv }),
.d({\u_logic/_al_u1867_o ,\u_logic/Krlpw6 }),
.e({\u_logic/Y8lpw6 ,\u_logic/Y8lpw6 }),
.f({\u_logic/_al_u1868_o ,\u_logic/_al_u1962_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*B)*~(0*A))"),
//.LUT1("(~C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1872 (
.a({\u_logic/Habiu6 ,\u_logic/Habiu6 }),
.b({\u_logic/Tc8iu6 ,\u_logic/Tc8iu6 }),
.c({\u_logic/_al_u1493_o ,\u_logic/_al_u1493_o }),
.d({\u_logic/Jpmpw6 ,\u_logic/Jpmpw6 }),
.mi({open_n135326,\u_logic/S7mpw6 }),
.fx({open_n135331,\u_logic/_al_u1872_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\u_logic/_al_u1875|u_logic/_al_u1975 (
.b({\u_logic/Ewjiu6_lutinv ,open_n135336}),
.c({\u_logic/_al_u1838_o ,\u_logic/_al_u1487_o }),
.d({\u_logic/W7biu6 ,\u_logic/_al_u1974_o }),
.f({\u_logic/Zbjiu6 ,\u_logic/Hm7ow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b1010101110111111),
.INIT_LUT1(16'b0010101010101011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1876 (
.a({\u_logic/_al_u1836_o ,\u_logic/_al_u1836_o }),
.b({\u_logic/Irmpw6 ,\u_logic/Irmpw6 }),
.c({\u_logic/S7mpw6 ,\u_logic/S7mpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Wfspw6 }),
.mi({open_n135369,\u_logic/Wkipw6 }),
.fx({open_n135374,\u_logic/_al_u1876_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*~A))"),
//.LUT1("(D*(C@B@A))"),
.INIT_LUT0(16'b1000110010101111),
.INIT_LUT1(16'b1001011000000000),
.MODE("LOGIC"))
\u_logic/_al_u1877|u_logic/_al_u1980 (
.a({\u_logic/_al_u1511_o ,\u_logic/_al_u1974_o }),
.b({\u_logic/Jckax6 ,\u_logic/Cn7ow6 }),
.c({\u_logic/Jgxpw6 ,\u_logic/N4kax6 }),
.d({\u_logic/W4jax6 ,\u_logic/W4jax6 }),
.f({\u_logic/Lwjiu6_lutinv ,\u_logic/_al_u1980_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
.INIT_LUT0(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u1878 (
.b({open_n135399,\u_logic/_al_u1876_o }),
.c({open_n135400,\u_logic/Lwjiu6_lutinv }),
.d({open_n135403,\u_logic/Ewjiu6_lutinv }),
.f({open_n135417,\u_logic/_al_u1878_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18704)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011100100010),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1879|u_logic/Rkkax6_reg (
.a({open_n135423,\u_logic/D8iiu6 }),
.b({\u_logic/_al_u1512_o ,\u_logic/_al_u1989_o }),
.c({\u_logic/N4kax6 ,\u_logic/_al_u1991_o }),
.clk(clk_pad),
.d({\u_logic/Ewjiu6_lutinv ,\u_logic/Rkkax6 }),
.f({\u_logic/Ncjiu6_lutinv ,open_n135438}),
.q({open_n135442,\u_logic/Rkkax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18704)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*~A))"),
//.LUT1("(D*~(C@B@A))"),
.INIT_LUT0(16'b1000101011001111),
.INIT_LUT1(16'b0110100100000000),
.MODE("LOGIC"))
\u_logic/_al_u1880|u_logic/_al_u1977 (
.a({\u_logic/Zbjiu6 ,\u_logic/Hm7ow6_lutinv }),
.b({\u_logic/_al_u1878_o ,\u_logic/Cn7ow6 }),
.c({\u_logic/Ncjiu6_lutinv ,\u_logic/Jckax6 }),
.d({\u_logic/E88iu6_lutinv ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u1880_o ,\u_logic/_al_u1977_o }));
// ../rtl/demodulation/FM_RSSI.v(101)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~(~D*C)*~(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0111011100000111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("SYNC"),
.SRMUX("INV"))
\u_logic/_al_u1883|FM_HW/FM_RSSI_SCAN/RSSI_reg_2_reg (
.a({HWDATA[0],open_n135463}),
.b({\u_logic/Kwfiu6 ,open_n135464}),
.c({interrupt_UART,\FM_HW/FM_RSSI_SCAN/RSSI_reg_2 }),
.clk(clk_pad),
.d({\u_logic/S11bx6 ,\FM_HW/FM_RSSI_SCAN/done_signal }),
.mi({open_n135476,\FM_HW/FM_RSSI_SCAN/RSSI_reg_1 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u1883_o ,RSSI_interrupt}),
.q({open_n135480,\FM_HW/FM_RSSI_SCAN/RSSI_reg_2 })); // ../rtl/demodulation/FM_RSSI.v(101)
// ../rtl/topmodule/cortexm0ds_logic.v(17497)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~(0*~(B*A))))"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(~C*~(D*~(1*~(B*A))))"),
//.LUTG1("(~D*~C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0000011100001111),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1886|u_logic/Z9opw6_reg (
.a({\u_logic/vis_ipsr_o[2] ,\u_logic/_al_u2838_o }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/_al_u2839_o }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/_al_u2841_o }),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/_al_u2842_o }),
.e({open_n135482,\u_logic/Kqhbx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1886_o ,open_n135497}),
.q({open_n135501,\u_logic/Z9opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17497)
// ../rtl/topmodule/cortexm0ds_logic.v(18713)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u188|u_logic/M2lax6_reg (
.a({\u_logic/_al_u178_o ,\u_logic/Kmqow6 }),
.b({\u_logic/_al_u181_o ,\u_logic/Fnqow6 }),
.c({\u_logic/_al_u184_o ,\u_logic/vis_r6_o[1] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u187_o ,\u_logic/vis_r5_o[1] }),
.mi({open_n135512,\u_logic/Tx8iu6 }),
.f({\u_logic/Dc0iu6 ,\u_logic/_al_u508_o }),
.q({open_n135517,\u_logic/vis_r1_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18713)
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1890 (
.a({HWDATA[8],HWDATA[8]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u1889_o ,\u_logic/_al_u1889_o }),
.mi({open_n135530,\u_logic/Us3bx6 }),
.fx({open_n135535,\u_logic/_al_u1890_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19164)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1892|u_logic/C10bx6_reg (
.a({open_n135538,HWDATA[7]}),
.b({open_n135539,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/C10bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1891_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Bggiu6_lutinv ,open_n135557}),
.q({open_n135561,\u_logic/C10bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19164)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*C)*~(0*~(B*A)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~(~D*C)*~(1*~(B*A)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b1111111100001111),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b1000100000001000),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1894|u_logic/_al_u2049 (
.a({HWDATA[2],HWDATA[19]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,key_interrupt[15]}),
.d({\u_logic/N5bbx6 ,\u_logic/S32bx6 }),
.e({open_n135564,\u_logic/V52bx6 }),
.f({\u_logic/_al_u1894_o ,\u_logic/_al_u2049_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19272)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1897|u_logic/S11bx6_reg (
.a({open_n135585,\u_logic/_al_u2347_o }),
.b({open_n135586,HWDATA[0]}),
.c({\u_logic/Kshbx6 ,\u_logic/_al_u2426_o }),
.clk(clk_pad),
.d({RSSI_interrupt,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1897_o ,open_n135600}),
.q({open_n135604,\u_logic/S11bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19272)
// ../rtl/topmodule/cortexm0ds_logic.v(19338)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1899|u_logic/Xo1bx6_reg (
.b({open_n135607,\u_logic/_al_u1061_o }),
.c({\u_logic/_al_u1062_o ,\u_logic/R3giu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1061_o ,\u_logic/_al_u2034_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1899_o ,\u_logic/D2phu6 }),
.q({open_n135628,\u_logic/Xo1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19338)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u189|u_logic/_al_u649 (
.c({\u_logic/Vzupw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/Sq3ju6 ,\u_logic/Ldoiu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(20109)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(~B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1903|u_logic/Eghbx6_reg (
.a({\u_logic/_al_u1901_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/_al_u1902_o ,\u_logic/_al_u1902_o }),
.c({key_interrupt[0],\u_logic/_al_u2412_o }),
.clk(clk_pad),
.d({\u_logic/Eghbx6 ,key_interrupt[0]}),
.sr(cpuresetn),
.f({\u_logic/_al_u1903_o ,open_n135666}),
.q({open_n135670,\u_logic/Eghbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20109)
// ../rtl/topmodule/cortexm0ds_logic.v(20235)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(0*~A*~(D*C)))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("~(B*~(1*~A*~(D*C)))"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100110011),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0011011101110111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1904|u_logic/T8kbx6_reg (
.a({\u_logic/vis_ipsr_o[2] ,\u_logic/_al_u2347_o }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/Li5iu6 }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/_al_u1899_o }),
.clk(clk_pad),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/T8row6 }),
.e({open_n135672,\u_logic/T8kbx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u1904_o ,open_n135687}),
.q({open_n135691,\u_logic/T8kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20235)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1905|u_logic/_al_u1930 (
.a({open_n135692,HWDATA[22]}),
.b({open_n135693,\u_logic/K66iu6 }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u1904_o ,\u_logic/Y0gbx6 }),
.f({\u_logic/Odfiu6_lutinv ,\u_logic/_al_u1930_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1907|_al_u108 (
.c({\u_logic/Ki3bx6 ,HWDATA[20]}),
.d({key_interrupt[1],\SPI_Interface/wr_en_reg }),
.f({\u_logic/_al_u1907_o ,SPI_TX_Data[20]}));
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0011111100001000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u1911|u_logic/_al_u2405 (
.a({open_n135738,\u_logic/_al_u1061_o }),
.b({\u_logic/K66iu6 ,\u_logic/R3giu6 }),
.c({\u_logic/Lr9bx6 ,\u_logic/_al_u2388_o }),
.d({HWDATA[6],\u_logic/Um1bx6 }),
.f({\u_logic/_al_u1911_o ,\u_logic/_al_u2405_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19821)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(~B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1913|u_logic/Jp9bx6_reg (
.a({\u_logic/_al_u1911_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/_al_u1912_o ,\u_logic/_al_u1912_o }),
.c({key_interrupt[2],\u_logic/_al_u2416_o }),
.clk(clk_pad),
.d({\u_logic/Jp9bx6 ,key_interrupt[2]}),
.sr(cpuresetn),
.f({\u_logic/_al_u1913_o ,open_n135776}),
.q({open_n135780,\u_logic/Jp9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19821)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u1916 (
.c({open_n135785,\u_logic/Om3bx6 }),
.d({open_n135788,key_interrupt[3]}),
.f({open_n135802,\u_logic/_al_u1916_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19362)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000001011111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1917|u_logic/Jx1bx6_reg (
.a({HWDATA[7],open_n135808}),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1061_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/Hwhiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u1916_o ,\u_logic/_al_u2053_o }),
.e({\u_logic/Qo3bx6 ,open_n135810}),
.sr(cpuresetn),
.f({\u_logic/_al_u1917_o ,\u_logic/P1phu6 }),
.q({open_n135828,\u_logic/Jx1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19362)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1919|u_logic/_al_u1901 (
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Rijbx6 ,\u_logic/Gihbx6 }),
.d({HWDATA[9],HWDATA[4]}),
.f({\u_logic/_al_u1919_o ,\u_logic/_al_u1901_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*~(0*~(~D*~B)))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~C*A*~(1*~(~D*~B)))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0000101000001010),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u191|u_logic/_al_u2465 (
.a({\u_logic/_al_u121_o ,\u_logic/M8fax6 }),
.b({\u_logic/Sq3ju6 ,\u_logic/S7mpw6 }),
.c({\u_logic/_al_u190_o ,\u_logic/U9ypw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Wfspw6 }),
.e({open_n135857,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u191_o ,\u_logic/_al_u2465_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(~D*C))"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(~B*~A*~(~D*C))"),
//.LUTG1("(~B*~A*~(~D*C))"),
.INIT_LUTF0(16'b0001000100000001),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b0001000100000001),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1921|u_logic/_al_u2021 (
.a({\u_logic/_al_u1919_o ,\u_logic/_al_u2019_o }),
.b({\u_logic/_al_u1920_o ,\u_logic/_al_u2020_o }),
.c({key_interrupt[5],key_interrupt[7]}),
.d({\u_logic/Pgjbx6 ,\u_logic/Ie1bx6 }),
.f({\u_logic/_al_u1921_o ,\u_logic/_al_u2021_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)+B*C*~(D)+B*C*D))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(A*(~(B)*~(C)*~(D)+B*C*~(D)+B*C*D))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000000010000010),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000000010000010),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1926|u_logic/_al_u2244 (
.a({open_n135902,\u_logic/_al_u2243_o }),
.b({open_n135903,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/_al_u1925_o ,\u_logic/vis_ipsr_o[5] }),
.d({\u_logic/vis_ipsr_o[3] ,\u_logic/Qrgiu6 }),
.f({\u_logic/_al_u1926_o ,\u_logic/_al_u2244_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*B)*~(0*A))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~C*~(D*B)*~(1*A))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1934|u_logic/_al_u3178 (
.a({HWDATA[24],\u_logic/F0eow6 }),
.b({\u_logic/K66iu6 ,\u_logic/M0eow6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u3177_o }),
.d({\u_logic/Dv2bx6 ,\u_logic/Rijbx6 }),
.e({open_n135930,\u_logic/Tkjbx6 }),
.f({\u_logic/_al_u1934_o ,\u_logic/_al_u3178_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~A)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(D*~C*~B*~A)"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1935|u_logic/_al_u2039 (
.a({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[2] }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/vis_ipsr_o[3] }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/_al_u1935_o ,\u_logic/_al_u2039_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17432)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1101110011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1938|u_logic/Mnmpw6_reg (
.a({open_n135975,HWDATA[27]}),
.b({open_n135976,HWDATA[28]}),
.c({\u_logic/C1wpw6 ,\u_logic/_al_u1951_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1937_o ,\u_logic/Ch5iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Ch5iu6_lutinv ,open_n135990}),
.q({open_n135994,\u_logic/Mnmpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17432)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1939|u_logic/_al_u3145 (
.a({HWDATA[26],\u_logic/_al_u3144_o }),
.b({\u_logic/_al_u730_o ,\u_logic/M6eiu6 }),
.c({\u_logic/Ch5iu6_lutinv ,\u_logic/F0eow6 }),
.d({\u_logic/Avzax6 ,\u_logic/Kl0bx6 }),
.e({open_n135997,\u_logic/Lywpw6 }),
.f({\u_logic/Ag5iu6 ,\u_logic/U1uiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1941|u_logic/_al_u1950 (
.a({open_n136018,\u_logic/H9row6_lutinv }),
.b({\u_logic/_al_u1062_o ,\u_logic/Ljbpw6_lutinv }),
.c({\u_logic/Ljbpw6_lutinv ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/H9row6_lutinv ,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/Ajgiu6 ,\u_logic/Rhgiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20141)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000100001011111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000100001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1946|u_logic/Muhbx6_reg (
.a({HWDATA[26],open_n136043}),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1899_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1886_o }),
.clk(clk_pad),
.d({\u_logic/Jz2bx6 ,\u_logic/_al_u1898_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1946_o ,\u_logic/O4phu6 }),
.q({open_n136064,\u_logic/Muhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20141)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000100001011111),
.MODE("LOGIC"))
\u_logic/_al_u1948|u_logic/_al_u1944 (
.a({HWDATA[27],HWDATA[25]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/P33bx6 ,\u_logic/Rm2bx6 }),
.f({\u_logic/_al_u1948_o ,\u_logic/_al_u1944_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u194|u_logic/_al_u662 (
.c({\u_logic/Xxupw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u194_o ,\u_logic/Cc2ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18990)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1951|u_logic/Pdyax6_reg (
.b({\u_logic/Rhgiu6 ,\u_logic/_al_u1895_o }),
.c({\u_logic/Mnmpw6 ,\u_logic/T8row6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1061_o ,\u_logic/_al_u2348_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u1951_o ,\u_logic/Npghu6 }),
.q({open_n136131,\u_logic/Pdyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18990)
// ../rtl/topmodule/cortexm0ds_logic.v(18070)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u1954|u_logic/Gz6ax6_reg (
.b({\u_logic/vis_ipsr_o[4] ,open_n136134}),
.c({\u_logic/vis_ipsr_o[5] ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/Ljbpw6_lutinv ,\u_logic/Hqgiu6 }),
.mi({open_n136145,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/U5cpw6 ,\u_logic/n1200 }),
.q({open_n136149,\u_logic/Gz6ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18070)
// ../rtl/topmodule/cortexm0ds_logic.v(18961)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~B*A)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000100001011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1960|u_logic/Pdxax6_reg (
.a({HWDATA[29],\u_logic/Kkyiu6 }),
.b({\u_logic/K66iu6 ,\u_logic/R9yax6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/W5ypw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Bc3bx6 ,\u_logic/Ztupw6 }),
.mi({open_n136160,HWDATA[14]}),
.f({\u_logic/_al_u1960_o ,\u_logic/K66iu6 }),
.q({open_n136165,\u_logic/Pdxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18961)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*~(D*C)))"),
//.LUT1("(D*(C@B))"),
.INIT_LUT0(16'b0101000100010001),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"))
\u_logic/_al_u1965|u_logic/_al_u1865 (
.a({open_n136166,\u_logic/_al_u631_o }),
.b({\u_logic/_al_u130_o ,\u_logic/_al_u1864_o }),
.c({\u_logic/Kalpw6 ,\u_logic/Bclpw6 }),
.d({\u_logic/T8yhu6_lutinv ,\u_logic/Rilpw6 }),
.f({\u_logic/_al_u1965_o ,\u_logic/J9zhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*C*B)*~(~0*A))"),
//.LUT1("(~(~D*C*B)*~(~1*A))"),
.INIT_LUT0(16'b0101010100010101),
.INIT_LUT1(16'b1111111100111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1968 (
.a({\u_logic/_al_u1068_o ,\u_logic/_al_u1068_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Pthiu6 ,\u_logic/Pthiu6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n136199,\u_logic/T1vpw6 }),
.fx({open_n136204,\u_logic/Y9iow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(0*~(~D*C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(B*A*~(1*~(~D*C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1969|u_logic/_al_u2309 (
.a({open_n136207,\u_logic/_al_u2303_o }),
.b({open_n136208,\u_logic/_al_u2305_o }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/_al_u2307_o }),
.d({\u_logic/Ru3pw6_lutinv ,\u_logic/_al_u2308_o }),
.e({open_n136211,\u_logic/Llaow6_lutinv }),
.f({\u_logic/_al_u1969_o ,\u_logic/_al_u2309_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u196|u_logic/_al_u340 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Dc0iu6 ,\u_logic/D50iu6 }),
.f({\u_logic/Mifpw6 [0],\u_logic/Mifpw6 [4]}));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTF1("(B*A*~(~D*C))"),
//.LUTG0("(~D*(B*~(A)*~(C)+B*A*~(C)+~(B)*A*C+B*A*C))"),
//.LUTG1("(B*A*~(~D*C))"),
.INIT_LUTF0(16'b0000000010101100),
.INIT_LUTF1(16'b1000100000001000),
.INIT_LUTG0(16'b0000000010101100),
.INIT_LUTG1(16'b1000100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1970|u_logic/_al_u4024 (
.a({\u_logic/T1jiu6 ,\u_logic/_al_u1070_o }),
.b({\u_logic/Y9iow6 ,\u_logic/_al_u397_o }),
.c({\u_logic/_al_u1969_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u1970_o ,\u_logic/_al_u4024_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(0*D*C))"),
//.LUT1("(B*A*~(1*D*C))"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1971 (
.a({\u_logic/Epjiu6 ,\u_logic/Epjiu6 }),
.b({\u_logic/_al_u1970_o ,\u_logic/_al_u1970_o }),
.c({\u_logic/_al_u1684_o ,\u_logic/_al_u1684_o }),
.d({\u_logic/_al_u145_o ,\u_logic/_al_u145_o }),
.mi({open_n136296,\u_logic/_al_u690_o }),
.fx({open_n136301,\u_logic/_al_u1971_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*~D))"),
//.LUT1("(~C*~B*A*~(1*~D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1974 (
.a({\u_logic/Ubkiu6 ,\u_logic/Ubkiu6 }),
.b({\u_logic/Ttjiu6_lutinv ,\u_logic/Ttjiu6_lutinv }),
.c({\u_logic/_al_u1973_o ,\u_logic/_al_u1973_o }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.mi({open_n136316,\u_logic/_al_u398_o }),
.fx({open_n136321,\u_logic/_al_u1974_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*D))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~B*~(C*D))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1976|u_logic/_al_u401 (
.b({\u_logic/_al_u718_o ,\u_logic/_al_u400_o }),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u1604_o ,\u_logic/_al_u386_o }),
.f({\u_logic/Cn7ow6 ,\u_logic/_al_u401_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~A*~(C*B))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000010101),
.MODE("LOGIC"))
\u_logic/_al_u1978|u_logic/_al_u1443 (
.a({\u_logic/_al_u1498_o ,open_n136350}),
.b({\u_logic/L45iu6_lutinv ,open_n136351}),
.c({\u_logic/Llaow6_lutinv ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u141_o ,\u_logic/_al_u141_o }),
.f({\u_logic/Dk7ow6 ,\u_logic/Bi0iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG1("(D*C*B*~A)"),
.INIT_LUTF0(16'b0011111111110101),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b0011111111110101),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1983|u_logic/_al_u1137 (
.a({\u_logic/_al_u1982_o ,\u_logic/S2ziu6_lutinv }),
.b({\u_logic/F4iax6 ,\u_logic/T23ju6_lutinv }),
.c({\u_logic/P5vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u1983_o ,\u_logic/_al_u1137_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18708)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*A*~(D*~C))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(~B*A*~(D*~C))"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101111111011101),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1101111111011101),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1985|u_logic/Rskax6_reg (
.a({open_n136396,\u_logic/_al_u1874_o }),
.b({\u_logic/_al_u1984_o ,\u_logic/_al_u1880_o }),
.c({\u_logic/Jckax6 ,\u_logic/Yb8iu6 }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/Hm7ow6_lutinv ,\u_logic/Irmpw6 }),
.f({\u_logic/_al_u1985_o ,open_n136414}),
.q({open_n136418,\u_logic/Rskax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18708)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u1988|u_logic/_al_u1490 (
.a({\u_logic/Aaiiu6 ,open_n136419}),
.b({\u_logic/_al_u1987_o ,open_n136420}),
.c({\u_logic/Z4jiu6_lutinv ,\u_logic/Dxvpw6 }),
.d({\u_logic/F6ziu6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u1988_o ,\u_logic/Ia8iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1989|u_logic/_al_u384 (
.b({\u_logic/_al_u1988_o ,open_n136443}),
.c({\u_logic/Wkipw6 ,\u_logic/Skjax6 }),
.d({\u_logic/Ljiiu6 ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u1989_o ,\u_logic/L88iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17279)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u1991|u_logic/Wxjpw6_reg (
.b({\u_logic/_al_u390_o ,\u_logic/P91ju6 }),
.c({\u_logic/Oikax6 ,\u_logic/Jgxpw6 }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/_al_u1990_o ,\u_logic/_al_u712_o }),
.f({\u_logic/_al_u1991_o ,open_n136487}),
.q({open_n136491,\u_logic/Wxjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17279)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u1993 (
.a({\u_logic/_al_u1604_o ,\u_logic/_al_u1604_o }),
.b({\u_logic/L88iu6_lutinv ,\u_logic/L88iu6_lutinv }),
.c({\u_logic/_al_u1225_o ,\u_logic/_al_u1225_o }),
.d({\u_logic/_al_u1226_o ,\u_logic/_al_u1226_o }),
.mi({open_n136504,\u_logic/Jckax6 }),
.fx({open_n136509,\u_logic/_al_u1993_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~A*~(D*~C*B))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~A*~(D*~C*B))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0101000101010101),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0101000101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1994|u_logic/_al_u1235 (
.a({\u_logic/_al_u1993_o ,open_n136512}),
.b({\u_logic/Dmiiu6 ,\u_logic/P0kax6 }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/Ssjax6 }),
.d({\u_logic/Nbkiu6_lutinv ,\u_logic/Vviiu6 }),
.f({\u_logic/_al_u1994_o ,\u_logic/_al_u1235_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u1995|u_logic/_al_u2458 (
.a({\u_logic/Z4jiu6_lutinv ,open_n136537}),
.b({\u_logic/_al_u1784_o ,open_n136538}),
.c({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u1995_o ,\u_logic/_al_u2458_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1997|u_logic/_al_u1494 (
.c({\u_logic/Hirpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u148_o ,\u_logic/Aujpw6 }),
.f({\u_logic/Kr7ow6_lutinv ,\u_logic/N98iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*(~D*~(A)*~(0)+~D*A*~(0)+~(~D)*A*0+~D*A*0))"),
//.LUTF1("(~B*A*~(~0*D*C))"),
//.LUTG0("(~C*~B*(~D*~(A)*~(1)+~D*A*~(1)+~(~D)*A*1+~D*A*1))"),
//.LUTG1("(~B*A*~(~1*D*C))"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000001000000010),
.INIT_LUTG1(16'b0010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1998|u_logic/_al_u1758 (
.a({\u_logic/Lv7ow6 ,\u_logic/_al_u1754_o }),
.b({\u_logic/_al_u1805_o ,\u_logic/_al_u1755_o }),
.c({\u_logic/L45iu6_lutinv ,\u_logic/_al_u1756_o }),
.d({\u_logic/Kr7ow6_lutinv ,\u_logic/_al_u1757_o }),
.e({\u_logic/Ae0iu6_lutinv ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u1998_o ,\u_logic/_al_u1758_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(B*A*~(D*C)))"),
//.LUTF1("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUTG0("(1*~(B*A*~(D*C)))"),
//.LUTG1("(A*(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000100000001010),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1000100000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u1999|u_logic/_al_u1686 (
.a({\u_logic/U98iu6 ,\u_logic/Epjiu6 }),
.b({\u_logic/_al_u638_o ,\u_logic/_al_u1682_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u1684_o }),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u1685_o }),
.e({open_n136611,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u1999_o ,\u_logic/n1360 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(C*B)))"),
//.LUTF1("(C*~(~B*~D))"),
//.LUTG0("(~D*~(~A*~(C*B)))"),
//.LUTG1("(C*~(~B*~D))"),
.INIT_LUTF0(16'b0000000011101010),
.INIT_LUTF1(16'b1111000011000000),
.INIT_LUTG0(16'b0000000011101010),
.INIT_LUTG1(16'b1111000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2000|u_logic/_al_u1086 (
.a({open_n136632,\u_logic/_al_u1084_o }),
.b({\u_logic/Cc2ju6_lutinv ,\u_logic/_al_u1085_o }),
.c({\u_logic/_al_u690_o ,\u_logic/_al_u690_o }),
.d({\u_logic/Ru3pw6_lutinv ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2000_o ,\u_logic/_al_u1086_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~(~C*B)))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~A*~(D*~(~C*B)))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000010001010101),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000010001010101),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2001|u_logic/_al_u2670 (
.a({\u_logic/_al_u1998_o ,\u_logic/_al_u2668_o }),
.b({\u_logic/_al_u1999_o ,\u_logic/_al_u2669_o }),
.c({\u_logic/_al_u2000_o ,\u_logic/Nkaju6_lutinv }),
.d({\u_logic/Ujjiu6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2001_o ,\u_logic/_al_u2670_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(D*B*~(~C*A))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b1100010000000000),
.MODE("LOGIC"))
\u_logic/_al_u2004|u_logic/_al_u1807 (
.a({\u_logic/Mmjiu6_lutinv ,\u_logic/Hs8ow6 }),
.b({\u_logic/_al_u145_o ,\u_logic/_al_u398_o }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u688_o }),
.d({\u_logic/_al_u394_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2004_o ,\u_logic/_al_u1807_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~D*C*B*~A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2005|u_logic/_al_u1671 (
.a({\u_logic/_al_u1996_o ,\u_logic/_al_u1663_o }),
.b({\u_logic/_al_u2001_o ,\u_logic/Veziu6 }),
.c({\u_logic/_al_u2003_o ,\u_logic/_al_u1668_o }),
.d({\u_logic/_al_u2004_o ,\u_logic/D0jiu6 }),
.f({\u_logic/_al_u2005_o ,\u_logic/Epjiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2006|u_logic/_al_u2337 (
.a({open_n136725,\u_logic/_al_u718_o }),
.b({\u_logic/_al_u1778_o ,\u_logic/Ae0iu6_lutinv }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u2336_o }),
.d({\u_logic/_al_u2005_o ,\u_logic/U9ypw6 }),
.f({\u_logic/n3178 ,\u_logic/_al_u2337_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*A*~(0*~B))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(~D*~C*A*~(1*~B))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000001010),
.INIT_LUTF1(16'b1010000110100011),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1010000110100011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2009|u_logic/_al_u1870 (
.a({\u_logic/R6zhu6 ,\u_logic/J9zhu6 }),
.b({\u_logic/_al_u1423_o ,\u_logic/_al_u1868_o }),
.c({\u_logic/Rilpw6 ,\u_logic/_al_u1420_o }),
.d({\u_logic/Vnyhu6_lutinv ,\u_logic/_al_u1869_o }),
.e({open_n136752,\u_logic/Rilpw6 }),
.f({\u_logic/D6zhu6_lutinv ,\u_logic/_al_u1870_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*A*~(B*~(~D*C)))"),
//.LUT1("(~1*A*~(B*~(~D*C)))"),
.INIT_LUT0(16'b0010001010100010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2010 (
.a({\u_logic/_al_u1416_o ,\u_logic/_al_u1416_o }),
.b({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.c({\u_logic/Kalpw6 ,\u_logic/Kalpw6 }),
.d({\u_logic/Krlpw6 ,\u_logic/Krlpw6 }),
.mi({open_n136785,\u_logic/Sdlpw6 }),
.fx({open_n136790,\u_logic/_al_u2010_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u2011|u_logic/_al_u617 (
.a({\u_logic/D6zhu6_lutinv ,open_n136793}),
.b({\u_logic/J9zhu6 ,open_n136794}),
.c({\u_logic/_al_u1430_o ,\u_logic/Jflpw6 }),
.d({\u_logic/_al_u2010_o ,\u_logic/_al_u616_o }),
.f({\u_logic/_al_u2011_o ,\u_logic/Iyyhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(A*~(~D*C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b1010101000101010),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1010101000101010),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2012|u_logic/_al_u2007 (
.a({open_n136815,\u_logic/Golpw6 }),
.b({\u_logic/Krlpw6 ,\u_logic/Krlpw6 }),
.c({\u_logic/Zslpw6 ,\u_logic/Vplpw6 }),
.d({\u_logic/Pyyhu6_lutinv ,\u_logic/Zslpw6 }),
.f({\u_logic/_al_u2012_o ,\u_logic/F7zhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*~(D*~C*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*~(D*~C*B))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1010001010101010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1010001010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2013|u_logic/_al_u629 (
.a({\u_logic/_al_u2011_o ,open_n136840}),
.b({\u_logic/_al_u1423_o ,open_n136841}),
.c({\u_logic/_al_u2012_o ,\u_logic/Sdlpw6 }),
.d({\u_logic/Y8lpw6 ,\u_logic/_al_u616_o }),
.f({\u_logic/_al_u2013_o ,\u_logic/_al_u629_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2016|u_logic/_al_u1920 (
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d(HWDATA[10:9]),
.f({\u_logic/_al_u2016_o ,\u_logic/_al_u1920_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*C)*~(0*~(B*A)))"),
//.LUT1("(~(~D*C)*~(1*~(B*A)))"),
.INIT_LUT0(16'b1111111100001111),
.INIT_LUT1(16'b1000100000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2017 (
.a({HWDATA[10],HWDATA[10]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({key_interrupt[6],key_interrupt[6]}),
.d({\u_logic/Ca1bx6 ,\u_logic/Ca1bx6 }),
.mi({open_n136902,\u_logic/Fc1bx6 }),
.fx({open_n136907,\u_logic/_al_u2017_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19380)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2019|u_logic/S32bx6_reg (
.a({open_n136910,\u_logic/_al_u2347_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2048_o }),
.c({\u_logic/Lg1bx6 ,\u_logic/_al_u2424_o }),
.clk(clk_pad),
.d({HWDATA[11],key_interrupt[15]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2019_o ,open_n136924}),
.q({open_n136928,\u_logic/S32bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19380)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2020|u_logic/_al_u1902 (
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({HWDATA[11],HWDATA[4]}),
.f({\u_logic/_al_u2020_o ,\u_logic/_al_u1902_o }));
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2023|pulse_gen_unit/reg0_b15 (
.c({\u_logic/W51bx6 ,\u_logic/Sq3bx6 }),
.clk(clk_pad),
.d({key_interrupt[8],key_interrupt[4]}),
.mi({open_n136961,\pulse_gen_unit/key_reg_1 [15]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u2023_o ,\u_logic/_al_u1889_o }),
.q({open_n136976,\pulse_gen_unit/key_reg_2 [15]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2024 (
.a({HWDATA[12],HWDATA[12]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2023_o ,\u_logic/_al_u2023_o }),
.mi({open_n136989,\u_logic/Z71bx6 }),
.fx({open_n136994,\u_logic/_al_u2024_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19002)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2025|u_logic/Ohyax6_reg (
.a({open_n136997,\u_logic/_al_u4414_o }),
.b({\u_logic/vis_ipsr_o[4] ,\u_logic/_al_u4415_o }),
.c({\u_logic/vis_ipsr_o[5] ,\u_logic/_al_u4416_o }),
.ce(\u_logic/n1112 ),
.clk(clk_pad),
.d({\u_logic/Ljbpw6_lutinv ,\u_logic/Yvgiu6 }),
.e({open_n136998,\u_logic/Coupw6 }),
.mi({open_n137000,HWDATA[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2025_o ,\u_logic/_al_u4417_o }),
.q({open_n137015,\u_logic/Ohyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19002)
// ../rtl/topmodule/cortexm0ds_logic.v(19833)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2026|u_logic/Nt9bx6_reg (
.a({open_n137016,HWDATA[6]}),
.b({open_n137017,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/Nt9bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2025_o ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2026_o ,open_n137035}),
.q({open_n137039,\u_logic/Nt9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19833)
// ../rtl/topmodule/cortexm0ds_logic.v(19524)
EG_PHY_LSLICE #(
//.LUTF0("(0*C*~A*~(D*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*C*~A*~(D*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2028|u_logic/Ki3bx6_reg (
.a({open_n137040,\u_logic/_al_u2347_o }),
.b({open_n137041,HWDATA[5]}),
.c({\u_logic/Oi1bx6 ,\u_logic/_al_u2414_o }),
.clk(clk_pad),
.d({key_interrupt[9],\u_logic/Kwfiu6 }),
.e({open_n137043,key_interrupt[1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2028_o ,open_n137058}),
.q({open_n137062,\u_logic/Ki3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19524)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTG0("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000001011111),
.INIT_LUTG0(16'b0011111111111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2029|u_logic/_al_u2399 (
.a({HWDATA[13],\u_logic/_al_u1061_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2388_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1891_o }),
.d({\u_logic/_al_u2028_o ,\u_logic/_al_u1062_o }),
.e({\u_logic/Rk1bx6 ,\u_logic/Ie1bx6 }),
.f({\u_logic/_al_u2029_o ,\u_logic/_al_u2399_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18902)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~(D*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(C*B*~(D*~A))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111100111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u202|u_logic/Zduax6_reg (
.a({open_n137085,\u_logic/_al_u4609_o }),
.b({open_n137086,\u_logic/_al_u4620_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/Lokiu6 }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ib0iu6 ,\u_logic/n3708 }),
.f({\u_logic/Mifpw6 [12],\u_logic/Rkkiu6 }),
.q({open_n137107,\u_logic/vis_r4_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18902)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2030|u_logic/_al_u2054 (
.c({\u_logic/_al_u1909_o ,\u_logic/Ukbpw6_lutinv }),
.d({\u_logic/_al_u2025_o ,\u_logic/_al_u2039_o }),
.f({\u_logic/_al_u2030_o ,\u_logic/Hwhiu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19332)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2033|u_logic/Um1bx6_reg (
.a({open_n137136,\u_logic/_al_u2347_o }),
.b({open_n137137,\u_logic/_al_u2033_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2405_o }),
.clk(clk_pad),
.d({HWDATA[14],key_interrupt[10]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2033_o ,open_n137151}),
.q({open_n137155,\u_logic/Um1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19332)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(~B*~A*~(~D*C))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2034|u_logic/_al_u2032 (
.a({\u_logic/_al_u2032_o ,open_n137156}),
.b({\u_logic/_al_u2033_o ,\u_logic/K66iu6 }),
.c({key_interrupt[10],\u_logic/Xo1bx6 }),
.d({\u_logic/Um1bx6 ,HWDATA[14]}),
.f({\u_logic/_al_u2034_o ,\u_logic/_al_u2032_o }));
// ../rtl/peripherals/KeyScan.v(110)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2037|pulse_gen_unit/reg0_b11 (
.c({\u_logic/Ar1bx6 ,HWDATA[21]}),
.clk(clk_pad),
.d({key_interrupt[13],\SPI_Interface/wr_en_reg }),
.mi({open_n137196,\pulse_gen_unit/key_reg_1 [11]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u2037_o ,SPI_TX_Data[21]}),
.q({open_n137200,\pulse_gen_unit/key_reg_2 [11]})); // ../rtl/peripherals/KeyScan.v(110)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTG0("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000001011111),
.INIT_LUTG0(16'b0011111111111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2038|u_logic/_al_u2418 (
.a({HWDATA[17],\u_logic/_al_u1061_o }),
.b({\u_logic/K66iu6 ,\u_logic/_al_u2388_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1904_o }),
.d({\u_logic/_al_u2037_o ,\u_logic/_al_u1062_o }),
.e({\u_logic/Dt1bx6 ,\u_logic/Om3bx6 }),
.f({\u_logic/_al_u2038_o ,\u_logic/_al_u2418_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18616)
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~A*~(D*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2040|u_logic/Woiax6_reg (
.a({open_n137223,\u_logic/_al_u2347_o }),
.b({open_n137224,\u_logic/Fsdiu6 }),
.c({\u_logic/_al_u1909_o ,\u_logic/_al_u2407_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2039_o ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2040_o ,open_n137242}),
.q({open_n137246,\u_logic/Woiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18616)
// ../rtl/topmodule/cortexm0ds_logic.v(19392)
EG_PHY_LSLICE #(
//.LUTF0("(0*C*~A*~(D*B))"),
//.LUTF1("(~(~D*C)*~(B*A))"),
//.LUTG0("(1*C*~A*~(D*B))"),
//.LUTG1("(~(~D*C)*~(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0111011100000111),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0111011100000111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2042|u_logic/Y72bx6_reg (
.a({HWDATA[1],\u_logic/_al_u2347_o }),
.b({\u_logic/Kwfiu6 ,HWDATA[1]}),
.c({interrupt_IQ_done,\u_logic/_al_u2391_o }),
.clk(clk_pad),
.d({\u_logic/Y72bx6 ,\u_logic/Kwfiu6 }),
.e({open_n137248,interrupt_IQ_done}),
.sr(cpuresetn),
.f({\u_logic/_al_u2042_o ,open_n137263}),
.q({open_n137267,\u_logic/Y72bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19392)
// ../rtl/topmodule/cortexm0ds_logic.v(20135)
EG_PHY_MSLICE #(
//.LUT0("(C*~A*~(D*B))"),
//.LUT1("(A*~(D*~(C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000001010000),
.INIT_LUT1(16'b1000000010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2043|u_logic/Kshbx6_reg (
.a({\u_logic/_al_u2042_o ,\u_logic/_al_u2347_o }),
.b({HWDATA[1],HWDATA[3]}),
.c({\u_logic/K66iu6 ,\u_logic/_al_u2410_o }),
.clk(clk_pad),
.d({\u_logic/Aa2bx6 ,\u_logic/Kwfiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2043_o ,open_n137281}),
.q({open_n137285,\u_logic/Kshbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20135)
// ../rtl/topmodule/cortexm0ds_logic.v(20197)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2045|u_logic/Rijbx6_reg (
.b({open_n137288,\u_logic/_al_u1061_o }),
.c({\u_logic/Mz1bx6 ,\u_logic/Eegiu6_lutinv }),
.clk(clk_pad),
.d({key_interrupt[14],\u_logic/_al_u1921_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2045_o ,\u_logic/Uwdpw6 }),
.q({open_n137309,\u_logic/Rijbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20197)
// ../rtl/topmodule/cortexm0ds_logic.v(19917)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000001011111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2046|u_logic/N5bbx6_reg (
.a({HWDATA[18],open_n137310}),
.b({\u_logic/K66iu6 ,\u_logic/_al_u1895_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u1886_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2045_o ,\u_logic/_al_u1894_o }),
.e({\u_logic/P12bx6 ,open_n137312}),
.sr(cpuresetn),
.f({\u_logic/_al_u2046_o ,\u_logic/V4phu6 }),
.q({open_n137330,\u_logic/N5bbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19917)
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2048|u_logic/_al_u2426 (
.a({open_n137331,\u_logic/_al_u1061_o }),
.b({open_n137332,\u_logic/_al_u1887_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2388_o }),
.d({HWDATA[19],interrupt_UART}),
.e({open_n137335,\u_logic/S11bx6 }),
.f({\u_logic/_al_u2048_o ,\u_logic/_al_u2426_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19368)
EG_PHY_LSLICE #(
//.LUTF0("(0*C*~A*~(D*B))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(1*C*~A*~(D*B))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2051|u_logic/Mz1bx6_reg (
.a({open_n137356,\u_logic/_al_u2347_o }),
.b({\u_logic/K66iu6 ,HWDATA[18]}),
.c({\u_logic/Jx1bx6 ,\u_logic/_al_u2422_o }),
.clk(clk_pad),
.d({HWDATA[16],\u_logic/Kwfiu6 }),
.e({open_n137358,key_interrupt[14]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2051_o ,open_n137373}),
.q({open_n137377,\u_logic/Mz1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19368)
// ../rtl/topmodule/cortexm0ds_logic.v(20191)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2052|u_logic/Pgjbx6_reg (
.a({open_n137378,\u_logic/_al_u2347_o }),
.b({open_n137379,\u_logic/_al_u1920_o }),
.c({\u_logic/Kwfiu6 ,\u_logic/_al_u2395_o }),
.clk(clk_pad),
.d({HWDATA[16],key_interrupt[5]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2052_o ,open_n137397}),
.q({open_n137401,\u_logic/Pgjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20191)
// ../rtl/topmodule/cortexm0ds_logic.v(20115)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0001000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2053|u_logic/Gihbx6_reg (
.a({\u_logic/_al_u2051_o ,open_n137402}),
.b({\u_logic/_al_u2052_o ,\u_logic/_al_u1061_o }),
.c({key_interrupt[12],\u_logic/Odfiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Gv1bx6 ,\u_logic/_al_u1903_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2053_o ,\u_logic/H4phu6 }),
.q({open_n137419,\u_logic/Gihbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20115)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*C*B*~A)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2057|u_logic/_al_u1762 (
.a({\u_logic/_al_u1982_o ,open_n137420}),
.b({\u_logic/G2iax6 ,open_n137421}),
.c({\u_logic/P5vpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2057_o ,\u_logic/Ldiow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C)*~(0*~A))"),
//.LUTF1("(C*A*~(D*B))"),
//.LUTG0("(B*~(D*C)*~(1*~A))"),
//.LUTG1("(C*A*~(D*B))"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0010000010100000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0010000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2059|u_logic/_al_u1873 (
.a({\u_logic/_al_u2056_o ,\u_logic/Hd8iu6_lutinv }),
.b({\u_logic/Cbbiu6_lutinv ,\u_logic/_al_u1872_o }),
.c({\u_logic/_al_u2058_o ,\u_logic/Cbbiu6_lutinv }),
.d({\u_logic/Rwjax6 ,\u_logic/N4kax6 }),
.e({open_n137444,\u_logic/P0kax6 }),
.f({\u_logic/_al_u2059_o ,\u_logic/_al_u1873_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18692)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*~(~C*~(0*B))))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(A*~(D*~(~C*~(1*B))))"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111010101010101),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111110101010101),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2060|u_logic/M6kax6_reg (
.a({open_n137465,\u_logic/Ir6ow6 }),
.b({\u_logic/_al_u2059_o ,\u_logic/Srbow6 }),
.c({\u_logic/Jgxpw6 ,\u_logic/_al_u705_o }),
.ce(\u_logic/n3767 ),
.clk(clk_pad),
.d({\u_logic/Hm7ow6_lutinv ,\u_logic/N4kax6 }),
.e({open_n137466,\u_logic/Ssjax6 }),
.f({\u_logic/Itbow6 ,open_n137482}),
.q({open_n137486,\u_logic/M6kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18692)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(D*C))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~B*~A*~(D*C))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2063|u_logic/_al_u706 (
.a({open_n137487,\u_logic/H4iow6 }),
.b({\u_logic/_al_u2062_o ,\u_logic/Hs8ow6 }),
.c({\u_logic/S7mpw6 ,\u_logic/_al_u704_o }),
.d({\u_logic/_al_u1595_o ,\u_logic/_al_u705_o }),
.f({\u_logic/Xbiiu6 ,\u_logic/Mb1ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2065|u_logic/_al_u2335 (
.a({open_n137512,\u_logic/Cbbiu6_lutinv }),
.b({open_n137513,\u_logic/Tc8iu6 }),
.c({\u_logic/_al_u148_o ,\u_logic/P0kax6 }),
.d({\u_logic/Y0jiu6 ,\u_logic/Xiipw6 }),
.f({\u_logic/_al_u2065_o ,\u_logic/_al_u2335_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2066|u_logic/_al_u1723 (
.a({open_n137534,\u_logic/_al_u153_o }),
.b({\u_logic/_al_u142_o ,\u_logic/_al_u142_o }),
.c({\u_logic/_al_u638_o ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u121_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2066_o ,\u_logic/_al_u1723_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(~0*D*C))"),
//.LUTF1("(C*B*~(D*~A))"),
//.LUTG0("(~B*A*~(~1*D*C))"),
//.LUTG1("(C*B*~(D*~A))"),
.INIT_LUTF0(16'b0000001000100010),
.INIT_LUTF1(16'b1000000011000000),
.INIT_LUTG0(16'b0010001000100010),
.INIT_LUTG1(16'b1000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2068|u_logic/_al_u1575 (
.a({\u_logic/_al_u1091_o ,\u_logic/_al_u1573_o }),
.b({\u_logic/Qyniu6_lutinv ,\u_logic/_al_u1574_o }),
.c({\u_logic/Vzupw6 ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Yvjpw6 ,\u_logic/T1vpw6 }),
.e({open_n137557,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2068_o ,\u_logic/_al_u1575_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~A*~(C*B))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0001010100000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u2072|u_logic/_al_u2084 (
.a({open_n137578,\u_logic/_al_u2083_o }),
.b({\u_logic/_al_u190_o ,\u_logic/_al_u190_o }),
.c({\u_logic/_al_u1718_o ,\u_logic/Pthiu6 }),
.d({\u_logic/_al_u669_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2072_o ,\u_logic/_al_u2084_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*D*~C*~B*~A)"),
//.LUTF1("(A*~(~D*~(~C*B)))"),
//.LUTG0("(~1*D*~C*~B*~A)"),
//.LUTG1("(A*~(~D*~(~C*B)))"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1010101000001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1010101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2074|u_logic/_al_u2071 (
.a({\u_logic/_al_u2071_o ,\u_logic/_al_u2065_o }),
.b({\u_logic/_al_u2072_o ,\u_logic/_al_u2066_o }),
.c({\u_logic/_al_u2073_o ,\u_logic/_al_u2068_o }),
.d({\u_logic/Aujpw6 ,\u_logic/Rvniu6 }),
.e({open_n137601,\u_logic/_al_u2070_o }),
.f({\u_logic/_al_u2074_o ,\u_logic/_al_u2071_o }));
EG_PHY_MSLICE #(
//.LUT0("~((D*C)*~((B*A))*~(0)+(D*C)*(B*A)*~(0)+~((D*C))*(B*A)*0+(D*C)*(B*A)*0)"),
//.LUT1("~((D*C)*~((B*A))*~(1)+(D*C)*(B*A)*~(1)+~((D*C))*(B*A)*1+(D*C)*(B*A)*1)"),
.INIT_LUT0(16'b0000111111111111),
.INIT_LUT1(16'b0111011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2075 (
.a({\u_logic/_al_u121_o ,\u_logic/_al_u121_o }),
.b({\u_logic/Yecpw6_lutinv ,\u_logic/Yecpw6_lutinv }),
.c({\u_logic/Edapw6_lutinv ,\u_logic/Edapw6_lutinv }),
.d({\u_logic/Yp8iu6 ,\u_logic/Yp8iu6 }),
.mi({open_n137634,\u_logic/Xxupw6 }),
.fx({open_n137639,\u_logic/_al_u2075_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~B*~(C*A)))"),
//.LUT1("(A*~(D*C*B))"),
.INIT_LUT0(16'b0000000011101100),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u2076|u_logic/_al_u2702 (
.a({\u_logic/_al_u2075_o ,\u_logic/L45iu6_lutinv }),
.b({\u_logic/_al_u957_o ,\u_logic/Ru3pw6_lutinv }),
.c({\u_logic/_al_u154_o ,\u_logic/_al_u121_o }),
.d({\u_logic/_al_u155_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2076_o ,\u_logic/_al_u2702_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*~(A*~(D*~B)))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000011100000101),
.MODE("LOGIC"))
\u_logic/_al_u2079|u_logic/_al_u1071 (
.a({\u_logic/_al_u2076_o ,\u_logic/_al_u1070_o }),
.b({\u_logic/_al_u2078_o ,\u_logic/Fq8iu6 }),
.c({\u_logic/Vygax6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2079_o ,\u_logic/_al_u1071_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(B@D))"),
//.LUTF1("(~C*~(~D*B*A))"),
//.LUTG0("(~C*(B@D))"),
//.LUTG1("(~C*~(~D*B*A))"),
.INIT_LUTF0(16'b0000001100001100),
.INIT_LUTF1(16'b0000111100000111),
.INIT_LUTG0(16'b0000001100001100),
.INIT_LUTG1(16'b0000111100000111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2080|u_logic/_al_u1572 (
.a({\u_logic/_al_u410_o ,open_n137682}),
.b({\u_logic/_al_u688_o ,\u_logic/Vzupw6 }),
.c({\u_logic/Hirpw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2080_o ,\u_logic/_al_u1572_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2081|u_logic/_al_u2308 (
.b({\u_logic/Cc2ju6_lutinv ,\u_logic/_al_u1660_o }),
.c({\u_logic/R3vpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u158_o ,\u_logic/_al_u121_o }),
.f({\u_logic/_al_u2081_o ,\u_logic/_al_u2308_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(~0*D*C))"),
//.LUT1("(~B*A*~(~1*D*C))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0010001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2082 (
.a({\u_logic/_al_u2080_o ,\u_logic/_al_u2080_o }),
.b({\u_logic/_al_u2081_o ,\u_logic/_al_u2081_o }),
.c({\u_logic/_al_u1445_o ,\u_logic/_al_u1445_o }),
.d({\u_logic/_al_u1155_o ,\u_logic/_al_u1155_o }),
.mi({open_n137745,\u_logic/T1vpw6 }),
.fx({open_n137750,\u_logic/_al_u2082_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u2083|u_logic/_al_u1073 (
.a({\u_logic/_al_u148_o ,\u_logic/_al_u142_o }),
.b({\u_logic/S2ziu6_lutinv ,\u_logic/Fq8iu6 }),
.c({\u_logic/Ufopw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2083_o ,\u_logic/_al_u1073_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~(~B*~A))"),
//.LUT1("(~A*~(B*~(D*~C)))"),
.INIT_LUT0(16'b1110000000000000),
.INIT_LUT1(16'b0001010100010001),
.MODE("LOGIC"))
\u_logic/_al_u2086|u_logic/_al_u1720 (
.a({\u_logic/_al_u2082_o ,\u_logic/_al_u148_o }),
.b({\u_logic/_al_u2084_o ,\u_logic/Edapw6_lutinv }),
.c({\u_logic/_al_u2085_o ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u1095_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2086_o ,\u_logic/_al_u1720_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*~B*A)"),
//.LUT1("(D*~(~C*~B*A))"),
.INIT_LUT0(16'b0000000000100000),
.INIT_LUT1(16'b1111110100000000),
.MODE("LOGIC"))
\u_logic/_al_u2087|u_logic/_al_u3948 (
.a({\u_logic/_al_u2074_o ,\u_logic/Ldoiu6_lutinv }),
.b({\u_logic/_al_u2079_o ,\u_logic/Vzupw6 }),
.c({\u_logic/_al_u2086_o ,\u_logic/Ydopw6 }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2087_o ,\u_logic/_al_u3948_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111110011000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110011000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2088|u_logic/_al_u3950 (
.b({open_n137815,\u_logic/Sojax6 }),
.c({\u_logic/_al_u1094_o ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u2087_o ,\u_logic/Rwjax6 }),
.f({\u_logic/Crniu6 ,\u_logic/_al_u3950_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C)*~(0*~A))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~B*~(D*C)*~(1*~A))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2089|u_logic/_al_u1727 (
.a({\u_logic/Crniu6 ,\u_logic/Yoniu6 }),
.b({\u_logic/Fq8iu6 ,\u_logic/Fq8iu6 }),
.c({\u_logic/_al_u194_o ,\u_logic/_al_u194_o }),
.d({\u_logic/_al_u1660_o ,\u_logic/_al_u1660_o }),
.e({open_n137842,\u_logic/Iixpw6 }),
.f({\u_logic/Umniu6 ,\u_logic/_al_u1727_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17907)
EG_PHY_MSLICE #(
//.LUT0("~(D*B*~(C*~A))"),
//.LUT1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001111111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u208|u_logic/Ymzpw6_reg (
.a({open_n137863,\u_logic/_al_u4609_o }),
.b({open_n137864,\u_logic/_al_u4617_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/n3708 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Bb0iu6 ,\u_logic/Kkkiu6 }),
.f({\u_logic/Mifpw6 [13],\u_logic/Ef8iu6 }),
.q({open_n137881,\u_logic/vis_msp_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17907)
EG_PHY_LSLICE #(
//.LUTF0("(~B*A*~(D*C))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(~B*A*~(D*C))"),
//.LUTG1("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b0000001000100010),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2091|u_logic/_al_u1454 (
.a({\u_logic/Umniu6 ,\u_logic/_al_u1447_o }),
.b({\u_logic/Esniu6 ,\u_logic/_al_u1449_o }),
.c({\u_logic/Mpniu6 ,\u_logic/_al_u1451_o }),
.d({\u_logic/M6kax6 ,\u_logic/Rkkax6 }),
.f({\u_logic/_al_u2091_o ,\u_logic/T75ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B)*~(0*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(D*~B)*~(1*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110000001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100000001010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2092|u_logic/_al_u1694 (
.a({open_n137906,\u_logic/Qv4ju6_lutinv }),
.b({open_n137907,\u_logic/_al_u1474_o }),
.c({\u_logic/_al_u1732_o ,\u_logic/_al_u1693_o }),
.d({\u_logic/_al_u2087_o ,\u_logic/Fkrpw6 }),
.e({open_n137910,\u_logic/U1kpw6 }),
.f({\u_logic/Qkniu6_lutinv ,\u_logic/_al_u1694_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2093|u_logic/_al_u2257 (
.c({\u_logic/Qkniu6_lutinv ,\u_logic/Qkniu6_lutinv }),
.d({\u_logic/_al_u2091_o ,\u_logic/_al_u2091_o }),
.f({\u_logic/Dhniu6_lutinv ,\u_logic/Vjniu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*~A))"),
//.LUT1("(~(D*~B)*~(C*~A))"),
.INIT_LUT0(16'b1000110010101111),
.INIT_LUT1(16'b1000110010101111),
.MODE("LOGIC"))
\u_logic/_al_u2094|u_logic/_al_u2090 (
.a({\u_logic/Mpniu6 ,\u_logic/_al_u1731_o }),
.b({\u_logic/_al_u1731_o ,\u_logic/Yoniu6 }),
.c({\u_logic/Dzvpw6 ,\u_logic/Rkkax6 }),
.d({\u_logic/Oikax6 ,\u_logic/Vmipw6 }),
.f({\u_logic/_al_u2094_o ,\u_logic/Esniu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(B*A*~(D*~C))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"))
\u_logic/_al_u2095|u_logic/_al_u1453 (
.a({\u_logic/Umniu6 ,open_n137975}),
.b({\u_logic/_al_u2094_o ,open_n137976}),
.c({\u_logic/Yoniu6 ,\u_logic/_al_u1447_o }),
.d({\u_logic/Htmpw6 ,\u_logic/_al_u1442_o }),
.f({\u_logic/_al_u2095_o ,\u_logic/A85ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2097|u_logic/_al_u1655 (
.a({\u_logic/Crniu6 ,open_n137997}),
.b({\u_logic/Aqniu6 ,\u_logic/_al_u1451_o }),
.c({\u_logic/Yoniu6 ,\u_logic/Fkrpw6 }),
.d({\u_logic/Vhspw6 ,\u_logic/_al_u1449_o }),
.f({\u_logic/_al_u2097_o ,\u_logic/_al_u1655_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2098|u_logic/_al_u1127 (
.c({\u_logic/_al_u2097_o ,\u_logic/Vmipw6 }),
.d({\u_logic/_al_u2095_o ,\u_logic/Vhspw6 }),
.f({\u_logic/Ckniu6 ,\u_logic/_al_u1127_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2099|u_logic/_al_u2345 (
.c({\u_logic/Ckniu6 ,\u_logic/Jkniu6_lutinv }),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/Rhniu6_lutinv }),
.f({\u_logic/Etmiu6 ,\u_logic/Stmiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2100|u_logic/_al_u1912 (
.c({\u_logic/Woiax6 ,\u_logic/Kwfiu6 }),
.d({key_interrupt[11],HWDATA[6]}),
.f({\u_logic/_al_u2100_o ,\u_logic/_al_u1912_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
.INIT_LUT0(16'b0000000001011111),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2101 (
.a({HWDATA[15],HWDATA[15]}),
.b({\u_logic/K66iu6 ,\u_logic/K66iu6 }),
.c({\u_logic/Kwfiu6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u2100_o ,\u_logic/_al_u2100_o }),
.mi({open_n138110,\u_logic/Yxrpw6 }),
.fx({open_n138115,\u_logic/_al_u2101_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111001111101110),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111001111101110),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2106|u_logic/_al_u2175 (
.a({open_n138118,\u_logic/_al_u1225_o }),
.b({open_n138119,\u_logic/Dxvpw6 }),
.c({\u_logic/W4jax6 ,\u_logic/P0kax6 }),
.d({\u_logic/P0kax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2106_o ,\u_logic/_al_u2175_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2107|u_logic/_al_u2159 (
.a({\u_logic/Yo1ju6 ,\u_logic/J1ziu6 }),
.b({\u_logic/_al_u1817_o ,\u_logic/_al_u2002_o }),
.c({\u_logic/_al_u2106_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/N4kax6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2107_o ,\u_logic/_al_u2159_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~A*~(~0*~D*B)))"),
//.LUT1("(C*~(~A*~(~1*~D*B)))"),
.INIT_LUT0(16'b1010000011100000),
.INIT_LUT1(16'b1010000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2108 (
.a({\u_logic/_al_u1812_o ,\u_logic/_al_u1812_o }),
.b({\u_logic/Y0jiu6 ,\u_logic/Y0jiu6 }),
.c({\u_logic/_al_u1085_o ,\u_logic/_al_u1085_o }),
.d({\u_logic/Daiax6 ,\u_logic/Daiax6 }),
.mi({open_n138180,\u_logic/Vzupw6 }),
.fx({open_n138185,\u_logic/_al_u2108_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(~D*A))"),
//.LUT1("(D*~C*~B*~A)"),
.INIT_LUT0(16'b1100000001000000),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"))
\u_logic/_al_u2109|u_logic/_al_u2679 (
.a({\u_logic/_al_u2105_o ,\u_logic/_al_u2104_o }),
.b({\u_logic/_al_u2107_o ,\u_logic/_al_u2673_o }),
.c({\u_logic/_al_u2108_o ,\u_logic/_al_u2678_o }),
.d({\u_logic/Do1ju6 ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u2109_o ,\u_logic/_al_u2679_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~A*~(0*D*B)))"),
//.LUT1("(C*~(~A*~(1*D*B)))"),
.INIT_LUT0(16'b1010000010100000),
.INIT_LUT1(16'b1110000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2110 (
.a({\u_logic/_al_u1759_o ,\u_logic/_al_u1759_o }),
.b({\u_logic/Ls1ju6 ,\u_logic/Ls1ju6 }),
.c({\u_logic/_al_u121_o ,\u_logic/_al_u121_o }),
.d({\u_logic/Jf6ju6 ,\u_logic/Jf6ju6 }),
.mi({open_n138220,\u_logic/R3vpw6 }),
.fx({open_n138225,\u_logic/_al_u2110_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*B*A))"),
//.LUT1("(A*~(~D*C*B))"),
.INIT_LUT0(16'b1111000001110000),
.INIT_LUT1(16'b1010101000101010),
.MODE("LOGIC"))
\u_logic/_al_u2112|u_logic/_al_u2111 (
.a({\u_logic/_al_u2111_o ,\u_logic/_al_u1672_o }),
.b({\u_logic/_al_u1685_o ,\u_logic/_al_u158_o }),
.c({\u_logic/_al_u1097_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2112_o ,\u_logic/_al_u2111_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~(~0*A))"),
//.LUT1("(D*C*~B*~(~1*A))"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2113 (
.a({\u_logic/_al_u1816_o ,\u_logic/_al_u1816_o }),
.b({\u_logic/_al_u2110_o ,\u_logic/_al_u2110_o }),
.c({\u_logic/Ez1ju6 ,\u_logic/Ez1ju6 }),
.d({\u_logic/_al_u2112_o ,\u_logic/_al_u2112_o }),
.mi({open_n138260,\u_logic/Skjax6 }),
.fx({open_n138265,\u_logic/_al_u2113_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17744)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*~B))"),
//.LUTF1("(B*A*~(~D*C))"),
//.LUTG0("(~D*~(~C*~B))"),
//.LUTG1("(B*A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111100),
.INIT_LUTF1(16'b1000100000001000),
.INIT_LUTG0(16'b0000000011111100),
.INIT_LUTG1(16'b1000100000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2114|u_logic/T1vpw6_reg (
.a({\u_logic/_al_u2109_o ,open_n138268}),
.b({\u_logic/_al_u2113_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/_al_u2104_o ,\u_logic/T1vpw6 }),
.clk(clk_pad),
.d({\u_logic/_al_u1226_o ,\u_logic/_al_u1827_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2114_o ,open_n138286}),
.q({open_n138290,\u_logic/T1vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17744)
EG_PHY_LSLICE #(
//.LUTF0("(0*C*~(D*B*A))"),
//.LUTF1("(~D*~A*~(~C*~B))"),
//.LUTG0("(1*C*~(D*B*A))"),
//.LUTG1("(~D*~A*~(~C*~B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000001010100),
.INIT_LUTG0(16'b0111000011110000),
.INIT_LUTG1(16'b0000000001010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2115|u_logic/_al_u2310 (
.a({\u_logic/_al_u1684_o ,\u_logic/_al_u1231_o }),
.b({\u_logic/Mmjiu6_lutinv ,\u_logic/Nsoiu6_lutinv }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u394_o }),
.d({\u_logic/Hirpw6 ,\u_logic/U9ypw6 }),
.e({open_n138293,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2115_o ,\u_logic/_al_u2310_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~(B*A))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000000000000111),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u2116|u_logic/_al_u2671 (
.a({open_n138314,\u_logic/Frziu6_lutinv }),
.b({\u_logic/_al_u1685_o ,\u_logic/_al_u1085_o }),
.c({\u_logic/_al_u1095_o ,\u_logic/_al_u1099_o }),
.d({\u_logic/_al_u2115_o ,\u_logic/D31ju6 }),
.f({\u_logic/_al_u2116_o ,\u_logic/_al_u2671_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17620)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(~B*A))"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("(~(~D*~C)*~(~B*A))"),
//.LUTG1("(D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110111010000),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b1101110111010000),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2120|u_logic/Hirpw6_reg (
.a({open_n138335,\u_logic/_al_u2438_o }),
.b({\u_logic/_al_u2119_o ,\u_logic/_al_u2453_o }),
.c({\u_logic/Vygax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2118_o ,\u_logic/Hirpw6 }),
.sr(cpuresetn),
.f({\u_logic/T41ju6 ,open_n138353}),
.q({open_n138357,\u_logic/Hirpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17620)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~(D*C)*~(0*B)))"),
//.LUT1("(A*~(~(D*C)*~(1*B)))"),
.INIT_LUT0(16'b1010000000000000),
.INIT_LUT1(16'b1010100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2121 (
.a({\u_logic/_al_u156_o ,\u_logic/_al_u156_o }),
.b({\u_logic/Edapw6_lutinv ,\u_logic/Edapw6_lutinv }),
.c({\u_logic/_al_u1097_o ,\u_logic/_al_u1097_o }),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.mi({open_n138370,\u_logic/Hirpw6 }),
.fx({open_n138375,\u_logic/_al_u2121_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~(0*D*A))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~C*B*~(1*D*A))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000110000001100),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000010000001100),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2122|u_logic/_al_u2311 (
.a({\u_logic/_al_u1969_o ,\u_logic/Eoyiu6_lutinv }),
.b({\u_logic/_al_u2121_o ,\u_logic/_al_u2309_o }),
.c({\u_logic/Y0jiu6 ,\u_logic/_al_u2310_o }),
.d({\u_logic/D31ju6 ,\u_logic/_al_u1800_o }),
.e({open_n138380,\u_logic/_al_u638_o }),
.f({\u_logic/_al_u2122_o ,\u_logic/_al_u2311_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(~C*A)))"),
//.LUTF1("(~A*~(B*~(~D*~C)))"),
//.LUTG0("(D*~(~B*~(~C*A)))"),
//.LUTG1("(~A*~(B*~(~D*~C)))"),
.INIT_LUTF0(16'b1100111000000000),
.INIT_LUTF1(16'b0001000100010101),
.INIT_LUTG0(16'b1100111000000000),
.INIT_LUTG1(16'b0001000100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2123|u_logic/_al_u2724 (
.a({\u_logic/_al_u1672_o ,\u_logic/_al_u718_o }),
.b({\u_logic/_al_u400_o ,\u_logic/_al_u1237_o }),
.c({\u_logic/_al_u1603_o ,\u_logic/P0kax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2123_o ,\u_logic/_al_u2724_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~(~C*~B)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1010101000000010),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2124|u_logic/_al_u2129 (
.a({open_n138425,\u_logic/_al_u2128_o }),
.b({open_n138426,\u_logic/_al_u1685_o }),
.c({\u_logic/Yvjpw6 ,\u_logic/_al_u394_o }),
.d({\u_logic/_al_u1685_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2124_o ,\u_logic/_al_u2129_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\u_logic/_al_u2126 (
.a({open_n138447,\u_logic/_al_u2122_o }),
.b({open_n138448,\u_logic/_al_u2123_o }),
.c({open_n138449,\u_logic/_al_u2124_o }),
.d({open_n138452,\u_logic/_al_u2125_o }),
.f({open_n138470,\u_logic/_al_u2126_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17756)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*A))*~(C)*D*~(0)+~((~B*A))*C*D*~(0)+~((~B*A))*~(C)*~(D)*0+(~B*A)*~(C)*~(D)*0+~((~B*A))*C*~(D)*0+(~B*A)*C*~(D)*0+~((~B*A))*~(C)*D*0+~((~B*A))*C*D*0+(~B*A)*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~((~B*A))*~(C)*D*~(1)+~((~B*A))*C*D*~(1)+~((~B*A))*~(C)*~(D)*1+(~B*A)*~(C)*~(D)*1+~((~B*A))*C*~(D)*1+(~B*A)*C*~(D)*1+~((~B*A))*~(C)*D*1+~((~B*A))*C*D*1+(~B*A)*C*D*1)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111110111111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2127|u_logic/P5vpw6_reg (
.a({open_n138476,\u_logic/_al_u1615_o }),
.b({open_n138477,\u_logic/_al_u1618_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u1099_o }),
.clk(clk_pad),
.d({\u_logic/Yljiu6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({open_n138479,\u_logic/P5vpw6 }),
.sr(cpuresetn),
.f({\u_logic/N3ziu6 ,open_n138494}),
.q({open_n138498,\u_logic/P5vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17756)
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~(~C*~B))*~(0*~A))"),
//.LUT1("(~(~D*~(~C*~B))*~(1*~A))"),
.INIT_LUT0(16'b1111111100000011),
.INIT_LUT1(16'b1010101000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2128 (
.a({\u_logic/_al_u1221_o ,\u_logic/_al_u1221_o }),
.b({\u_logic/N3ziu6 ,\u_logic/N3ziu6 }),
.c({\u_logic/_al_u398_o ,\u_logic/_al_u398_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n138511,\u_logic/Ydopw6 }),
.fx({open_n138516,\u_logic/_al_u2128_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*~C*B*~A))"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(~1*~(~D*~C*B*~A))"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b1111111111111011),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2132|u_logic/_al_u2382 (
.a({\u_logic/_al_u2131_o ,\u_logic/_al_u2318_o }),
.b({\u_logic/_al_u690_o ,\u_logic/_al_u2380_o }),
.c({\u_logic/Aujpw6 ,\u_logic/_al_u2381_o }),
.d({\u_logic/Daiax6 ,\u_logic/_al_u191_o }),
.e({open_n138521,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u2132_o ,\u_logic/_al_u2382_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(D*C)))"),
//.LUT1("(B*A*~(D*~C))"),
.INIT_LUT0(16'b1100100010001000),
.INIT_LUT1(16'b1000000010001000),
.MODE("LOGIC"))
\u_logic/_al_u2133|u_logic/_al_u1611 (
.a({\u_logic/T41ju6 ,\u_logic/_al_u1087_o }),
.b({\u_logic/_al_u2130_o ,\u_logic/_al_u1610_o }),
.c({\u_logic/_al_u2132_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/Yvjpw6 ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2133_o ,\u_logic/_al_u1611_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(D*B))"),
//.LUTG0("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b0000000100000101),
.INIT_LUTG0(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2135 (
.a({open_n138562,\u_logic/_al_u2134_o }),
.b({open_n138563,\u_logic/_al_u718_o }),
.c({open_n138564,\u_logic/_al_u1509_o }),
.d({open_n138567,\u_logic/_al_u1817_o }),
.f({open_n138585,\u_logic/_al_u2135_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((D*B))*~(0)+A*~(C)*~((D*B))*~(0)+~(A)*C*~((D*B))*~(0)+A*C*~((D*B))*~(0)+~(A)*C*(D*B)*~(0)+A*C*(D*B)*~(0)+~(A)*~(C)*~((D*B))*0)"),
//.LUT1("(~(A)*~(C)*~((D*B))*~(1)+A*~(C)*~((D*B))*~(1)+~(A)*C*~((D*B))*~(1)+A*C*~((D*B))*~(1)+~(A)*C*(D*B)*~(1)+A*C*(D*B)*~(1)+~(A)*~(C)*~((D*B))*1)"),
.INIT_LUT0(16'b1111001111111111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2136 (
.a({\u_logic/_al_u1230_o ,\u_logic/_al_u1230_o }),
.b({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.c({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.d({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.mi({open_n138603,\u_logic/Ssjax6 }),
.fx({open_n138608,\u_logic/Qz0ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011111110110),
.INIT_LUT1(16'b1011111110111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2137 (
.a({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.mi({open_n138623,\u_logic/W4jax6 }),
.fx({open_n138628,\u_logic/_al_u2137_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2139|u_logic/_al_u1233 (
.c({\u_logic/W4jax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Jgxpw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/Qs0ju6_lutinv ,\u_logic/J9kiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(C)*~((~0*B))+~(A)*C*~((~0*B))+A*C*~((~0*B))+~(A)*~(C)*(~0*B)+A*C*(~0*B)))"),
//.LUTF1("~(~C*~((B*A))*~(D)+~C*(B*A)*~(D)+~(~C)*(B*A)*D+~C*(B*A)*D)"),
//.LUTG0("(~D*(~(A)*~(C)*~((~1*B))+~(A)*C*~((~1*B))+A*C*~((~1*B))+~(A)*~(C)*(~1*B)+A*C*(~1*B)))"),
//.LUTG1("~(~C*~((B*A))*~(D)+~C*(B*A)*~(D)+~(~C)*(B*A)*D+~C*(B*A)*D)"),
.INIT_LUTF0(16'b0000000010110101),
.INIT_LUTF1(16'b0111011111110000),
.INIT_LUTG0(16'b0000000011110101),
.INIT_LUTG1(16'b0111011111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2140|u_logic/_al_u2367 (
.a({\u_logic/Wh0ju6 ,\u_logic/_al_u2106_o }),
.b({\u_logic/Qs0ju6_lutinv ,\u_logic/N4kax6 }),
.c({\u_logic/P0kax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.e({open_n138661,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2140_o ,\u_logic/_al_u2367_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2141|u_logic/_al_u2376 (
.c({\u_logic/P14qw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2141_o ,\u_logic/_al_u2376_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2142|u_logic/_al_u2370 (
.b({\u_logic/P0kax6 ,\u_logic/Rwjax6 }),
.c({\u_logic/W4jax6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u2141_o ,\u_logic/_al_u1592_o }),
.f({\u_logic/_al_u2142_o ,\u_logic/_al_u2370_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*D))"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("(~C*~(B*D))"),
//.LUTG1("(D*C*B*~A)"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b0000001100001111),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2143|u_logic/_al_u2327 (
.a({\u_logic/_al_u2140_o ,open_n138728}),
.b({\u_logic/_al_u2142_o ,\u_logic/P0kax6 }),
.c({\u_logic/Qxoiu6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1774_o ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u2143_o ,\u_logic/_al_u2327_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C@D)"),
//.LUT1("(~B*A*~(D*~C))"),
.INIT_LUT0(16'b1111000000001111),
.INIT_LUT1(16'b0010000000100010),
.MODE("LOGIC"))
\u_logic/_al_u2144|u_logic/_al_u3955 (
.a({\u_logic/_al_u2133_o ,open_n138753}),
.b({\u_logic/_al_u2138_o ,open_n138754}),
.c({\u_logic/_al_u2143_o ,\u_logic/P0kax6 }),
.d({\u_logic/J9kiu6_lutinv ,\u_logic/_al_u3954_o }),
.f({\u_logic/_al_u2144_o ,\u_logic/_al_u3955_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2145|u_logic/_al_u638 (
.c({\u_logic/_al_u394_o ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u1684_o ,\u_logic/R3vpw6 }),
.f({\u_logic/I30ju6_lutinv ,\u_logic/_al_u638_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2146|u_logic/_al_u158 (
.b({\u_logic/Fnnpw6 ,\u_logic/SLEEPHOLDACKn }),
.c({\u_logic/SLEEPHOLDACKn ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u1087_o ,\u_logic/Bciax6 }),
.f({\u_logic/_al_u2146_o ,\u_logic/_al_u158_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(D*C*~(B*~A)))"),
//.LUTF1("(D*~(C*B*~A))"),
//.LUTG0("(~1*~(D*C*~(B*~A)))"),
//.LUTG1("(D*~(C*B*~A))"),
.INIT_LUTF0(16'b0100111111111111),
.INIT_LUTF1(16'b1011111100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2147|u_logic/_al_u4258 (
.a({\u_logic/Fnnpw6 ,\u_logic/_al_u4222_o }),
.b({\u_logic/G0zax6 ,\u_logic/_al_u4230_o }),
.c({\u_logic/vis_pc_o[2] ,\u_logic/_al_u4232_o }),
.d({\u_logic/Yvjpw6 ,\u_logic/_al_u4257_o }),
.e({open_n138827,\u_logic/_al_u4234_o }),
.f({\u_logic/_al_u2147_o ,\u_logic/U6piu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18973)
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(C*D)"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u214|u_logic/V1yax6_reg (
.c({\u_logic/Xuzhu6 ,\u_logic/Ibliu6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ua0iu6 ,\u_logic/_al_u4607_o }),
.f({\u_logic/Mifpw6 [14],\u_logic/Tx8iu6 }),
.q({open_n138872,\u_logic/vis_r3_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18973)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(~D*~C))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(B*A*~(~D*~C))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b1000100010000000),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2152|u_logic/_al_u2700 (
.a({open_n138873,\u_logic/_al_u2696_o }),
.b({\u_logic/_al_u388_o ,\u_logic/_al_u2428_o }),
.c({\u_logic/_al_u670_o ,\u_logic/Rlcpw6 }),
.d({\u_logic/_al_u956_o ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2152_o ,\u_logic/_al_u2700_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(B*~(0)*~(C)+B*0*~(C)+~(B)*0*C+B*0*C))"),
//.LUTF1("(0*D*C*~(~B*A))"),
//.LUTG0("(D*A*~(B*~(1)*~(C)+B*1*~(C)+~(B)*1*C+B*1*C))"),
//.LUTG1("(1*D*C*~(~B*A))"),
.INIT_LUTF0(16'b1010001000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1101000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2153|u_logic/_al_u2381 (
.a({\u_logic/_al_u2146_o ,\u_logic/_al_u1094_o }),
.b({\u_logic/Ae0iu6_lutinv ,\u_logic/R3vpw6 }),
.c({\u_logic/_al_u394_o ,\u_logic/Ufopw6 }),
.d({\u_logic/Ldoiu6_lutinv ,\u_logic/Vzupw6 }),
.e({\u_logic/R3vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2153_o ,\u_logic/_al_u2381_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000011111101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2154|u_logic/_al_u2460 (
.a({open_n138920,\u_logic/Eyoiu6 }),
.b({open_n138921,\u_logic/_al_u2459_o }),
.c({\u_logic/_al_u704_o ,\u_logic/_al_u191_o }),
.d({\u_logic/Ujjiu6 ,\u_logic/Ae0iu6_lutinv }),
.f({\u_logic/_al_u2154_o ,\u_logic/_al_u2460_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*~C))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(B*A*~(D*~C))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b1000000010001000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2155|u_logic/_al_u2130 (
.a({\u_logic/T41ju6 ,\u_logic/_al_u2126_o }),
.b({\u_logic/_al_u2152_o ,\u_logic/_al_u2129_o }),
.c({\u_logic/_al_u2153_o ,\u_logic/_al_u956_o }),
.d({\u_logic/_al_u2154_o ,\u_logic/N3ziu6 }),
.f({\u_logic/_al_u2155_o ,\u_logic/_al_u2130_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~A*~(D*C))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000100010001),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2160|u_logic/_al_u2284 (
.a({open_n138966,\u_logic/_al_u2281_o }),
.b({\u_logic/_al_u156_o ,\u_logic/_al_u2282_o }),
.c({\u_logic/_al_u1445_o ,\u_logic/_al_u2283_o }),
.d({\u_logic/_al_u121_o ,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2160_o ,\u_logic/_al_u2284_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*(~A*~(B)*~(0)+~A*B*~(0)+~(~A)*B*0+~A*B*0))"),
//.LUT1("(~D*~C*(~A*~(B)*~(1)+~A*B*~(1)+~(~A)*B*1+~A*B*1))"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b0000000000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2163 (
.a({\u_logic/_al_u2162_o ,\u_logic/_al_u2162_o }),
.b({\u_logic/_al_u718_o ,\u_logic/_al_u718_o }),
.c({\u_logic/_al_u1087_o ,\u_logic/_al_u1087_o }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n138999,\u_logic/U9ypw6 }),
.fx({open_n139004,\u_logic/_al_u2163_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D))"),
//.LUT1("(~D*C*B*~A)"),
.INIT_LUT0(16'b0000010100010001),
.INIT_LUT1(16'b0000000001000000),
.MODE("LOGIC"))
\u_logic/_al_u2167|u_logic/_al_u2726 (
.a({\u_logic/_al_u2151_o ,\u_logic/_al_u2724_o }),
.b({\u_logic/_al_u2155_o ,\u_logic/Em0ju6 }),
.c({\u_logic/_al_u2164_o ,\u_logic/_al_u1087_o }),
.d({\u_logic/_al_u2166_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2167_o ,\u_logic/_al_u2726_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17509)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(0*~(B*~(D*A))))"),
//.LUTF1("~((~D*C*A)*~(B)*~(0)+(~D*C*A)*B*~(0)+~((~D*C*A))*B*0+(~D*C*A)*B*0)"),
//.LUTG0("~(~C*~(1*~(B*~(D*A))))"),
//.LUTG1("~((~D*C*A)*~(B)*~(1)+(~D*C*A)*B*~(1)+~((~D*C*A))*B*1+(~D*C*A)*B*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1111111101011111),
.INIT_LUTG0(16'b1111101111110011),
.INIT_LUTG1(16'b0011001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2168|u_logic/Ydopw6_reg (
.a({\u_logic/Frziu6_lutinv ,\u_logic/_al_u3955_o }),
.b({\u_logic/Hgrpw6 ,\u_logic/_al_u3971_o }),
.c({\u_logic/Ufopw6 ,\u_logic/_al_u3972_o }),
.clk(clk_pad),
.d({\u_logic/Xxupw6 ,\u_logic/_al_u3973_o }),
.e({\u_logic/Ydopw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2168_o ,open_n139042}),
.q({open_n139046,\u_logic/Ydopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17509)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(B*~(D*C*A))"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u2169|u_logic/_al_u4020 (
.a({\u_logic/_al_u718_o ,open_n139047}),
.b({\u_logic/_al_u2168_o ,\u_logic/P14qw6 }),
.c({\u_logic/_al_u1228_o ,\u_logic/U9ypw6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/F6ziu6 }),
.f({\u_logic/_al_u2169_o ,\u_logic/_al_u4020_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19792)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u216|u_logic/Z78bx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r5_o[15] ,\u_logic/vis_r6_o[15] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[15] ,\u_logic/vis_r0_o[15] }),
.mi({open_n139078,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u216_o ,\u_logic/_al_u215_o }),
.q({open_n139083,\u_logic/vis_r4_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19792)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~D*C*B*~A)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~D*C*B*~A)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2170|u_logic/_al_u2377 (
.a({\u_logic/Qs0ju6_lutinv ,\u_logic/_al_u1592_o }),
.b({\u_logic/Jckax6 ,\u_logic/_al_u2376_o }),
.c({\u_logic/N4kax6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/Ssjax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2170_o ,\u_logic/_al_u2377_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*~C*~A))"),
//.LUT1("(A*~(D*C*~B))"),
.INIT_LUT0(16'b0011001000110011),
.INIT_LUT1(16'b1000101010101010),
.MODE("LOGIC"))
\u_logic/_al_u2171|u_logic/_al_u4019 (
.a({\u_logic/_al_u2169_o ,\u_logic/_al_u4015_o }),
.b({\u_logic/_al_u2170_o ,\u_logic/E9ziu6_lutinv }),
.c({\u_logic/_al_u1603_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/J9kiu6_lutinv ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2171_o ,\u_logic/_al_u4019_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
//.LUTF1("(C*~(A*~(D*~B)))"),
//.LUTG0("(~B*(~C*~(A)*~(D)+~C*A*~(D)+~(~C)*A*D+~C*A*D))"),
//.LUTG1("(C*~(A*~(D*~B)))"),
.INIT_LUTF0(16'b0010001000000011),
.INIT_LUTF1(16'b0111000001010000),
.INIT_LUTG0(16'b0010001000000011),
.INIT_LUTG1(16'b0111000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2174|u_logic/_al_u2173 (
.a({\u_logic/_al_u2172_o ,\u_logic/N4kax6 }),
.b({\u_logic/_al_u2173_o ,\u_logic/P0kax6 }),
.c({\u_logic/_al_u386_o ,\u_logic/Sojax6 }),
.d({\u_logic/Y40ju6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2174_o ,\u_logic/_al_u2173_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(~C*~B)))"),
//.LUTF1("(~B*A*~(D*~C))"),
//.LUTG0("(A*~(D*~(~C*~B)))"),
//.LUTG1("(~B*A*~(D*~C))"),
.INIT_LUTF0(16'b0000001010101010),
.INIT_LUTF1(16'b0010000000100010),
.INIT_LUTG0(16'b0000001010101010),
.INIT_LUTG1(16'b0010000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2176|u_logic/_al_u2369 (
.a({\u_logic/_al_u2171_o ,\u_logic/_al_u2367_o }),
.b({\u_logic/_al_u2174_o ,\u_logic/_al_u2368_o }),
.c({\u_logic/_al_u2175_o ,\u_logic/_al_u1228_o }),
.d({\u_logic/_al_u1592_o ,\u_logic/P0kax6 }),
.f({\u_logic/_al_u2176_o ,\u_logic/_al_u2369_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+A*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*C*~(0)+~(A)*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+A*B*C*0))"),
//.LUT1("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+A*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*C*~(1)+~(A)*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+A*B*C*1))"),
.INIT_LUT0(16'b0000000011111011),
.INIT_LUT1(16'b0000000010001101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2177 (
.a({\u_logic/N4kax6 ,\u_logic/N4kax6 }),
.b({\u_logic/P0kax6 ,\u_logic/P0kax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/U9ypw6 ,\u_logic/U9ypw6 }),
.mi({open_n139188,\u_logic/W4jax6 }),
.fx({open_n139193,\u_logic/Ph9ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*B*A)"),
//.LUTF1("(~D*~(A*~(B*~(~0*~C))))"),
//.LUTG0("(1*D*~C*B*A)"),
//.LUTG1("(~D*~(A*~(B*~(~1*~C))))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011010101),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000000011011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2178|u_logic/_al_u3973 (
.a({\u_logic/_al_u2176_o ,\u_logic/_al_u1814_o }),
.b({\u_logic/_al_u1237_o ,\u_logic/_al_u718_o }),
.c({\u_logic/Ph9ow6 ,\u_logic/F6ziu6 }),
.d({\u_logic/_al_u1087_o ,\u_logic/Dxvpw6 }),
.e({\u_logic/_al_u1603_o ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2178_o ,\u_logic/_al_u3973_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~B*~(D*C*~A))"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~B*~(D*C*~A))"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0010001100110011),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0010001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2179|u_logic/_al_u2364 (
.a({\u_logic/_al_u1684_o ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/_al_u156_o ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/Yljiu6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Yvjpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2179_o ,\u_logic/_al_u2364_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19787)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u217|u_logic/Zx7bx6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/_al_u215_o }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/_al_u216_o }),
.c({\u_logic/vis_r7_o[15] ,\u_logic/Bo8pw6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[15] ,\u_logic/_al_u218_o }),
.mi({open_n139252,\u_logic/Zfmiu6 }),
.f({\u_logic/Bo8pw6 ,\u_logic/Na0iu6 }),
.q({open_n139257,\u_logic/vis_r3_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19787)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u218 (
.a({open_n139258,\u_logic/V6now6_lutinv }),
.b({open_n139259,\u_logic/E8now6_lutinv }),
.c({open_n139260,\u_logic/vis_r4_o[15] }),
.d({open_n139263,\u_logic/vis_r2_o[15] }),
.f({open_n139281,\u_logic/_al_u218_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~C*B))"),
//.LUT1("(D*~A*~(~C*~B))"),
.INIT_LUT0(16'b0101010101010001),
.INIT_LUT1(16'b0101010000000000),
.MODE("LOGIC"))
\u_logic/_al_u2180|u_logic/_al_u2161 (
.a({\u_logic/_al_u2179_o ,\u_logic/_al_u2160_o }),
.b({\u_logic/_al_u1095_o ,\u_logic/T23ju6_lutinv }),
.c({\u_logic/Difiu6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2180_o ,\u_logic/_al_u2161_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~((C*B))*D*~(0)+~(A)*~((C*B))*~(D)*0+~(A)*(C*B)*~(D)*0+~(A)*~((C*B))*D*0+A*~((C*B))*D*0+~(A)*(C*B)*D*0+A*(C*B)*D*0)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(A*~((C*B))*D*~(1)+~(A)*~((C*B))*~(D)*1+~(A)*(C*B)*~(D)*1+~(A)*~((C*B))*D*1+A*~((C*B))*D*1+~(A)*(C*B)*D*1+A*(C*B)*D*1)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0010101000000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1111111101010101),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2182|u_logic/_al_u4091 (
.a({open_n139307,\u_logic/_al_u4088_o }),
.b({\u_logic/vis_ipsr_o[0] ,\u_logic/D70bx6 }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/Lg1bx6 }),
.d({\u_logic/_al_u1891_o ,\u_logic/Od4bx6 }),
.e({open_n139310,\u_logic/Sh4bx6 }),
.f({\u_logic/Hcgiu6_lutinv ,\u_logic/_al_u4091_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2183|u_logic/_al_u2189 (
.a({\u_logic/_al_u2102_o ,open_n139331}),
.b({\u_logic/Hcgiu6_lutinv ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Oa5bx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Od4bx6 ,\u_logic/_al_u2039_o }),
.f({\u_logic/_al_u2183_o ,\u_logic/_al_u2189_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2184|u_logic/_al_u118 (
.a({\u_logic/Ajgiu6 ,open_n139356}),
.b({\u_logic/Rhgiu6 ,open_n139357}),
.c({\u_logic/Elnpw6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Gz6ax6 ,\u_logic/C0fiu6 }),
.f({\u_logic/Nzapw6 ,\u_logic/n1110 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20048)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2186|u_logic/Hbgbx6_reg (
.a({\u_logic/_al_u2185_o ,\u_logic/C0fiu6 }),
.b({\u_logic/_al_u1926_o ,\u_logic/E1fiu6 }),
.c({\u_logic/_al_u1909_o ,\u_logic/Pz9bx6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/Qjyax6 ,\u_logic/T3abx6 }),
.mi({open_n139392,HWDATA[22]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2186_o ,\u_logic/_al_u3222_o }),
.q({open_n139396,\u_logic/Hbgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20048)
// ../rtl/topmodule/cortexm0ds_logic.v(19674)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*(C*~(0)*~(D)+C*0*~(D)+~(C)*0*D+C*0*D))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(B*A*(C*~(1)*~(D)+C*1*~(D)+~(C)*1*D+C*1*D))"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1000100010000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2188|u_logic/Cy4bx6_reg (
.a({\u_logic/_al_u2183_o ,\u_logic/_al_u2039_o }),
.b({\u_logic/Nzapw6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/_al_u2186_o ,\u_logic/L8zax6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/_al_u2187_o ,\u_logic/vis_ipsr_o[1] }),
.e({open_n139397,\u_logic/Rezax6 }),
.mi({open_n139399,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2188_o ,\u_logic/_al_u2187_o }),
.q({open_n139414,\u_logic/Cy4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19674)
// ../rtl/topmodule/cortexm0ds_logic.v(19572)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((D*B))*~(0)+~(A)*~(C)*~((D*B))*0+~(A)*C*~((D*B))*0+A*C*~((D*B))*0+~(A)*~(C)*(D*B)*0+~(A)*C*(D*B)*0+A*C*(D*B)*0)"),
//.LUTF1("(~(0*C*B)*~(D*A))"),
//.LUTG0("(A*C*~((D*B))*~(1)+~(A)*~(C)*~((D*B))*1+~(A)*C*~((D*B))*1+A*C*~((D*B))*1+~(A)*~(C)*(D*B)*1+~(A)*C*(D*B)*1+A*C*(D*B)*1)"),
//.LUTG1("(~(1*C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000010100000),
.INIT_LUTF1(16'b0101010111111111),
.INIT_LUTG0(16'b1111010111110101),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2190|u_logic/Az3bx6_reg (
.a({\u_logic/_al_u2189_o ,\u_logic/_al_u4140_o }),
.b({\u_logic/_al_u1926_o ,\u_logic/Dt1bx6 }),
.c({\u_logic/_al_u1062_o ,\u_logic/H4zax6 }),
.ce(\u_logic/n1111 ),
.clk(clk_pad),
.d({\u_logic/Nazax6 ,\u_logic/Jj0bx6 }),
.e({\u_logic/Wpyax6 ,\u_logic/L8zax6 }),
.mi({open_n139416,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2190_o ,\u_logic/J2sow6_lutinv }),
.q({open_n139431,\u_logic/Az3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19572)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2192|u_logic/_al_u2216 (
.a({\u_logic/_al_u2190_o ,\u_logic/_al_u1886_o }),
.b({\u_logic/_al_u2191_o ,\u_logic/C5gbx6 }),
.c({\u_logic/Hwhiu6_lutinv ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/H4zax6 ,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/_al_u2192_o ,\u_logic/_al_u2216_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(D*(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B))"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1011100000000000),
.INIT_LUTF1(16'b1100110010111000),
.INIT_LUTG0(16'b1011100000000000),
.INIT_LUTG1(16'b1100110010111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2193|u_logic/_al_u2236 (
.a({\u_logic/C14bx6 ,\u_logic/E34bx6 }),
.b({\u_logic/vis_ipsr_o[1] ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Wu3bx6 ,\u_logic/Jdgbx6 }),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/_al_u2193_o ,\u_logic/_al_u2236_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*~C))"),
//.LUTF1("(C)"),
//.LUTG0("(B*A*~(D*~C))"),
.INIT_LUTF0(16'b1000000010001000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\u_logic/_al_u2195 (
.a({open_n139480,\u_logic/_al_u2188_o }),
.b({open_n139481,\u_logic/_al_u2192_o }),
.c({open_n139482,\u_logic/_al_u2194_o }),
.d({open_n139485,\u_logic/_al_u1935_o }),
.f({open_n139503,\u_logic/_al_u2195_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(0*B)*~(D*A))"),
//.LUT1("(~C*~(1*B)*~(D*A))"),
.INIT_LUT0(16'b0000010100001111),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2198 (
.a({\u_logic/R3giu6 ,\u_logic/R3giu6 }),
.b({\u_logic/Eegiu6_lutinv ,\u_logic/Eegiu6_lutinv }),
.c({\u_logic/_al_u2197_o ,\u_logic/_al_u2197_o }),
.d({\u_logic/K65bx6 ,\u_logic/K65bx6 }),
.mi({open_n139521,\u_logic/Mb4bx6 }),
.fx({open_n139526,\u_logic/_al_u2198_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2200|u_logic/_al_u2035 (
.b({\u_logic/_al_u1062_o ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Sh4bx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u1891_o ,\u_logic/_al_u2025_o }),
.f({\u_logic/_al_u2200_o ,\u_logic/R3giu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*B*A*~(0*C))"),
//.LUT1("(~D*B*A*~(1*C))"),
.INIT_LUT0(16'b0000000010001000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2201 (
.a({\u_logic/_al_u2196_o ,\u_logic/_al_u2196_o }),
.b({\u_logic/_al_u2198_o ,\u_logic/_al_u2198_o }),
.c({\u_logic/G9fiu6_lutinv ,\u_logic/G9fiu6_lutinv }),
.d({\u_logic/_al_u2200_o ,\u_logic/_al_u2200_o }),
.mi({open_n139567,\u_logic/Auyax6 }),
.fx({open_n139572,\u_logic/_al_u2201_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19050)
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*A*~(0*C))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*B*A*~(1*C))"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010001000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2204|u_logic/Eyyax6_reg (
.a({open_n139575,\u_logic/_al_u2238_o }),
.b({\u_logic/_al_u1887_o ,\u_logic/Vhbpw6 }),
.c({\u_logic/Yqzax6 ,\u_logic/_al_u1887_o }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u2203_o ,\u_logic/_al_u2240_o }),
.e({open_n139576,\u_logic/Nv9bx6 }),
.mi({open_n139578,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2204_o ,\u_logic/_al_u2241_o }),
.q({open_n139593,\u_logic/Eyyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19050)
EG_PHY_MSLICE #(
//.LUT0("(~C*A*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))"),
//.LUT1("(~C*A*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))"),
.INIT_LUT0(16'b0000001000000000),
.INIT_LUT1(16'b0000101000001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2207 (
.a({\u_logic/U5cpw6 ,\u_logic/U5cpw6 }),
.b({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Sn4bx6 ,\u_logic/Sn4bx6 }),
.mi({open_n139606,\u_logic/Wr4bx6 }),
.fx({open_n139611,\u_logic/_al_u2207_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2209|u_logic/_al_u2219 (
.a({\u_logic/_al_u2206_o ,open_n139614}),
.b({\u_logic/_al_u2207_o ,\u_logic/_al_u1062_o }),
.c({\u_logic/_al_u1904_o ,\u_logic/Uizax6 }),
.d({\u_logic/_al_u2208_o ,\u_logic/_al_u1886_o }),
.f({\u_logic/_al_u2209_o ,\u_logic/_al_u2219_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~((~0*~D))+A*~(B)*~(C)*~((~0*~D))+~(A)*B*~(C)*~((~0*~D))+~(A)*~(B)*C*~((~0*~D))+~(A)*B*C*~((~0*~D))+~(A)*~(B)*~(C)*(~0*~D)+A*~(B)*~(C)*(~0*~D)+~(A)*~(B)*C*(~0*~D)+~(A)*B*C*(~0*~D))"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~((~1*~D))+A*~(B)*~(C)*~((~1*~D))+~(A)*B*~(C)*~((~1*~D))+~(A)*~(B)*C*~((~1*~D))+~(A)*B*C*~((~1*~D))+~(A)*~(B)*~(C)*(~1*~D)+A*~(B)*~(C)*(~1*~D)+~(A)*~(B)*C*(~1*~D)+~(A)*B*C*(~1*~D))"),
//.LUTG1("(D*C*~B*A)"),
.INIT_LUTF0(16'b0101011101010011),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0101011101010111),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2210|u_logic/_al_u4060 (
.a({\u_logic/_al_u1926_o ,\u_logic/Eutow6_lutinv }),
.b({\u_logic/vis_ipsr_o[0] ,\u_logic/Xttow6_lutinv }),
.c({\u_logic/vis_ipsr_o[1] ,\u_logic/_al_u4059_o }),
.d({\u_logic/Slyax6 ,\u_logic/Nhtow6 }),
.e({open_n139641,\u_logic/_al_u4055_o }),
.f({\u_logic/_al_u2210_o ,\u_logic/_al_u4060_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~C*A*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))"),
//.LUTG1("(1*D*C*B*A)"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000101000001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2212|u_logic/_al_u2230 (
.a({\u_logic/_al_u2195_o ,\u_logic/U5cpw6 }),
.b({\u_logic/_al_u2201_o ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/_al_u2204_o ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u2209_o ,\u_logic/Pz9bx6 }),
.e({\u_logic/_al_u2211_o ,\u_logic/Up4bx6 }),
.f({\u_logic/Sbrow6 ,\u_logic/_al_u2230_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~((C*~B))*~(D)+~(A)*~((C*~B))*D+A*~((C*~B))*D+~(A)*(C*~B)*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(A)*~((C*~B))*~(D)+~(A)*~((C*~B))*D+A*~((C*~B))*D+~(A)*(C*~B)*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1101111101000101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1101111101000101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2214|u_logic/_al_u4102 (
.a({\u_logic/Odfiu6_lutinv ,\u_logic/Od4bx6 }),
.b({\u_logic/G9fiu6_lutinv ,\u_logic/Qf4bx6 }),
.c({\u_logic/Bcabx6 ,\u_logic/Rlgbx6 }),
.d({\u_logic/Tngbx6 ,\u_logic/Sh4bx6 }),
.f({\u_logic/_al_u2214_o ,\u_logic/_al_u4102_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b1010111100101011),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u2215|u_logic/_al_u4188 (
.a({\u_logic/_al_u2213_o ,\u_logic/Az3bx6 }),
.b({\u_logic/_al_u2214_o ,\u_logic/R1abx6 }),
.c({\u_logic/Bggiu6_lutinv ,\u_logic/Wu3bx6 }),
.d({\u_logic/Z9abx6 ,\u_logic/Yw3bx6 }),
.f({\u_logic/_al_u2215_o ,\u_logic/_al_u4188_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*B)*~(0*A))"),
//.LUT1("(~C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2217 (
.a({\u_logic/_al_u2102_o ,\u_logic/_al_u2102_o }),
.b({\u_logic/_al_u2040_o ,\u_logic/_al_u2040_o }),
.c({\u_logic/_al_u2216_o ,\u_logic/_al_u2216_o }),
.d({\u_logic/J6zax6 ,\u_logic/J6zax6 }),
.mi({open_n139740,\u_logic/M85bx6 }),
.fx({open_n139745,\u_logic/_al_u2217_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0011001000010000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u2220|u_logic/_al_u2208 (
.a({\u_logic/_al_u2215_o ,\u_logic/vis_ipsr_o[0] }),
.b({\u_logic/_al_u2217_o ,\u_logic/vis_ipsr_o[1] }),
.c({\u_logic/_al_u2218_o ,\u_logic/S3mpw6 }),
.d({\u_logic/_al_u2219_o ,\u_logic/Yryax6 }),
.f({\u_logic/_al_u2220_o ,\u_logic/_al_u2208_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2221|u_logic/_al_u2196 (
.a({\u_logic/Eegiu6_lutinv ,\u_logic/_al_u2026_o }),
.b({\u_logic/Hcgiu6_lutinv ,\u_logic/_al_u2030_o }),
.c({\u_logic/K94bx6 ,\u_logic/E05bx6 }),
.d({\u_logic/Rlgbx6 ,\u_logic/I45bx6 }),
.f({\u_logic/Cpbpw6 ,\u_logic/_al_u2196_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(~C*A*~(D*B))"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(~C*A*~(D*B))"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b0000001000001010),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2223|u_logic/_al_u4104 (
.a({\u_logic/Cpbpw6 ,open_n139792}),
.b({\u_logic/R3giu6 ,\u_logic/Qf4bx6 }),
.c({\u_logic/_al_u2222_o ,\u_logic/Rlgbx6 }),
.d({\u_logic/Pjgbx6 ,\u_logic/_al_u4103_o }),
.f({\u_logic/_al_u2223_o ,\u_logic/L8uow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2224|u_logic/_al_u2211 (
.a({open_n139817,\u_logic/_al_u2210_o }),
.b({\u_logic/_al_u1909_o ,\u_logic/_al_u1904_o }),
.c({\u_logic/Ohyax6 ,\u_logic/_al_u1062_o }),
.d({\u_logic/_al_u1926_o ,\u_logic/Eyyax6 }),
.f({\u_logic/_al_u2224_o ,\u_logic/_al_u2211_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(C*~((~D*B))*~(0)+~(C)*(~D*B)*~(0)+C*(~D*B)*~(0)+C*(~D*B)*0))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*(C*~((~D*B))*~(1)+~(C)*(~D*B)*~(1)+C*(~D*B)*~(1)+C*(~D*B)*1))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2225|u_logic/_al_u4125 (
.a({open_n139842,\u_logic/_al_u4124_o }),
.b({\u_logic/Ukbpw6_lutinv ,\u_logic/Lfgbx6 }),
.c({\u_logic/T3abx6 ,\u_logic/Slyax6 }),
.d({\u_logic/_al_u1926_o ,\u_logic/Unyax6 }),
.e({open_n139845,\u_logic/Wpyax6 }),
.f({\u_logic/_al_u2225_o ,\u_logic/_al_u4125_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~(B*~A))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(D*C*~(B*~A))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b1011000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1011000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2227|u_logic/_al_u4172 (
.a({\u_logic/_al_u2223_o ,\u_logic/_al_u4171_o }),
.b({\u_logic/_al_u2224_o ,\u_logic/_al_u4167_o }),
.c({\u_logic/_al_u2225_o ,\u_logic/Rz0bx6 }),
.d({\u_logic/_al_u2226_o ,\u_logic/Tcipw6 }),
.f({\u_logic/_al_u2227_o ,\u_logic/_al_u4172_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2232|u_logic/_al_u4412 (
.a({open_n139890,\u_logic/F0eow6 }),
.b({\u_logic/_al_u1909_o ,\u_logic/M0eow6 }),
.c({\u_logic/Xozax6 ,\u_logic/Ot0bx6 }),
.d({\u_logic/_al_u1886_o ,\u_logic/Xq2bx6 }),
.f({\u_logic/_al_u2232_o ,\u_logic/_al_u4412_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u2234|u_logic/_al_u2231 (
.a({\u_logic/_al_u2230_o ,open_n139911}),
.b({\u_logic/_al_u2231_o ,\u_logic/_al_u1062_o }),
.c({\u_logic/_al_u2232_o ,\u_logic/Cwyax6 }),
.d({\u_logic/_al_u2233_o ,\u_logic/_al_u1904_o }),
.f({\u_logic/_al_u2234_o ,\u_logic/_al_u2231_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19248)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2235|u_logic/Ot0bx6_reg (
.a({\u_logic/_al_u2229_o ,HWDATA[23]}),
.b({\u_logic/_al_u2234_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u2030_o ,\u_logic/Ot0bx6 }),
.clk(clk_pad),
.d({\u_logic/G25bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2235_o ,open_n139949}),
.q({open_n139953,\u_logic/Ot0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19248)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(A*~(~B*~(D*C)))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1010100010001000),
.MODE("LOGIC"))
\u_logic/_al_u2237|u_logic/_al_u2240 (
.a({\u_logic/_al_u1935_o ,open_n139954}),
.b({\u_logic/_al_u2236_o ,\u_logic/_al_u1909_o }),
.c({\u_logic/Ukbpw6_lutinv ,\u_logic/Vbspw6 }),
.d({\u_logic/R1abx6 ,\u_logic/_al_u1904_o }),
.f({\u_logic/_al_u2237_o ,\u_logic/_al_u2240_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19266)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~A*~(0*C)*~(D*B))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~A*~(1*C)*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001000101010101),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2238|u_logic/Rz0bx6_reg (
.a({\u_logic/_al_u2237_o ,HWDATA[30]}),
.b({\u_logic/_al_u2189_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Hwhiu6_lutinv ,\u_logic/Rz0bx6 }),
.clk(clk_pad),
.d({\u_logic/Nhgbx6 ,\u_logic/Ztupw6 }),
.e({\u_logic/V5abx6 ,open_n139976}),
.sr(cpuresetn),
.f({\u_logic/_al_u2238_o ,open_n139991}),
.q({open_n139995,\u_logic/Rz0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19266)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2239|u_logic/_al_u104 (
.a({\u_logic/Ajgiu6 ,open_n139996}),
.b({\u_logic/Rhgiu6 ,open_n139997}),
.c({\u_logic/Vpgbx6 ,\u_logic/C1wpw6 }),
.d({\u_logic/Wgipw6 ,\u_logic/E1fiu6 }),
.f({\u_logic/Vhbpw6 ,\u_logic/n1112 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2242|u_logic/_al_u4068 (
.a({\u_logic/_al_u2220_o ,open_n140022}),
.b({\u_logic/_al_u2227_o ,\u_logic/Nv9bx6 }),
.c({\u_logic/_al_u2235_o ,\u_logic/Xozax6 }),
.d({\u_logic/_al_u2241_o ,\u_logic/_al_u4067_o }),
.f({\u_logic/_al_u2242_o ,\u_logic/Altow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2243|u_logic/_al_u4229 (
.b({\u_logic/Rhgiu6 ,open_n140049}),
.c({\u_logic/_al_u1925_o ,\u_logic/Mnmpw6 }),
.d({\u_logic/Ajgiu6 ,\u_logic/F17ax6 }),
.f({\u_logic/_al_u2243_o ,\u_logic/_al_u4229_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\u_logic/_al_u2246|_al_u142 (
.b({\u_logic/B6cpw6 ,open_n140076}),
.c({\u_logic/vis_primask_o ,clk_pad}),
.d({\u_logic/_al_u2245_o ,\SPI_TX/MSI_clk_en }),
.f({\u_logic/P0biu6 ,MSI_SCLK_pad}));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*~(~0*D*B))"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("(~C*~A*~(~1*D*B))"),
//.LUTG1("(D*~C*B*A)"),
.INIT_LUTF0(16'b0000000100000101),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0000010100000101),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2247|u_logic/_al_u2636 (
.a({\u_logic/Iugiu6 ,\u_logic/_al_u2634_o }),
.b({\u_logic/_al_u142_o ,\u_logic/Jxaiu6 }),
.c({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u2635_o }),
.d({\u_logic/R3vpw6 ,\u_logic/Vo3ju6_lutinv }),
.e({open_n140099,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u2247_o ,\u_logic/_al_u2636_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17459)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*~(B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2251|u_logic/Elnpw6_reg (
.a({open_n140120,\u_logic/E1fiu6 }),
.b({\u_logic/C1wpw6 ,\u_logic/_al_u1937_o }),
.c({\u_logic/F17ax6 ,\u_logic/Pdyax6 }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/Xrgiu6 ,\u_logic/Wpyax6 }),
.mi({open_n140124,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2251_o ,\u_logic/_al_u2998_o }),
.q({open_n140139,\u_logic/Elnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17459)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2253|u_logic/_al_u2267 (
.c({\u_logic/Qkniu6_lutinv ,\u_logic/_al_u2097_o }),
.d({\u_logic/_al_u2091_o ,\u_logic/_al_u2095_o }),
.f({\u_logic/Miniu6_lutinv ,\u_logic/Khniu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2254|u_logic/_al_u2344 (
.c({\u_logic/Miniu6_lutinv ,\u_logic/Jkniu6_lutinv }),
.d({\u_logic/Ckniu6 ,\u_logic/Vjniu6_lutinv }),
.f({\u_logic/Ztmiu6 ,\u_logic/Numiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2255|u_logic/_al_u2262 (
.c({\u_logic/_al_u2097_o ,\u_logic/_al_u2097_o }),
.d({\u_logic/_al_u2095_o ,\u_logic/_al_u2095_o }),
.f({\u_logic/Finiu6 ,\u_logic/Jkniu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2256|u_logic/_al_u2258 (
.c({\u_logic/Finiu6 ,\u_logic/Vjniu6_lutinv }),
.d({\u_logic/Miniu6_lutinv ,\u_logic/Finiu6 }),
.f({\u_logic/Jsmiu6 ,\u_logic/Qsmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2259|u_logic/_al_u2269 (
.c({\u_logic/Vjniu6_lutinv ,\u_logic/Khniu6_lutinv }),
.d({\u_logic/Ckniu6 ,\u_logic/Miniu6_lutinv }),
.f({\u_logic/Gumiu6 ,\u_logic/n1573 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2260|u_logic/_al_u664 (
.c({\u_logic/Qkniu6_lutinv ,\u_logic/M6kax6 }),
.d({\u_logic/_al_u2091_o ,\u_logic/Dzvpw6 }),
.f({\u_logic/Rhniu6_lutinv ,\u_logic/Fb9pw6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2263|u_logic/_al_u2268 (
.c({\u_logic/Jkniu6_lutinv ,\u_logic/Khniu6_lutinv }),
.d({\u_logic/Miniu6_lutinv ,\u_logic/Vjniu6_lutinv }),
.f({\u_logic/n1580 ,\u_logic/Xsmiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2264|u_logic/_al_u2261 (
.c({\u_logic/Jkniu6_lutinv ,\u_logic/Rhniu6_lutinv }),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/Ckniu6 }),
.f({\u_logic/n1577 ,\u_logic/Ltmiu6 }));
EG_PHY_MSLICE #(
//.LUT0("~(~C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2265|u_logic/_al_u2346 (
.c({\u_logic/Rhniu6_lutinv ,\u_logic/Jrypw6 }),
.d({\u_logic/Finiu6 ,\u_logic/_al_u2265_o }),
.f({\u_logic/_al_u2265_o ,\u_logic/n1568 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2266|u_logic/_al_u1144 (
.a({open_n140372,\u_logic/_al_u1127_o }),
.b({open_n140373,\u_logic/Htmpw6 }),
.c({\u_logic/Jrypw6 ,\u_logic/Iixpw6 }),
.d({\u_logic/_al_u2265_o ,\u_logic/Jrypw6 }),
.f({\u_logic/Ydkiu6 ,\u_logic/Yfqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17904)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~(D*~A))"),
//.LUT1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111111100111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u226|u_logic/Zgzpw6_reg (
.a({open_n140398,\u_logic/_al_u4609_o }),
.b({open_n140399,\u_logic/_al_u4611_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/Cgkiu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Ga0iu6 ,\u_logic/n3708 }),
.f({\u_logic/Mifpw6 [16],\u_logic/Qcaiu6 }),
.q({open_n140416,\u_logic/vis_msp_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17904)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2271|u_logic/_al_u2270 (
.c({\u_logic/Khniu6_lutinv ,\u_logic/Khniu6_lutinv }),
.d({\u_logic/Dhniu6_lutinv ,\u_logic/Rhniu6_lutinv }),
.f({\u_logic/n1571 ,\u_logic/Csmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~(~C*~B)*(D@A)))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~1*~(~(~C*~B)*(D@A)))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b1010101101010111),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2273|u_logic/_al_u4005 (
.a({HWDATA[19],HADDR[7]}),
.b({HWDATA[24],\u_logic/Nr4iu6_lutinv }),
.c({HWDATA[17],\u_logic/_al_u4000_o }),
.d({HWDATA[18],HADDR[2]}),
.e({open_n140443,\u_logic/_al_u4004_o }),
.f({\u_logic/_al_u2273_o ,\u_logic/_al_u4005_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17667)
EG_PHY_LSLICE #(
//.LUTF0("~(0*~(~D*~(C*~(~B*~A))))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("~(1*~(~D*~(C*~(~B*~A))))"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111111111),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000011111),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2275|u_logic/Yzspw6_reg (
.a({HWDATA[26],\u_logic/_al_u3985_o }),
.b({HWDATA[27],\u_logic/_al_u3992_o }),
.c({HWDATA[25],\u_logic/_al_u3998_o }),
.clk(clk_pad),
.d({HWDATA[16],\u_logic/_al_u2971_o }),
.e({open_n140465,\u_logic/_al_u4012_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2275_o ,open_n140480}),
.q({open_n140484,\u_logic/Yzspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17667)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2276|u_logic/_al_u1882 (
.c({\u_logic/K5eiu6 ,\u_logic/Wjyiu6 }),
.d({\u_logic/Cznow6 ,\u_logic/Kkyiu6 }),
.f({\u_logic/_al_u2276_o ,\u_logic/Kwfiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2277|u_logic/_al_u725 (
.a({\u_logic/_al_u2272_o ,\u_logic/Rfxax6 }),
.b({\u_logic/_al_u2274_o ,\u_logic/Tjkpw6 }),
.c({\u_logic/_al_u2275_o ,\u_logic/Ujxax6 }),
.d({\u_logic/_al_u2276_o ,\u_logic/V0jpw6 }),
.f({\u_logic/T9qow6 ,\u_logic/_al_u725_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~A*~(C*B)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~D*~(~A*~(C*B)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000011101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000011101010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2282|u_logic/_al_u2470 (
.a({open_n140529,\u_logic/_al_u1800_o }),
.b({\u_logic/S2ziu6_lutinv ,\u_logic/_al_u1073_o }),
.c({\u_logic/Owoiu6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Y0jiu6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2282_o ,\u_logic/_al_u2470_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2283|u_logic/_al_u689 (
.a({open_n140554,\u_logic/_al_u687_o }),
.b({\u_logic/Yljiu6 ,\u_logic/_al_u156_o }),
.c({\u_logic/Qyniu6_lutinv ,\u_logic/_al_u688_o }),
.d({\u_logic/Owoiu6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2283_o ,\u_logic/_al_u689_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~((0*C)*~(B)*~(D)+(0*C)*B*~(D)+~((0*C))*B*D+(0*C)*B*D))"),
//.LUT1("(~A*~((1*C)*~(B)*~(D)+(1*C)*B*~(D)+~((1*C))*B*D+(1*C)*B*D))"),
.INIT_LUT0(16'b0001000101010101),
.INIT_LUT1(16'b0001000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2285 (
.a({\u_logic/_al_u1757_o ,\u_logic/_al_u1757_o }),
.b({\u_logic/_al_u145_o ,\u_logic/_al_u145_o }),
.c({\u_logic/_al_u398_o ,\u_logic/_al_u398_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n140591,\u_logic/Xxupw6 }),
.fx({open_n140596,\u_logic/_al_u2285_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u2286|u_logic/_al_u647 (
.b({\u_logic/_al_u638_o ,open_n140601}),
.c({\u_logic/_al_u690_o ,\u_logic/Ydopw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/_al_u158_o }),
.f({\u_logic/_al_u2286_o ,\u_logic/Qe8iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2287|u_logic/_al_u1067 (
.a({\u_logic/_al_u2285_o ,open_n140622}),
.b({\u_logic/_al_u191_o ,open_n140623}),
.c({\u_logic/_al_u2286_o ,\u_logic/R3vpw6 }),
.d({\u_logic/_al_u1749_o ,\u_logic/_al_u705_o }),
.f({\u_logic/_al_u2287_o ,\u_logic/_al_u1067_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(D*A))"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b0101010011111100),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u2289|u_logic/_al_u4595 (
.a({open_n140648,\u_logic/T23ju6_lutinv }),
.b({\u_logic/Jf6ju6 ,\u_logic/D31ju6 }),
.c({\u_logic/Yvjpw6 ,\u_logic/Yp8iu6 }),
.d({\u_logic/Pthiu6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2289_o ,\u_logic/_al_u4595_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((D*B))*~(C)+A*(D*B)*~(C)+~(A)*(D*B)*C+A*(D*B)*C)"),
//.LUT1("(B*~(~C*~D))"),
.INIT_LUT0(16'b0011010111110101),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"))
\u_logic/_al_u2291|u_logic/_al_u2292 (
.a({open_n140669,\u_logic/_al_u159_o }),
.b({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1445_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2291_o ,\u_logic/_al_u2292_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*~D*C*B))"),
//.LUT1("(~A*~(1*~D*C*B))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0101010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2293 (
.a({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.b({\u_logic/_al_u2289_o ,\u_logic/_al_u2289_o }),
.c({\u_logic/_al_u2290_o ,\u_logic/_al_u2290_o }),
.d({\u_logic/_al_u2291_o ,\u_logic/_al_u2291_o }),
.mi({open_n140702,\u_logic/_al_u2292_o }),
.fx({open_n140707,\u_logic/A3iiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2294|u_logic/_al_u159 (
.a({\u_logic/_al_u145_o ,open_n140710}),
.b({\u_logic/Owoiu6 ,open_n140711}),
.c({\u_logic/_al_u1445_o ,\u_logic/R3vpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2294_o ,\u_logic/_al_u159_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*A*~(B*~(~D*C)))"),
//.LUT1("(~1*A*~(B*~(~D*C)))"),
.INIT_LUT0(16'b0010001010100010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2296 (
.a({\u_logic/Vo3ju6_lutinv ,\u_logic/Vo3ju6_lutinv }),
.b({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.c({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.mi({open_n140748,\u_logic/Xxupw6 }),
.fx({open_n140753,\u_logic/_al_u2296_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*B*~(0*C)))"),
//.LUT1("(~A*~(~D*B*~(1*C)))"),
.INIT_LUT0(16'b0101010100010001),
.INIT_LUT1(16'b0101010101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2297 (
.a({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.b({\u_logic/_al_u2295_o ,\u_logic/_al_u2295_o }),
.c({\u_logic/Kr7ow6_lutinv ,\u_logic/Kr7ow6_lutinv }),
.d({\u_logic/_al_u2296_o ,\u_logic/_al_u2296_o }),
.mi({open_n140768,\u_logic/_al_u1582_o }),
.fx({open_n140773,\u_logic/_al_u2297_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2299|u_logic/_al_u396 (
.b({\u_logic/Ae0iu6_lutinv ,open_n140778}),
.c({\u_logic/T1vpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/I30ju6_lutinv ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2299_o ,\u_logic/Frziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*~(~B*~(~D*A)))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000110000001110),
.MODE("LOGIC"))
\u_logic/_al_u2301|u_logic/_al_u154 (
.a({\u_logic/_al_u2299_o ,open_n140803}),
.b({\u_logic/_al_u2300_o ,open_n140804}),
.c({\u_logic/Aujpw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2301_o ,\u_logic/_al_u154_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*D)*~(C*B))"),
//.LUTF1("(~A*~(~D*C*~B))"),
//.LUTG0("(~A*~(1*D)*~(C*B))"),
//.LUTG1("(~A*~(~D*C*~B))"),
.INIT_LUTF0(16'b0001010100010101),
.INIT_LUTF1(16'b0101010101000101),
.INIT_LUTG0(16'b0000000000010101),
.INIT_LUTG1(16'b0101010101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2307|u_logic/_al_u1100 (
.a({\u_logic/_al_u2306_o ,\u_logic/_al_u1098_o }),
.b({\u_logic/_al_u638_o ,\u_logic/_al_u148_o }),
.c({\u_logic/Btoiu6_lutinv ,\u_logic/Mfjiu6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Pu1ju6_lutinv }),
.e({open_n140827,\u_logic/_al_u1099_o }),
.f({\u_logic/_al_u2307_o ,\u_logic/_al_u1100_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0)"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1)"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b1111111101011111),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0000001101011111),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2314|u_logic/_al_u2373 (
.a({open_n140848,\u_logic/Dxvpw6 }),
.b({\u_logic/Sojax6 ,\u_logic/P0kax6 }),
.c({\u_logic/U9ypw6 ,\u_logic/P14qw6 }),
.d({\u_logic/_al_u718_o ,\u_logic/Sojax6 }),
.e({open_n140851,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2314_o ,\u_logic/_al_u2373_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0010001010100000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2315|u_logic/_al_u2733 (
.a({open_n140872,\u_logic/Wa0ju6 }),
.b({\u_logic/_al_u2002_o ,\u_logic/Rwjax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/_al_u386_o ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2315_o ,\u_logic/_al_u2733_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+~(B)*~(C)*~(D)*0+~(B)*C*~(D)*0+B*C*~(D)*0+~(B)*~(C)*D*0+~(B)*C*D*0+B*C*D*0))"),
//.LUT1("(A*(B*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+~(B)*~(C)*~(D)*1+~(B)*C*~(D)*1+B*C*~(D)*1+~(B)*~(C)*D*1+~(B)*C*D*1+B*C*D*1))"),
.INIT_LUT0(16'b1010101010000000),
.INIT_LUT1(16'b1010001010100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2316 (
.a({\u_logic/_al_u400_o ,\u_logic/_al_u400_o }),
.b({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n140905,\u_logic/U9ypw6 }),
.fx({open_n140910,\u_logic/_al_u2316_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\u_logic/_al_u2317|u_logic/_al_u2375 (
.a({\u_logic/_al_u2313_o ,\u_logic/_al_u2372_o }),
.b({\u_logic/_al_u2314_o ,\u_logic/_al_u2374_o }),
.c({\u_logic/_al_u2315_o ,\u_logic/_al_u1509_o }),
.d({\u_logic/_al_u2316_o ,\u_logic/_al_u2141_o }),
.f({\u_logic/_al_u2317_o ,\u_logic/_al_u2375_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*D)"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000110000000000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u2318|u_logic/_al_u2165 (
.b({\u_logic/N3ziu6 ,\u_logic/D1piu6_lutinv }),
.c({\u_logic/_al_u1153_o ,\u_logic/Aujpw6 }),
.d({\u_logic/_al_u956_o ,\u_logic/_al_u155_o }),
.f({\u_logic/_al_u2318_o ,\u_logic/_al_u2165_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(C*~(~D*~B)))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(A*~(C*~(~D*~B)))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0000101000101010),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0000101000101010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2319|u_logic/_al_u3962 (
.a({\u_logic/_al_u2317_o ,\u_logic/_al_u1795_o }),
.b({\u_logic/_al_u2318_o ,\u_logic/_al_u2146_o }),
.c({\u_logic/N3ziu6 ,\u_logic/_al_u3961_o }),
.d({\u_logic/_al_u145_o ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2319_o ,\u_logic/_al_u3962_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2320|u_logic/_al_u1685 (
.c({\u_logic/D1piu6_lutinv ,\u_logic/Aujpw6 }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/_al_u907_o }),
.f({\u_logic/_al_u2320_o ,\u_logic/_al_u1685_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(C*~(0*~D)))"),
//.LUT1("(B*A*~(C*~(1*~D)))"),
.INIT_LUT0(16'b0000100000001000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2321 (
.a({\u_logic/_al_u1684_o ,\u_logic/_al_u1684_o }),
.b({\u_logic/_al_u2320_o ,\u_logic/_al_u2320_o }),
.c({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Xxupw6 ,\u_logic/Xxupw6 }),
.mi({open_n141019,\u_logic/Yvjpw6 }),
.fx({open_n141024,\u_logic/_al_u2321_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2323|u_logic/_al_u1607 (
.c({\u_logic/Rwjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/P0kax6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u2323_o ,\u_logic/Y40ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*B*~(~0*~A)))"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("(C*~(~D*B*~(~1*~A)))"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b1111000001110000),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b1111000000110000),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2326|u_logic/_al_u2378 (
.a({\u_logic/_al_u1221_o ,\u_logic/_al_u2371_o }),
.b({\u_logic/Xuyiu6_lutinv ,\u_logic/_al_u2375_o }),
.c({\u_logic/_al_u2325_o ,\u_logic/_al_u1814_o }),
.d({\u_logic/_al_u1603_o ,\u_logic/_al_u2377_o }),
.e({open_n141053,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2326_o ,\u_logic/_al_u2378_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~B*~((C*~A))*~(D)+~B*(C*~A)*~(D)+~(~B)*(C*~A)*D+~B*(C*~A)*D)"),
//.LUT1("(~(~B*~A)*~(D)*~(C)+~(~B*~A)*D*~(C)+~(~(~B*~A))*D*C+~(~B*~A)*D*C)"),
.INIT_LUT0(16'b1010111111001100),
.INIT_LUT1(16'b1111111000001110),
.MODE("LOGIC"))
\u_logic/_al_u2328|u_logic/_al_u2172 (
.a({\u_logic/_al_u2327_o ,\u_logic/_al_u1225_o }),
.b({\u_logic/_al_u1225_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/P14qw6 ,\u_logic/Skjax6 }),
.d({\u_logic/Skjax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/Yl6ow6_lutinv ,\u_logic/_al_u2172_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u232|u_logic/_al_u382 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Z90iu6 ,\u_logic/Pb0iu6 }),
.f({\u_logic/Mifpw6 [17],\u_logic/Mifpw6 [11]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000101110001011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2330|u_logic/_al_u2331 (
.a({\u_logic/Y40ju6 ,\u_logic/_al_u2330_o }),
.b({\u_logic/P14qw6 ,\u_logic/N4kax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/W4jax6 ,\u_logic/Ssjax6 }),
.e({open_n141124,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2330_o ,\u_logic/_al_u2331_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~C*B*~(0*~D)))"),
//.LUT1("(~A*~(~C*B*~(1*~D)))"),
.INIT_LUT0(16'b0101000101010001),
.INIT_LUT1(16'b0101000101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2332 (
.a({\u_logic/_al_u2322_o ,\u_logic/_al_u2322_o }),
.b({\u_logic/_al_u2326_o ,\u_logic/_al_u2326_o }),
.c({\u_logic/_al_u2329_o ,\u_logic/_al_u2329_o }),
.d({\u_logic/_al_u2331_o ,\u_logic/_al_u2331_o }),
.mi({open_n141157,\u_logic/Rwjax6 }),
.fx({open_n141162,\u_logic/_al_u2332_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B*~(D*C)))"),
//.LUT1("(~D*~(~C*~B*A))"),
.INIT_LUT0(16'b1010001000100010),
.INIT_LUT1(16'b0000000011111101),
.MODE("LOGIC"))
\u_logic/_al_u2333|u_logic/_al_u2550 (
.a({\u_logic/_al_u2319_o ,\u_logic/Kxziu6_lutinv }),
.b({\u_logic/_al_u2321_o ,\u_logic/Dcziu6 }),
.c({\u_logic/_al_u2332_o ,\u_logic/Jckax6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Jgxpw6 }),
.f({\u_logic/_al_u2333_o ,\u_logic/_al_u2550_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*~(B)*~(C)+A*B*~(C)+~(A)*~(B)*C+A*~(B)*C+~(A)*B*C))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0111101000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2336|u_logic/_al_u951 (
.a({open_n141185,\u_logic/P14qw6 }),
.b({open_n141186,\u_logic/Rwjax6 }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/Rwjax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2336_o ,\u_logic/_al_u951_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*~A)"),
//.LUTF1("(~B*~A*~(~D*C))"),
//.LUTG0("(~D*C*B*~A)"),
//.LUTG1("(~B*~A*~(~D*C))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0001000100000001),
.INIT_LUTG0(16'b0000000001000000),
.INIT_LUTG1(16'b0001000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2338|u_logic/_al_u1499 (
.a({\u_logic/_al_u2337_o ,\u_logic/Ae0iu6_lutinv }),
.b({\u_logic/_al_u957_o ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/Mfjiu6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2338_o ,\u_logic/_al_u1499_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(D*~B)*~(0*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~C*~(D*~B)*~(1*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000110000001111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000010000000101),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2339|u_logic/_al_u1984 (
.a({\u_logic/_al_u2335_o ,\u_logic/Cbbiu6_lutinv }),
.b({\u_logic/_al_u2338_o ,\u_logic/Cn7ow6 }),
.c({\u_logic/Habiu6 ,\u_logic/_al_u1983_o }),
.d({\u_logic/Irmpw6 ,\u_logic/Jgxpw6 }),
.e({open_n141233,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2339_o ,\u_logic/_al_u1984_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2341|u_logic/_al_u1054 (
.a({\u_logic/_al_u2339_o ,\u_logic/Eoyiu6_lutinv }),
.b({\u_logic/_al_u2340_o ,\u_logic/_al_u391_o }),
.c({\u_logic/_al_u1505_o ,\u_logic/P5vpw6 }),
.d({\u_logic/Rwjax6 ,\u_logic/Ubypw6 }),
.f({\u_logic/_al_u2341_o ,\u_logic/_al_u1054_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*(A*~(B)*~(C)+~(A)*~(B)*C+A*~(B)*C+A*B*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*(A*~(B)*~(C)+~(A)*~(B)*C+A*~(B)*C+A*B*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1011001000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1011001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2342|u_logic/_al_u717 (
.a({\u_logic/Zbjiu6 ,\u_logic/A95iu6_lutinv }),
.b({\u_logic/_al_u1878_o ,\u_logic/_al_u156_o }),
.c({\u_logic/Ncjiu6_lutinv ,\u_logic/Lgkax6 }),
.d({\u_logic/E88iu6_lutinv ,\u_logic/S7mpw6 }),
.f({\u_logic/_al_u2342_o ,\u_logic/_al_u717_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~(C*B)*~(D*~A))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~(C*B)*~(D*~A))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0010101000111111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0010101000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2348|u_logic/_al_u2798 (
.a({\u_logic/_al_u2347_o ,open_n141302}),
.b({HWDATA[31],open_n141303}),
.c({\u_logic/Ch5iu6_lutinv ,\u_logic/_al_u2388_o }),
.d({\u_logic/Pdyax6 ,\u_logic/_al_u1061_o }),
.f({\u_logic/_al_u2348_o ,\u_logic/Bepiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18701)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2351|u_logic/Iekax6_reg (
.b({\u_logic/_al_u2350_o ,\u_logic/_al_u1605_o }),
.c({\u_logic/Irmpw6 ,\u_logic/Wfspw6 }),
.ce(\u_logic/D8iiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u1595_o ,\u_logic/_al_u1595_o }),
.f({\u_logic/_al_u2351_o ,open_n141343}),
.q({open_n141347,\u_logic/Iekax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18701)
// ../rtl/topmodule/cortexm0ds_logic.v(18762)
EG_PHY_LSLICE #(
//.LUTF0("~(D*C*~B*~A)"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("~(D*C*~B*~A)"),
//.LUTG1("(~(D*C)*~(B*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111111111),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b1110111111111111),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2353|u_logic/Wnmax6_reg (
.a({\u_logic/_al_u2297_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u864_o ,\u_logic/_al_u4583_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/_al_u4585_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/N5fpw6 [28],\u_logic/_al_u3652_o }),
.f({\u_logic/_al_u2353_o ,\u_logic/Bomiu6 }),
.q({open_n141368,\u_logic/vis_r10_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18762)
// ../rtl/topmodule/cortexm0ds_logic.v(18456)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2354|u_logic/O4hax6_reg (
.a({open_n141369,\u_logic/_al_u4662_o }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u4675_o }),
.c({\u_logic/Nxkbx6 [30],\u_logic/_al_u4780_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2353_o ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2354_o ,open_n141383}),
.q({open_n141387,\u_logic/vis_pc_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18456)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*C)*~(B*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2355|u_logic/_al_u2357 (
.a({\u_logic/_al_u2297_o ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u871_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/N5fpw6 [30]}),
.d({\u_logic/N5fpw6 [29],\u_logic/Nxkbx6 [32]}),
.f({\u_logic/_al_u2355_o ,\u_logic/If3pw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2356|u_logic/_al_u4783 (
.a({open_n141412,\u_logic/_al_u4635_o }),
.b({\u_logic/A3iiu6 ,\u_logic/Vhcow6 }),
.c({\u_logic/Nxkbx6 [31],\u_logic/Egziu6 }),
.d({\u_logic/_al_u2355_o ,\u_logic/Nxkbx6 [27]}),
.f({\u_logic/_al_u2356_o ,\u_logic/_al_u4783_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2358 (
.b({open_n141439,\u_logic/_al_u2297_o }),
.c({open_n141440,\u_logic/To2ju6_lutinv }),
.d({open_n141443,\u_logic/If3pw6 }),
.f({open_n141457,\u_logic/_al_u2358_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*~(~A*~(~0*B)))"),
//.LUT1("(D*~C*~(~A*~(~1*B)))"),
.INIT_LUT0(16'b0000111000000000),
.INIT_LUT1(16'b0000101000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2362 (
.a({\u_logic/_al_u1604_o ,\u_logic/_al_u1604_o }),
.b({\u_logic/_al_u1487_o ,\u_logic/_al_u1487_o }),
.c({\u_logic/_al_u1087_o ,\u_logic/_al_u1087_o }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n141475,\u_logic/Ssjax6 }),
.fx({open_n141480,\u_logic/_al_u2362_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*D))"),
//.LUT1("(~C*~B*~A*~(1*D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2366 (
.a({\u_logic/_al_u2361_o ,\u_logic/_al_u2361_o }),
.b({\u_logic/_al_u2363_o ,\u_logic/_al_u2363_o }),
.c({\u_logic/_al_u2365_o ,\u_logic/_al_u2365_o }),
.d({\u_logic/_al_u141_o ,\u_logic/_al_u141_o }),
.mi({open_n141495,\u_logic/_al_u190_o }),
.fx({open_n141500,\u_logic/_al_u2366_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~D*~(~C*~B))"),
.INIT_LUT0(16'b1011010111111001),
.INIT_LUT1(16'b0000000011111100),
.MODE("LOGIC"))
\u_logic/_al_u2368|u_logic/_al_u4016 (
.a({open_n141503,\u_logic/N4kax6 }),
.b({\u_logic/Ssjax6 ,\u_logic/P0kax6 }),
.c({\u_logic/W4jax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/N4kax6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2368_o ,\u_logic/_al_u4016_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~(~D*~(0*~A))))"),
//.LUT1("(~B*~(C*~(~D*~(1*~A))))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000001100100011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2371 (
.a({\u_logic/_al_u2369_o ,\u_logic/_al_u2369_o }),
.b({\u_logic/_al_u2370_o ,\u_logic/_al_u2370_o }),
.c({\u_logic/_al_u400_o ,\u_logic/_al_u400_o }),
.d({\u_logic/_al_u1603_o ,\u_logic/_al_u1603_o }),
.mi({open_n141536,\u_logic/Dxvpw6 }),
.fx({open_n141541,\u_logic/_al_u2371_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~B*~(D*~C)))"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(A*~(~B*~(D*~C)))"),
//.LUTG1("(~(D*C)*~(B*A))"),
.INIT_LUTF0(16'b1000101010001000),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b1000101010001000),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2372|u_logic/_al_u2732 (
.a({\u_logic/Dmiiu6 ,\u_logic/_al_u1237_o }),
.b({\u_logic/_al_u1592_o ,\u_logic/_al_u2731_o }),
.c({\u_logic/_al_u1223_o ,\u_logic/_al_u1230_o }),
.d({\u_logic/Hgrpw6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2372_o ,\u_logic/_al_u2732_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~B*~(C*A)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(~B*~(C*A)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011101100),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011101100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2379|u_logic/_al_u2131 (
.a({open_n141568,\u_logic/_al_u1095_o }),
.b({open_n141569,\u_logic/_al_u1582_o }),
.c({\u_logic/Yecpw6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u1059_o ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2379_o ,\u_logic/_al_u2131_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*C*~(D*~B)))"),
//.LUT1("(~A*~(1*C*~(D*~B)))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0001010100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2380 (
.a({\u_logic/_al_u2379_o ,\u_logic/_al_u2379_o }),
.b({\u_logic/_al_u155_o ,\u_logic/_al_u155_o }),
.c({\u_logic/_al_u398_o ,\u_logic/_al_u398_o }),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.mi({open_n141606,\u_logic/Vzupw6 }),
.fx({open_n141611,\u_logic/_al_u2380_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~A*~(D*B)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~(~A*~(D*B)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1110000010100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1110000010100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2383|u_logic/_al_u1763 (
.a({open_n141614,\u_logic/Us2ju6 }),
.b({open_n141615,\u_logic/Ldiow6_lutinv }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u640_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2383_o ,\u_logic/_al_u1763_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~(D*A)))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*~(~B*~(D*A)))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110000011000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110000011000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2384|u_logic/_al_u2365 (
.a({open_n141640,\u_logic/_al_u1812_o }),
.b({\u_logic/Sq3ju6 ,\u_logic/_al_u2364_o }),
.c({\u_logic/_al_u1582_o ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Ls1ju6 ,\u_logic/D31ju6 }),
.f({\u_logic/_al_u2384_o ,\u_logic/_al_u2365_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(0*D*~C))"),
//.LUTF1("(D*~C*~B*A)"),
//.LUTG0("(~B*~A*~(1*D*~C))"),
//.LUTG1("(D*~C*~B*A)"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000001000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2386|u_logic/_al_u2658 (
.a({\u_logic/_al_u2366_o ,\u_logic/_al_u1804_o }),
.b({\u_logic/_al_u2378_o ,\u_logic/_al_u2657_o }),
.c({\u_logic/_al_u2382_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Fy8ow6_lutinv ,\u_logic/_al_u1095_o }),
.e({open_n141667,\u_logic/Vzupw6 }),
.f({\u_logic/_al_u2386_o ,\u_logic/_al_u2658_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19314)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0011111100001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2389|u_logic/Lg1bx6_reg (
.a({\u_logic/_al_u1061_o ,open_n141688}),
.b({\u_logic/Hwhiu6_lutinv ,\u_logic/_al_u1899_o }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u1891_o }),
.clk(clk_pad),
.d({\u_logic/Gv1bx6 ,\u_logic/_al_u2021_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2389_o ,\u_logic/Y2phu6 }),
.q({open_n141705,\u_logic/Lg1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19314)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u238|u_logic/_al_u244 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/S90iu6 ,\u_logic/L90iu6 }),
.f({\u_logic/Mifpw6 [18],\u_logic/Mifpw6 [19]}));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUT1("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2391 (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/_al_u2388_o ,\u_logic/_al_u2388_o }),
.c({\u_logic/_al_u1886_o ,\u_logic/_al_u1886_o }),
.d({\u_logic/_al_u1909_o ,\u_logic/_al_u1909_o }),
.mi({open_n141742,\u_logic/Y72bx6 }),
.fx({open_n141747,\u_logic/_al_u2391_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19296)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*~A)"),
//.LUT1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001000000000000),
.INIT_LUT1(16'b0011111100001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2395|u_logic/Ca1bx6_reg (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/Eegiu6_lutinv ,\u_logic/_al_u2016_o }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2397_o }),
.clk(clk_pad),
.d({\u_logic/Pgjbx6 ,key_interrupt[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2395_o ,open_n141763}),
.q({open_n141767,\u_logic/Ca1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19296)
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b0000000001011111),
.INIT_LUTF1(16'b0011111100001000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0011111100001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2397|u_logic/_al_u1908 (
.a({\u_logic/_al_u1061_o ,HWDATA[5]}),
.b({\u_logic/Hcgiu6_lutinv ,\u_logic/K66iu6 }),
.c({\u_logic/_al_u2388_o ,\u_logic/Kwfiu6 }),
.d({\u_logic/Ca1bx6 ,\u_logic/_al_u1907_o }),
.e({open_n141770,\u_logic/Mk3bx6 }),
.f({\u_logic/_al_u2397_o ,\u_logic/_al_u1908_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2401|u_logic/_al_u2403 (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/_al_u2026_o ,\u_logic/_al_u2030_o }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2388_o }),
.d({key_interrupt[8],key_interrupt[9]}),
.e({\u_logic/W51bx6 ,\u_logic/Oi1bx6 }),
.f({\u_logic/_al_u2401_o ,\u_logic/_al_u2403_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUT1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2407 (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/_al_u2102_o ,\u_logic/_al_u2102_o }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2388_o }),
.d({key_interrupt[11],key_interrupt[11]}),
.mi({open_n141825,\u_logic/Woiax6 }),
.fx({open_n141830,\u_logic/_al_u2407_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(C*B)))"),
//.LUTF1("(D*~(C*B*A))"),
//.LUTG0("(A*~(D*~(C*B)))"),
//.LUTG1("(D*~(C*B*A))"),
.INIT_LUTF0(16'b1000000010101010),
.INIT_LUTF1(16'b0111111100000000),
.INIT_LUTG0(16'b1000000010101010),
.INIT_LUTG1(16'b0111111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2409|u_logic/_al_u1885 (
.a({\u_logic/_al_u2388_o ,\u_logic/_al_u1883_o }),
.b({\u_logic/_al_u1886_o ,HWDATA[0]}),
.c({\u_logic/_al_u1062_o ,\u_logic/K66iu6 }),
.d({RSSI_interrupt,\u_logic/U31bx6 }),
.f({\u_logic/_al_u2409_o ,\u_logic/_al_u1885_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*(~(A)*~(B)*~(C)*~(0)+A*~(B)*~(C)*~(0)+~(A)*B*~(C)*~(0)+A*B*~(C)*~(0)+~(A)*~(B)*C*~(0)+~(A)*B*C*~(0)+A*B*~(C)*0))"),
//.LUTF1("(B*~(~D*~(C*A)))"),
//.LUTG0("(~D*(~(A)*~(B)*~(C)*~(1)+A*~(B)*~(C)*~(1)+~(A)*B*~(C)*~(1)+A*B*~(C)*~(1)+~(A)*~(B)*C*~(1)+~(A)*B*C*~(1)+A*B*~(C)*1))"),
//.LUTG1("(B*~(~D*~(C*A)))"),
.INIT_LUTF0(16'b0000000001011111),
.INIT_LUTF1(16'b1100110010000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1100110010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2410|u_logic/_al_u1898 (
.a({\u_logic/_al_u1899_o ,HWDATA[3]}),
.b({\u_logic/_al_u2409_o ,\u_logic/K66iu6 }),
.c({\u_logic/_al_u1886_o ,\u_logic/Kwfiu6 }),
.d({\u_logic/Kshbx6 ,\u_logic/_al_u1897_o }),
.e({open_n141859,\u_logic/Muhbx6 }),
.f({\u_logic/_al_u2410_o ,\u_logic/_al_u1898_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTF1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
//.LUTG1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b0011111100001000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2412|u_logic/_al_u2393 (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/Odfiu6_lutinv ,\u_logic/Bggiu6_lutinv }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2388_o }),
.d({\u_logic/Eghbx6 ,key_interrupt[4]}),
.e({open_n141882,\u_logic/Sq3bx6 }),
.f({\u_logic/_al_u2412_o ,\u_logic/_al_u2393_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUT1("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0011111111111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2414 (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u1061_o }),
.b({\u_logic/_al_u2388_o ,\u_logic/_al_u2388_o }),
.c({\u_logic/_al_u1904_o ,\u_logic/_al_u1904_o }),
.d({\u_logic/_al_u1909_o ,\u_logic/_al_u1909_o }),
.mi({open_n141915,\u_logic/Ki3bx6 }),
.fx({open_n141920,\u_logic/_al_u2414_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17633)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0011111100001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2416|u_logic/Yxrpw6_reg (
.a({\u_logic/_al_u1061_o ,open_n141923}),
.b({\u_logic/G9fiu6_lutinv ,\u_logic/_al_u1061_o }),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2102_o }),
.clk(clk_pad),
.d({\u_logic/Jp9bx6 ,\u_logic/_al_u2101_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2416_o ,\u_logic/W1phu6 }),
.q({open_n141940,\u_logic/Yxrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17633)
// ../rtl/topmodule/cortexm0ds_logic.v(19536)
EG_PHY_LSLICE #(
//.LUTF0("(0*C*~A*~(D*B))"),
//.LUTF1("(D*(A*B*~(C)*~(0)+~(A)*~(B)*~(C)*0+A*~(B)*~(C)*0+~(A)*B*~(C)*0+A*B*~(C)*0+~(A)*~(B)*C*0+A*~(B)*C*0))"),
//.LUTG0("(1*C*~A*~(D*B))"),
//.LUTG1("(D*(A*B*~(C)*~(1)+~(A)*~(B)*~(C)*1+A*~(B)*~(C)*1+~(A)*B*~(C)*1+A*B*~(C)*1+~(A)*~(B)*C*1+A*~(B)*C*1))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2420|u_logic/Om3bx6_reg (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u2347_o }),
.b({\u_logic/_al_u2040_o ,HWDATA[7]}),
.c({\u_logic/_al_u2388_o ,\u_logic/_al_u2418_o }),
.clk(clk_pad),
.d({key_interrupt[13],\u_logic/Kwfiu6 }),
.e({\u_logic/Ar1bx6 ,key_interrupt[3]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2420_o ,open_n141956}),
.q({open_n141960,\u_logic/Om3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19536)
// ../rtl/topmodule/cortexm0ds_logic.v(19386)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(A*~(B)*(D*C)*~(0)+~(A)*~(B)*~((D*C))*0+A*~(B)*~((D*C))*0+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+A*~(B)*(D*C)*0)"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(A*~(B)*(D*C)*~(1)+~(A)*~(B)*~((D*C))*1+A*~(B)*~((D*C))*1+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+A*~(B)*(D*C)*1)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0011111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2424|u_logic/V52bx6_reg (
.a({\u_logic/_al_u1061_o ,\u_logic/_al_u2048_o }),
.b({\u_logic/_al_u2388_o ,\u_logic/_al_u2049_o }),
.c({\u_logic/_al_u2039_o ,\u_logic/_al_u1899_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1062_o ,\u_logic/_al_u2039_o }),
.e({\u_logic/S32bx6 ,open_n141962}),
.sr(cpuresetn),
.f({\u_logic/_al_u2424_o ,\u_logic/U0phu6 }),
.q({open_n141980,\u_logic/V52bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19386)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("~((C*B)*~(A)*~(D)+(C*B)*A*~(D)+~((C*B))*A*D+(C*B)*A*D)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("~((C*B)*~(A)*~(D)+(C*B)*A*~(D)+~((C*B))*A*D+(C*B)*A*D)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0101010100111111),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0101010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2428|u_logic/_al_u2306 (
.a({\u_logic/_al_u1068_o ,open_n141981}),
.b({\u_logic/Fq8iu6 ,\u_logic/Vygax6 }),
.c({\u_logic/_al_u705_o ,\u_logic/Yvjpw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2428_o ,\u_logic/_al_u2306_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*~(~B*~(~D*C))))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~A*~(1*~(~B*~(~D*C))))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0101010101010101),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0001000100000001),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2429|u_logic/_al_u2158 (
.a({open_n142006,\u_logic/_al_u2157_o }),
.b({\u_logic/_al_u690_o ,\u_logic/_al_u1060_o }),
.c({\u_logic/Daiax6 ,\u_logic/Yljiu6 }),
.d({\u_logic/_al_u1085_o ,\u_logic/Yp8iu6 }),
.e({open_n142009,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2429_o ,\u_logic/_al_u2158_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17515)
EG_PHY_LSLICE #(
//.LUTF0("(~(~0*~D)*~(~C*B*~A))"),
//.LUTF1("(C*(0*~((~D*~A))*~(B)+0*(~D*~A)*~(B)+~(0)*(~D*~A)*B+0*(~D*~A)*B))"),
//.LUTG0("(~(~1*~D)*~(~C*B*~A))"),
//.LUTG1("(C*(1*~((~D*~A))*~(B)+1*(~D*~A)*~(B)+~(1)*(~D*~A)*B+1*(~D*~A)*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101100000000),
.INIT_LUTF1(16'b0000000001000000),
.INIT_LUTG0(16'b1111101111111011),
.INIT_LUTG1(16'b0011000001110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2430|u_logic/Ufopw6_reg (
.a({\u_logic/Aujpw6 ,\u_logic/_al_u2301_o }),
.b({\u_logic/Hirpw6 ,\u_logic/_al_u2311_o }),
.c({\u_logic/P5vpw6 ,\u_logic/_al_u2333_o }),
.clk(clk_pad),
.d({\u_logic/R3vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({\u_logic/Xxupw6 ,\u_logic/Ufopw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2430_o ,open_n142045}),
.q({open_n142049,\u_logic/Ufopw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17515)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2431|u_logic/_al_u2313 (
.a({\u_logic/_al_u2428_o ,open_n142050}),
.b({\u_logic/_al_u2124_o ,\u_logic/_al_u1094_o }),
.c({\u_logic/_al_u2429_o ,\u_logic/Pthiu6 }),
.d({\u_logic/_al_u2430_o ,\u_logic/_al_u2312_o }),
.f({\u_logic/_al_u2431_o ,\u_logic/_al_u2313_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(A*~(C*~(0)*~(D)+C*0*~(D)+~(C)*0*D+C*0*D)))"),
//.LUTF1("(A*~(~D*C*B))"),
//.LUTG0("(~B*~(A*~(C*~(1)*~(D)+C*1*~(D)+~(C)*1*D+C*1*D)))"),
//.LUTG1("(A*~(~D*C*B))"),
.INIT_LUTF0(16'b0001000100110001),
.INIT_LUTF1(16'b1010101000101010),
.INIT_LUTG0(16'b0011001100110001),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2432|u_logic/_al_u3963 (
.a({\u_logic/_al_u2431_o ,\u_logic/Yo1ju6 }),
.b({\u_logic/Htyiu6 ,\u_logic/_al_u3962_o }),
.c({\u_logic/_al_u1503_o ,\u_logic/_al_u1230_o }),
.d({\u_logic/Dxvpw6 ,\u_logic/P0kax6 }),
.e({open_n142077,\u_logic/Rwjax6 }),
.f({\u_logic/_al_u2432_o ,\u_logic/_al_u3963_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~((~D*A))*~(B)+C*(~D*A)*~(B)+~(C)*(~D*A)*B+C*(~D*A)*B)"),
//.LUTF1("(~A*~(C*~(~D*~B)))"),
//.LUTG0("~(C*~((~D*A))*~(B)+C*(~D*A)*~(B)+~(C)*(~D*A)*B+C*(~D*A)*B)"),
//.LUTG1("(~A*~(C*~(~D*~B)))"),
.INIT_LUTF0(16'b1100111101000111),
.INIT_LUTF1(16'b0000010100010101),
.INIT_LUTG0(16'b1100111101000111),
.INIT_LUTG1(16'b0000010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2433|u_logic/_al_u2385 (
.a({\u_logic/_al_u2383_o ,\u_logic/_al_u2383_o }),
.b({\u_logic/_al_u1070_o ,\u_logic/_al_u1684_o }),
.c({\u_logic/Yecpw6_lutinv ,\u_logic/_al_u2384_o }),
.d({\u_logic/_al_u394_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2433_o ,\u_logic/Fy8ow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~(D*~A)))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000011100000011),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2436|u_logic/_al_u2736 (
.a({\u_logic/_al_u2432_o ,\u_logic/_al_u2716_o }),
.b({\u_logic/_al_u2118_o ,\u_logic/_al_u2735_o }),
.c({\u_logic/_al_u2433_o ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/_al_u2435_o ,\u_logic/_al_u398_o }),
.f({\u_logic/_al_u2436_o ,\u_logic/_al_u2736_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17732)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~((D*~C))*~(0)+~(A)*B*(D*~C)*~(0)+~(A)*~(B)*~((D*~C))*0+A*~(B)*~((D*~C))*0+~(A)*B*~((D*~C))*0+~(A)*~(B)*(D*~C)*0+A*~(B)*(D*~C)*0+~(A)*B*(D*~C)*0+A*B*(D*~C)*0)"),
//.LUTF1("(D*~(~C*~(B*~A)))"),
//.LUTG0("(~(A)*B*~((D*~C))*~(1)+~(A)*B*(D*~C)*~(1)+~(A)*~(B)*~((D*~C))*1+A*~(B)*~((D*~C))*1+~(A)*B*~((D*~C))*1+~(A)*~(B)*(D*~C)*1+A*~(B)*(D*~C)*1+~(A)*B*(D*~C)*1+A*B*(D*~C)*1)"),
//.LUTG1("(D*~(~C*~(B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b1111010000000000),
.INIT_LUTG0(16'b0111111101110111),
.INIT_LUTG1(16'b1111010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2437|u_logic/Xxupw6_reg (
.a({\u_logic/_al_u2146_o ,\u_logic/_al_u2386_o }),
.b({\u_logic/Frziu6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/_al_u410_o ,\u_logic/Aujpw6 }),
.clk(clk_pad),
.d({\u_logic/_al_u907_o ,\u_logic/P5vpw6 }),
.e({open_n142143,\u_logic/Xxupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2437_o ,open_n142158}),
.q({open_n142162,\u_logic/Xxupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17732)
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*A*~(~D*B))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*A*~(~D*B))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000101000000010),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000101000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2438|u_logic/_al_u1610 (
.a({\u_logic/_al_u2436_o ,open_n142163}),
.b({\u_logic/_al_u2299_o ,open_n142164}),
.c({\u_logic/_al_u2437_o ,\u_logic/Ydopw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2438_o ,\u_logic/_al_u1610_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~(B*~(~C*A)))"),
//.LUTF1("(~0*~(~D*~(C*~B*A)))"),
//.LUTG0("(~1*~D*~(B*~(~C*A)))"),
//.LUTG1("(~1*~(~D*~(C*~B*A)))"),
.INIT_LUTF0(16'b0000000000111011),
.INIT_LUTF1(16'b1111111100100000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2440|u_logic/_al_u2439 (
.a({\u_logic/Qz0ju6 ,\u_logic/N4kax6 }),
.b({\u_logic/_al_u2439_o ,\u_logic/P0kax6 }),
.c({\u_logic/Dxvpw6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Hgrpw6 ,\u_logic/Ssjax6 }),
.e({\u_logic/U9ypw6 ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2440_o ,\u_logic/_al_u2439_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~D*C)*~(B*~A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(1*~D*C)*~(B*~A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1011101110111011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1011101100001011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2443|u_logic/_al_u2442 (
.a({\u_logic/_al_u718_o ,\u_logic/_al_u2440_o }),
.b({\u_logic/Dmiiu6 ,\u_logic/_al_u1503_o }),
.c({\u_logic/_al_u1225_o ,\u_logic/Dmiiu6 }),
.d({\u_logic/Sojax6 ,\u_logic/_al_u2441_o }),
.e({open_n142213,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2443_o ,\u_logic/_al_u2442_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~(~D*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*B*~(~D*~A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000110000001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000110000001000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2444|u_logic/_al_u2448 (
.a({open_n142234,\u_logic/_al_u2442_o }),
.b({open_n142235,\u_logic/_al_u2445_o }),
.c({\u_logic/U9ypw6 ,\u_logic/_al_u2447_o }),
.d({\u_logic/_al_u1509_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u2444_o ,\u_logic/_al_u2448_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~A*~(~D*C*B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0101010100010101),
.MODE("LOGIC"))
\u_logic/_al_u2445|u_logic/_al_u1593 (
.a({\u_logic/_al_u2443_o ,open_n142260}),
.b({\u_logic/_al_u2444_o ,open_n142261}),
.c({\u_logic/_al_u2141_o ,\u_logic/U9ypw6 }),
.d({\u_logic/Hgrpw6 ,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2445_o ,\u_logic/Jiiiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A*~(D*~(C*B))))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(1*~(A*~(D*~(C*B))))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0111111101010101),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2446|u_logic/_al_u2138 (
.a({\u_logic/Wh0ju6 ,\u_logic/_al_u2135_o }),
.b({\u_logic/_al_u2106_o ,\u_logic/Qz0ju6 }),
.c({\u_logic/Jckax6 ,\u_logic/_al_u2137_o }),
.d({\u_logic/N4kax6 ,\u_logic/_al_u400_o }),
.e({open_n142284,\u_logic/Dxvpw6 }),
.f({\u_logic/J8ziu6 ,\u_logic/_al_u2138_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2447 (
.a({\u_logic/J8ziu6 ,\u_logic/J8ziu6 }),
.b({\u_logic/F6ziu6 ,\u_logic/F6ziu6 }),
.c({\u_logic/_al_u386_o ,\u_logic/_al_u386_o }),
.d({\u_logic/Y40ju6 ,\u_logic/Y40ju6 }),
.mi({open_n142317,\u_logic/U9ypw6 }),
.fx({open_n142322,\u_logic/_al_u2447_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(~C*A)))"),
//.LUTF1("(~B*~(C*~(D*A)))"),
//.LUTG0("(D*~(B*~(~C*A)))"),
//.LUTG1("(~B*~(C*~(D*A)))"),
.INIT_LUTF0(16'b0011101100000000),
.INIT_LUTF1(16'b0010001100000011),
.INIT_LUTG0(16'b0011101100000000),
.INIT_LUTG1(16'b0010001100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2449|u_logic/_al_u3972 (
.a({\u_logic/_al_u1228_o ,\u_logic/_al_u1815_o }),
.b({\u_logic/Dxvpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/P14qw6 ,\u_logic/Dxvpw6 }),
.d({\u_logic/Skjax6 ,\u_logic/Ydopw6 }),
.f({\u_logic/Ea7ow6_lutinv ,\u_logic/_al_u3972_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*~(C)*D+~(B)*C*D+B*C*D))"),
//.LUT1("(~(D*~C)*~(B*A))"),
.INIT_LUT0(16'b1010100000100010),
.INIT_LUT1(16'b0111000001110111),
.MODE("LOGIC"))
\u_logic/_al_u2451|u_logic/_al_u2549 (
.a({\u_logic/Vviiu6 ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/Ea7ow6_lutinv ,\u_logic/Ya1ju6_lutinv }),
.c({\u_logic/_al_u2450_o ,\u_logic/Jiiiu6 }),
.d({\u_logic/_al_u154_o ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u2451_o ,\u_logic/_al_u2549_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~D*~(B*~(~C*~A)))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000110111),
.MODE("LOGIC"))
\u_logic/_al_u2453|u_logic/_al_u1223 (
.a({\u_logic/_al_u2448_o ,open_n142369}),
.b({\u_logic/_al_u2452_o ,open_n142370}),
.c({\u_logic/_al_u1087_o ,\u_logic/Ydopw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u2453_o ,\u_logic/_al_u1223_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2455|u_logic/_al_u2541 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/N5fpw6 [11],\u_logic/N5fpw6 [14]}),
.d({\u_logic/Nxkbx6 [13],\u_logic/Nxkbx6 [16]}),
.f({\u_logic/Z79pw6 ,\u_logic/_al_u2541_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2456|u_logic/_al_u1307 (
.a({open_n142411,\u_logic/_al_u1306_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/Gdqow6 }),
.c({\u_logic/_al_u745_o ,\u_logic/N64iu6 }),
.d({\u_logic/Z79pw6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u2456_o ,\u_logic/A8zow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~B*~(D*C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001100110011),
.MODE("LOGIC"))
\u_logic/_al_u2457|u_logic/_al_u1782 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/Ls1ju6 }),
.b({\u_logic/_al_u2156_o ,\u_logic/Pu1ju6_lutinv }),
.c({\u_logic/_al_u1237_o ,\u_logic/R3vpw6 }),
.d({\u_logic/P14qw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Eyoiu6 ,\u_logic/_al_u1782_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*B)*~(~C*A))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~(~D*B)*~(~C*A))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b1111010100110001),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1111010100110001),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2459|u_logic/_al_u1239 (
.a({\u_logic/_al_u1503_o ,\u_logic/Nbkiu6_lutinv }),
.b({\u_logic/Dmiiu6 ,\u_logic/_al_u400_o }),
.c({\u_logic/_al_u1087_o ,\u_logic/Qxoiu6 }),
.d({\u_logic/_al_u2458_o ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u2459_o ,\u_logic/_al_u1239_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~C*~B*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~(~C*~B*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1111110100000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111110100000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2461|u_logic/_al_u1779 (
.a({open_n142480,\u_logic/_al_u1773_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/_al_u1777_o }),
.c({\u_logic/Skjax6 ,\u_logic/_al_u1778_o }),
.d({\u_logic/Vviiu6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2461_o ,\u_logic/D8iiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~D))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(C*~(~B*~D))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b1111000011000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b1111000011000000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2462|u_logic/_al_u395 (
.b({\u_logic/Y0jiu6 ,\u_logic/_al_u394_o }),
.c({\u_logic/Owoiu6 ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u395_o ,\u_logic/D6kiu6_lutinv }),
.f({\u_logic/_al_u2462_o ,\u_logic/_al_u395_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~(C*B)))"),
//.LUT1("(B*~A*~(~D*C))"),
.INIT_LUT0(16'b0101010101000000),
.INIT_LUT1(16'b0100010000000100),
.MODE("LOGIC"))
\u_logic/_al_u2463|u_logic/_al_u2361 (
.a({\u_logic/_al_u2461_o ,\u_logic/_al_u2360_o }),
.b({\u_logic/_al_u2462_o ,\u_logic/_al_u1463_o }),
.c({\u_logic/_al_u2081_o ,\u_logic/Yi7ju6_lutinv }),
.d({\u_logic/Ydopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2463_o ,\u_logic/_al_u2361_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~B*~(~C*A)))"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~D*~(~B*~(~C*A)))"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b0000000011001110),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b0000000011001110),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2464|u_logic/_al_u1236 (
.a({\u_logic/W0piu6_lutinv ,\u_logic/_al_u690_o }),
.b({\u_logic/_al_u718_o ,\u_logic/Pu1ju6_lutinv }),
.c({\u_logic/Ia8iu6_lutinv ,\u_logic/R3vpw6 }),
.d({\u_logic/Qxoiu6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2464_o ,\u_logic/_al_u1236_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~A*~(D*C*B)))"),
//.LUTF1("(D*C*~(B*~A))"),
//.LUTG0("(~1*~(~A*~(D*C*B)))"),
//.LUTG1("(D*C*~(B*~A))"),
.INIT_LUTF0(16'b1110101010101010),
.INIT_LUTF1(16'b1011000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2466|u_logic/_al_u2363 (
.a({\u_logic/_al_u2465_o ,\u_logic/_al_u2362_o }),
.b({\u_logic/_al_u1087_o ,\u_logic/Qe8iu6_lutinv }),
.c({\u_logic/_al_u705_o ,\u_logic/Vs0iu6 }),
.d({\u_logic/_al_u1440_o ,\u_logic/_al_u638_o }),
.e({open_n142577,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2466_o ,\u_logic/_al_u2363_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~C*B*~A)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000000000100),
.MODE("LOGIC"))
\u_logic/_al_u2467|u_logic/_al_u1812 (
.a({\u_logic/_al_u2460_o ,open_n142598}),
.b({\u_logic/_al_u2463_o ,open_n142599}),
.c({\u_logic/_al_u2464_o ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u2466_o ,\u_logic/Qe8iu6_lutinv }),
.f({\u_logic/_al_u2467_o ,\u_logic/_al_u1812_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~D)"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b0000000011000000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u2474|u_logic/_al_u4696 (
.b({\u_logic/_al_u2473_o ,\u_logic/Yi8iu6_lutinv }),
.c({\u_logic/_al_u394_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u2471_o ,\u_logic/_al_u2347_o }),
.f({\u_logic/Ok8iu6 ,\u_logic/_al_u4696_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2475|u_logic/_al_u2527 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/X44iu6 ,\u_logic/Q44iu6 }),
.d({\u_logic/Dm6bx6 ,\u_logic/C07bx6 }),
.f({\u_logic/Emmiu6 ,\u_logic/Womiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*A))"),
//.LUT1("(~(D*C)*~(B*A))"),
.INIT_LUT0(16'b0000011101110111),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"))
\u_logic/_al_u2477|u_logic/_al_u2485 (
.a({\u_logic/_al_u2297_o ,\u_logic/_al_u2297_o }),
.b({\u_logic/_al_u773_o ,\u_logic/_al_u787_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.d({\u_logic/N5fpw6 [15],\u_logic/N5fpw6 [17]}),
.f({\u_logic/_al_u2477_o ,\u_logic/_al_u2485_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19662)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*~B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(D*~(C*~B))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011000011111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2478|u_logic/Yt4bx6_reg (
.b({\u_logic/A3iiu6 ,\u_logic/_al_u1194_o }),
.c({\u_logic/Nxkbx6 [17],\u_logic/Lcqow6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/_al_u2477_o ,\u_logic/Jwxow6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2478_o ,HWDATA[23]}),
.q({open_n142703,\u_logic/Yt4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19662)
// ../rtl/topmodule/cortexm0ds_logic.v(17299)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*~B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2479|u_logic/Rhkpw6_reg (
.a({\u_logic/_al_u2471_o ,open_n142704}),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u1180_o }),
.c({\u_logic/Z54iu6 ,\u_logic/Lcqow6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Chwpw6 ,\u_logic/Ffyow6 }),
.f({\u_logic/Dbmiu6 ,HWDATA[21]}),
.q({open_n142721,\u_logic/Rhkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17299)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2482|u_logic/_al_u2486 (
.b({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.c({\u_logic/Nxkbx6 [18],\u_logic/Nxkbx6 [19]}),
.d({\u_logic/_al_u2481_o ,\u_logic/_al_u2485_o }),
.f({\u_logic/_al_u2482_o ,\u_logic/_al_u2486_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2490|u_logic/_al_u1344 (
.a({open_n142748,\u_logic/_al_u1343_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/Gdqow6 }),
.c({\u_logic/_al_u794_o ,\u_logic/W74iu6 }),
.d({\u_logic/Xf7pw6 ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u2490_o ,\u_logic/Jwxow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2491|u_logic/_al_u2523 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/U64iu6 ,\u_logic/J44iu6 }),
.d({\u_logic/T6kbx6 ,\u_logic/Gwxpw6 }),
.f({\u_logic/G2miu6 ,\u_logic/Ivmiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2493|u_logic/_al_u2497 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/N5fpw6 [19],\u_logic/N5fpw6 [20]}),
.d({\u_logic/Nxkbx6 [21],\u_logic/Nxkbx6 [22]}),
.f({\u_logic/Uw6pw6 ,\u_logic/Qn6pw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2495|u_logic/_al_u2543 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/B74iu6 ,\u_logic/S54iu6 }),
.d({\u_logic/Fjdbx6 ,\u_logic/Z47ax6 }),
.f({\u_logic/Hzliu6 ,\u_logic/Cemiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2499|u_logic/_al_u2511 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/I74iu6 ,\u_logic/R84iu6 }),
.d({\u_logic/M2ebx6 ,\u_logic/F8cbx6 }),
.f({\u_logic/Bwliu6 ,\u_logic/Mzkiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17225)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u249|u_logic/Uwipw6_reg (
.a({\u_logic/_al_u245_o ,\u_logic/_al_u317_o }),
.b({\u_logic/_al_u246_o ,\u_logic/Xb4pw6 }),
.c({\u_logic/_al_u247_o ,\u_logic/_al_u319_o }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u248_o ,\u_logic/_al_u320_o }),
.mi({open_n142872,\u_logic/Ef8iu6 }),
.f({\u_logic/E90iu6 ,\u_logic/P40iu6 }),
.q({open_n142888,\u_logic/vis_r0_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(17225)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2502|u_logic/_al_u2537 (
.a({open_n142889,\u_logic/A3iiu6 }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2288_o }),
.c({\u_logic/Nxkbx6 [23],\u_logic/N5fpw6 [13]}),
.d({\u_logic/_al_u2501_o ,\u_logic/Nxkbx6 [15]}),
.f({\u_logic/_al_u2502_o ,\u_logic/_al_u2537_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2503|u_logic/_al_u2515 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/P74iu6 ,\u_logic/Y84iu6 }),
.d({\u_logic/Tlebx6 ,\u_logic/Nybbx6 }),
.f({\u_logic/Ctliu6 ,\u_logic/E2liu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*C)*~(B*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"))
\u_logic/_al_u2505|u_logic/_al_u2513 (
.a({\u_logic/_al_u2297_o ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u822_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/N5fpw6 [26]}),
.d({\u_logic/N5fpw6 [22],\u_logic/Nxkbx6 [28]}),
.f({\u_logic/_al_u2505_o ,\u_logic/S45pw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000011101110111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2506|u_logic/_al_u2481 (
.a({open_n142954,\u_logic/_al_u2297_o }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u780_o }),
.c({\u_logic/Nxkbx6 [24],\u_logic/_al_u2288_o }),
.d({\u_logic/_al_u2505_o ,\u_logic/N5fpw6 [16]}),
.f({\u_logic/_al_u2506_o ,\u_logic/_al_u2481_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(0*D)*~(B*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(1*D)*~(B*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000101100001011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u250|u_logic/_al_u1628 (
.a({open_n142979,\u_logic/_al_u1258_o }),
.b({open_n142980,\u_logic/_al_u1442_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u1449_o }),
.d({\u_logic/E90iu6 ,\u_logic/_al_u1451_o }),
.e({open_n142983,\u_logic/Ssjax6 }),
.f({\u_logic/Mifpw6 [1],\u_logic/_al_u1628_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2510|u_logic/_al_u2489 (
.a({open_n143004,\u_logic/A3iiu6 }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2288_o }),
.c({\u_logic/Nxkbx6 [27],\u_logic/N5fpw6 [18]}),
.d({\u_logic/_al_u2509_o ,\u_logic/Nxkbx6 [20]}),
.f({\u_logic/_al_u2510_o ,\u_logic/Xf7pw6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(B)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u2514 (
.b({open_n143027,\u_logic/_al_u2297_o }),
.c({open_n143028,\u_logic/_al_u850_o }),
.d({open_n143031,\u_logic/S45pw6 }),
.f({open_n143045,\u_logic/_al_u2514_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*C)*~(B*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2517|u_logic/_al_u2533 (
.a({\u_logic/_al_u2297_o ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u928_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/N5fpw6 [12]}),
.d({\u_logic/N5fpw6 [7],\u_logic/Nxkbx6 [14]}),
.f({\u_logic/_al_u2517_o ,\u_logic/_al_u2533_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20090)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*~B))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2518|u_logic/Vpgbx6_reg (
.b({\u_logic/A3iiu6 ,\u_logic/_al_u1337_o }),
.c({\u_logic/Nxkbx6 [9],\u_logic/Gdqow6 }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/_al_u2517_o ,\u_logic/_al_u1331_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2518_o ,HWDATA[22]}),
.q({open_n143092,\u_logic/Vpgbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20090)
// ../rtl/topmodule/cortexm0ds_logic.v(17451)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u251|u_logic/E7npw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Panow6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[20] ,\u_logic/vis_r5_o[27] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[20] ,\u_logic/vis_r3_o[27] }),
.mi({open_n143103,\u_logic/E1miu6 }),
.f({\u_logic/_al_u251_o ,\u_logic/_al_u295_o }),
.q({open_n143108,\u_logic/vis_r0_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17451)
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000011101110111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2521|u_logic/_al_u2614 (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u2297_o }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u899_o }),
.c({\u_logic/N5fpw6 [9],\u_logic/_al_u2288_o }),
.d({\u_logic/Nxkbx6 [11],\u_logic/N5fpw6 [3]}),
.f({\u_logic/_al_u2521_o ,\u_logic/_al_u2614_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17553)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2522|u_logic/Ibqpw6_reg (
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2589_o }),
.c({\u_logic/_al_u942_o ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2521_o ,\u_logic/_al_u2587_o }),
.f({\u_logic/_al_u2522_o ,open_n143145}),
.q({open_n143149,\u_logic/Ibqpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17553)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2525|u_logic/_al_u2529 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/N5fpw6 [10],\u_logic/N5fpw6 [6]}),
.d({\u_logic/Nxkbx6 [12],\u_logic/Nxkbx6 [8]}),
.f({\u_logic/_al_u2525_o ,\u_logic/_al_u2529_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2526|u_logic/_al_u1399 (
.a({open_n143170,\u_logic/_al_u1398_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/Gdqow6 }),
.c({\u_logic/_al_u949_o ,\u_logic/Lm1iu6 }),
.d({\u_logic/_al_u2525_o ,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u2526_o ,\u_logic/Khvow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19750)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u252|u_logic/D66bx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r0_o[20] ,\u_logic/vis_r0_o[27] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[20] ,\u_logic/vis_r6_o[27] }),
.mi({open_n143194,\u_logic/E1miu6 }),
.f({\u_logic/_al_u252_o ,\u_logic/_al_u296_o }),
.q({open_n143210,\u_logic/vis_r3_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(19750)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2530|u_logic/_al_u2546 (
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2297_o }),
.c({\u_logic/_al_u935_o ,\u_logic/_al_u878_o }),
.d({\u_logic/_al_u2529_o ,\u_logic/_al_u2545_o }),
.f({\u_logic/_al_u2530_o ,\u_logic/_al_u2546_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*D))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000001100001111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2535|u_logic/_al_u1150 (
.a({\u_logic/_al_u2471_o ,open_n143233}),
.b({\u_logic/_al_u2469_o ,\u_logic/I8lax6 }),
.c({\u_logic/E54iu6 ,\u_logic/Wvgax6 }),
.d({\u_logic/Xpxax6 ,\u_logic/J71iu6_lutinv }),
.f({\u_logic/Mjmiu6 ,\u_logic/_al_u1150_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2538|u_logic/_al_u2494 (
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2297_o }),
.c({\u_logic/_al_u759_o ,\u_logic/_al_u801_o }),
.d({\u_logic/_al_u2537_o ,\u_logic/Uw6pw6 }),
.f({\u_logic/_al_u2538_o ,\u_logic/_al_u2494_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19946)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2539|u_logic/F8cbx6_reg (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2510_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/Jl8iu6 }),
.c({\u_logic/L54iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Sb8ax6 ,\u_logic/Mzkiu6 }),
.e({open_n143281,\u_logic/vis_pc_o[25] }),
.f({\u_logic/Ugmiu6 ,open_n143297}),
.q({open_n143301,\u_logic/F8cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19946)
// ../rtl/topmodule/cortexm0ds_logic.v(17849)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u253|u_logic/Hkxpw6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r3_o[20] ,\u_logic/vis_r0_o[10] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[20] ,\u_logic/vis_r7_o[10] }),
.mi({open_n143312,\u_logic/Hymiu6 }),
.f({\u_logic/U37pw6 ,\u_logic/_al_u374_o }),
.q({open_n143317,\u_logic/vis_r0_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17849)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2542|u_logic/_al_u2498 (
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2297_o }),
.c({\u_logic/_al_u766_o ,\u_logic/_al_u808_o }),
.d({\u_logic/_al_u2541_o ,\u_logic/Qn6pw6 }),
.f({\u_logic/_al_u2542_o ,\u_logic/_al_u2498_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2545|u_logic/_al_u2604 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.c(\u_logic/N5fpw6 [5:4]),
.d(\u_logic/Nxkbx6 [7:6]),
.f({\u_logic/_al_u2545_o ,\u_logic/_al_u2604_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18119)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(0*C)*~(B*~A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(D*~(1*C)*~(B*~A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010011111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111010011111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2547|u_logic/Sb8ax6_reg (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2538_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/Jl8iu6 }),
.c({\u_logic/Xi4iu6 ,\u_logic/Ok8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ua9bx6 ,\u_logic/Ugmiu6 }),
.e({open_n143369,\u_logic/vis_pc_o[13] }),
.f({\u_logic/Zokiu6 ,open_n143385}),
.q({open_n143389,\u_logic/Sb8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18119)
// ../rtl/topmodule/cortexm0ds_logic.v(17546)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u254|u_logic/Ixppw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/_al_u293_o }),
.b({\u_logic/X7now6_lutinv ,\u_logic/_al_u294_o }),
.c({\u_logic/vis_r6_o[20] ,\u_logic/_al_u295_o }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[20] ,\u_logic/_al_u296_o }),
.mi({open_n143400,\u_logic/X1liu6 }),
.f({\u_logic/_al_u254_o ,\u_logic/A70iu6 }),
.q({open_n143405,\u_logic/vis_r1_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17546)
// ../rtl/topmodule/cortexm0ds_logic.v(17750)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(B*~A))"),
//.LUTF1("(~C*~(~A*~(D*B)))"),
//.LUTG0("(~(~D*~C)*~(B*~A))"),
//.LUTG1("(~C*~(~A*~(D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101110110000),
.INIT_LUTF1(16'b0000111000001010),
.INIT_LUTG0(16'b1011101110110000),
.INIT_LUTG1(16'b0000111000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2551|u_logic/R3vpw6_reg (
.a({\u_logic/_al_u2549_o ,\u_logic/_al_u2660_o }),
.b({\u_logic/_al_u2550_o ,\u_logic/_al_u2690_o }),
.c({\u_logic/Hirpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Ufopw6 ,\u_logic/R3vpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2551_o ,open_n143423}),
.q({open_n143427,\u_logic/R3vpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17750)
EG_PHY_MSLICE #(
//.LUT0("(0*~(~A*~(~D*C*B)))"),
//.LUT1("(1*~(~A*~(~D*C*B)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1010101011101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2552 (
.a({\u_logic/_al_u2551_o ,\u_logic/_al_u2551_o }),
.b({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.mi({open_n143440,\u_logic/F9vpw6 }),
.fx({open_n143445,\u_logic/_al_u2552_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(A*~(0*~(~B*~(D*C))))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(A*~(1*~(~B*~(D*C))))"),
.INIT_LUTF0(16'b1111000100110011),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b1111000111110011),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2554|u_logic/_al_u2553 (
.a({\u_logic/_al_u2553_o ,\u_logic/_al_u153_o }),
.b({\u_logic/_al_u158_o ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/_al_u1095_o ,\u_logic/Hirpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/R3vpw6 }),
.e({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2554_o ,\u_logic/_al_u2553_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTF1("(D*~(C*B*~A))"),
//.LUTG0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTG1("(D*~(C*B*~A))"),
.INIT_LUTF0(16'b0011010100111111),
.INIT_LUTF1(16'b1011111100000000),
.INIT_LUTG0(16'b0011010100111111),
.INIT_LUTG1(16'b1011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2556|u_logic/_al_u2555 (
.a({\u_logic/_al_u2552_o ,\u_logic/_al_u121_o }),
.b({\u_logic/_al_u2554_o ,\u_logic/_al_u394_o }),
.c({\u_logic/_al_u2555_o ,\u_logic/Vygax6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2556_o ,\u_logic/_al_u2555_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(0*D))"),
//.LUT1("(~C*~B*~A*~(1*D))"),
.INIT_LUT0(16'b0000000100000001),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2558 (
.a({\u_logic/_al_u2557_o ,\u_logic/_al_u2557_o }),
.b({\u_logic/_al_u2283_o ,\u_logic/_al_u2283_o }),
.c({\u_logic/Nz2ju6 ,\u_logic/Nz2ju6 }),
.d({\u_logic/_al_u159_o ,\u_logic/_al_u159_o }),
.mi({open_n143506,\u_logic/_al_u670_o }),
.fx({open_n143511,\u_logic/_al_u2558_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19759)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u255|u_logic/Do6bx6_reg (
.a({\u_logic/_al_u251_o ,\u_logic/Kmqow6 }),
.b({\u_logic/_al_u252_o ,\u_logic/Cpqow6 }),
.c({\u_logic/U37pw6 ,\u_logic/vis_r6_o[10] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u254_o ,\u_logic/vis_r0_o[10] }),
.mi({open_n143517,\u_logic/Hymiu6 }),
.f({\u_logic/X80iu6 ,\u_logic/_al_u433_o }),
.q({open_n143533,\u_logic/vis_r3_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(19759)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2563|u_logic/_al_u641 (
.a({\u_logic/_al_u2556_o ,open_n143534}),
.b({\u_logic/_al_u2559_o ,open_n143535}),
.c({\u_logic/_al_u2560_o ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u2562_o ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2563_o ,\u_logic/T23ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*A)"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~1*~D*C*B*A)"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2564|u_logic/_al_u411 (
.a({open_n143560,\u_logic/_al_u142_o }),
.b({\u_logic/_al_u685_o ,\u_logic/Pthiu6 }),
.c({\u_logic/D1piu6_lutinv ,\u_logic/_al_u410_o }),
.d({\u_logic/_al_u2563_o ,\u_logic/Hirpw6 }),
.e({open_n143563,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u2564_o ,\u_logic/_al_u411_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B*~A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~(C*~B*~A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000011101111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000011101111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2565|u_logic/_al_u2847 (
.a({open_n143584,\u_logic/LOCKUP }),
.b({open_n143585,\u_logic/_al_u2844_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u2845_o }),
.d({\u_logic/_al_u2564_o ,\u_logic/_al_u2846_o }),
.f({\u_logic/_al_u2565_o ,\u_logic/R05iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18558)
EG_PHY_LSLICE #(
//.LUTF0("(~((~B*~A))*~(C)*D*~(0)+(~B*~A)*~(C)*D*~(0)+(~B*~A)*C*D*~(0)+(~B*~A)*C*~(D)*0+~((~B*~A))*~(C)*D*0+(~B*~A)*~(C)*D*0+(~B*~A)*C*D*0)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~((~B*~A))*~(C)*D*~(1)+(~B*~A)*~(C)*D*~(1)+(~B*~A)*C*D*~(1)+(~B*~A)*C*~(D)*1+~((~B*~A))*~(C)*D*1+(~B*~A)*~(C)*D*1+(~B*~A)*C*D*1)"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001111100000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0001111100010000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2566|u_logic/J0iax6_reg (
.a({open_n143610,\u_logic/_al_u2565_o }),
.b({open_n143611,\u_logic/_al_u2568_o }),
.c({\u_logic/L6lax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2565_o ,\u_logic/J0iax6 }),
.e({open_n143613,\u_logic/L6lax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2566_o ,open_n143628}),
.q({open_n143632,\u_logic/J0iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18558)
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(~D*B))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000101000000010),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u2567|u_logic/_al_u1579 (
.a({open_n143633,\u_logic/_al_u1578_o }),
.b({\u_logic/_al_u398_o ,\u_logic/Vo3ju6_lutinv }),
.c({\u_logic/Yp8iu6 ,\u_logic/_al_u121_o }),
.d({\u_logic/_al_u669_o ,\u_logic/_al_u685_o }),
.f({\u_logic/_al_u2567_o ,\u_logic/_al_u1579_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTG0("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2568 (
.c({open_n143658,\u_logic/_al_u2567_o }),
.d({open_n143661,\u_logic/_al_u2563_o }),
.f({open_n143679,\u_logic/_al_u2568_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2570|u_logic/_al_u2580 (
.a({\u_logic/A3iiu6 ,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/N5fpw6 [23],\u_logic/N5fpw6 [24]}),
.d({\u_logic/Nxkbx6 [25],\u_logic/Nxkbx6 [26]}),
.f({\u_logic/Ew5pw6 ,\u_logic/An5pw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000011101110111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2571|u_logic/_al_u2501 (
.a({open_n143705,\u_logic/_al_u2297_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u815_o }),
.c({\u_logic/_al_u829_o ,\u_logic/_al_u2288_o }),
.d({\u_logic/Ew5pw6 ,\u_logic/N5fpw6 [21]}),
.f({\u_logic/_al_u2571_o ,\u_logic/_al_u2501_o }));
EG_PHY_MSLICE #(
//.LUT0("~((D*~C*A)*~(B)*~(0)+(D*~C*A)*B*~(0)+~((D*~C*A))*B*0+(D*~C*A)*B*0)"),
//.LUT1("~((D*~C*A)*~(B)*~(1)+(D*~C*A)*B*~(1)+~((D*~C*A))*B*1+(D*~C*A)*B*1)"),
.INIT_LUT0(16'b1111010111111111),
.INIT_LUT1(16'b0011001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2572 (
.a({\u_logic/Nsoiu6_lutinv ,\u_logic/Nsoiu6_lutinv }),
.b({\u_logic/D6kiu6_lutinv ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Wkipw6 ,\u_logic/Wkipw6 }),
.mi({open_n143742,\u_logic/Xxupw6 }),
.fx({open_n143747,\u_logic/_al_u2572_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*A*~(C*~B))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1000101000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2574|u_logic/_al_u1818 (
.a({open_n143750,\u_logic/_al_u1816_o }),
.b({open_n143751,\u_logic/_al_u1817_o }),
.c({\u_logic/Sojax6 ,\u_logic/Skjax6 }),
.d({\u_logic/Nbkiu6_lutinv ,\u_logic/Sojax6 }),
.f({\u_logic/Wa0ju6 ,\u_logic/_al_u1818_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2575|u_logic/_al_u2434 (
.a({\u_logic/_al_u2573_o ,open_n143772}),
.b({\u_logic/Wa0ju6 ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u1592_o ,\u_logic/Vzupw6 }),
.d({\u_logic/_al_u2323_o ,\u_logic/Nu9ow6 }),
.f({\u_logic/U19iu6 ,\u_logic/_al_u2434_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~B))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(~D*~(C*~B))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000000011001111),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b0000000011001111),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2576|u_logic/_al_u2472 (
.b({\u_logic/_al_u2572_o ,\u_logic/_al_u1153_o }),
.c({\u_logic/U19iu6 ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.f({\u_logic/Ql8iu6 ,\u_logic/Jl8iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~(1*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0101000011110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001000000110000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2577|u_logic/_al_u2578 (
.a({\u_logic/_al_u2471_o ,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u2469_o ,\u_logic/Ql8iu6 }),
.c({\u_logic/D84iu6 ,\u_logic/Gwkiu6 }),
.d({\u_logic/Tgkbx6 ,\u_logic/vis_pc_o[23] }),
.e({open_n143825,\u_logic/vis_tbit_o }),
.f({\u_logic/Gwkiu6 ,\u_logic/_al_u2578_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*C)*~(B*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000011101110111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000011101110111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2581|u_logic/_al_u2509 (
.a({open_n143846,\u_logic/_al_u2297_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u843_o }),
.c({\u_logic/_al_u836_o ,\u_logic/_al_u2288_o }),
.d({\u_logic/An5pw6 ,\u_logic/N5fpw6 [25]}),
.f({\u_logic/_al_u2581_o ,\u_logic/_al_u2509_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*~D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000000000001100),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2582|u_logic/_al_u1298 (
.a({\u_logic/Ok8iu6 ,open_n143871}),
.b({\u_logic/_al_u2469_o ,\u_logic/I8lax6 }),
.c({\u_logic/K84iu6 ,\u_logic/Wvgax6 }),
.d({\u_logic/vis_pc_o[24] ,\u_logic/_al_u1138_o }),
.f({\u_logic/_al_u2582_o ,\u_logic/Gdqow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111110000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2583|u_logic/_al_u4597 (
.b({open_n143894,\u_logic/_al_u4596_o }),
.c({\u_logic/U19iu6 ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/_al_u2572_o ,\u_logic/Eccow6 }),
.f({\u_logic/_al_u2583_o ,\u_logic/_al_u4597_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18552)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("~((C*B)*~(D)*~(A)+(C*B)*D*~(A)+~((C*B))*D*A+(C*B)*D*A)"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("~((C*B)*~(D)*~(A)+(C*B)*D*~(A)+~((C*B))*D*A+(C*B)*D*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0001010110111111),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001010110111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2584|u_logic/Nyhax6_reg (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u2583_o ,\u_logic/_al_u4611_o }),
.c({\u_logic/vis_control_o ,\u_logic/_al_u4718_o }),
.clk(clk_pad),
.d({\u_logic/Nwbbx6 ,\u_logic/n3708 }),
.e({open_n143916,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2584_o ,open_n143931}),
.q({open_n143935,\u_logic/vis_pc_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18552)
// ../rtl/topmodule/cortexm0ds_logic.v(18757)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2586|u_logic/Wdmax6_reg (
.a({\u_logic/A3iiu6 ,open_n143936}),
.b({\u_logic/_al_u2288_o ,\u_logic/Q7miu6 }),
.c({\u_logic/N5fpw6 [27],\u_logic/X7miu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [29],\u_logic/_al_u4454_o }),
.f({\u_logic/Ov4pw6 ,\u_logic/C7miu6 }),
.q({open_n143957,\u_logic/vis_r10_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18757)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2587 (
.b({open_n143960,\u_logic/_al_u2297_o }),
.c({open_n143961,\u_logic/_al_u857_o }),
.d({open_n143964,\u_logic/Ov4pw6 }),
.f({open_n143982,\u_logic/_al_u2587_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(0*B)*~(D*A))"),
//.LUT1("(C*~(1*B)*~(D*A))"),
.INIT_LUT0(16'b0101000011110000),
.INIT_LUT1(16'b0001000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2589 (
.a({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.b({\u_logic/Ql8iu6 ,\u_logic/Ql8iu6 }),
.c({\u_logic/Ocniu6 ,\u_logic/Ocniu6 }),
.d({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[27] }),
.mi({open_n144000,\u_logic/vis_apsr_o[0] }),
.fx({open_n144005,\u_logic/_al_u2589_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18540)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("(C*~(0*B)*~(D*A))"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("(C*~(1*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0101000011110000),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2592|u_logic/Vuhax6_reg (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u4609_o }),
.b({\u_logic/Ql8iu6 ,\u_logic/_al_u4617_o }),
.c({\u_logic/Mj8iu6 ,\u_logic/_al_u4724_o }),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[28] ,\u_logic/n3708 }),
.e({\u_logic/vis_apsr_o[1] ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2592_o ,open_n144023}),
.q({open_n144027,\u_logic/vis_pc_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18540)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2594|u_logic/_al_u4770 (
.a({\u_logic/Ql8iu6 ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u2471_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_apsr_o[2] ,\u_logic/vis_pc_o[28] }),
.d({\u_logic/F6dbx6 ,\u_logic/Zsfpw6 [28]}),
.f({\u_logic/_al_u2594_o ,\u_logic/Cfziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2595|u_logic/_al_u4742 (
.a({open_n144052,\u_logic/_al_u4635_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u4741_o }),
.c({\u_logic/T94iu6 ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/_al_u2594_o ,\u_logic/Zsfpw6 [9]}),
.f({\u_logic/_al_u2595_o ,\u_logic/_al_u4742_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18528)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("(C*~(D*B)*~(0*A))"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("(C*~(D*B)*~(1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2598|u_logic/Drhax6_reg (
.a({\u_logic/Ok8iu6 ,\u_logic/_al_u4609_o }),
.b({\u_logic/Ql8iu6 ,\u_logic/_al_u4623_o }),
.c({\u_logic/Pmoiu6 ,\u_logic/_al_u4765_o }),
.clk(clk_pad),
.d({\u_logic/vis_apsr_o[3] ,\u_logic/n3708 }),
.e({\u_logic/vis_pc_o[30] ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u2598_o ,open_n144088}),
.q({open_n144092,\u_logic/vis_pc_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18528)
// ../rtl/topmodule/cortexm0ds_logic.v(17324)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*C))"),
//.LUTF1("(A*~(D*C*~B))"),
//.LUTG0("~(B*~A*~(D*C))"),
//.LUTG1("(A*~(D*C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101110111011),
.INIT_LUTF1(16'b1000101010101010),
.INIT_LUTG0(16'b1111101110111011),
.INIT_LUTG1(16'b1000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2602|u_logic/I1lpw6_reg (
.a({\u_logic/Jz8iu6 ,\u_logic/_al_u2600_o }),
.b({\u_logic/_al_u2471_o ,\u_logic/_al_u2602_o }),
.c({\u_logic/_al_u2583_o ,\u_logic/Ql8iu6 }),
.clk(clk_pad),
.d({\u_logic/vis_primask_o ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/_al_u2602_o ,open_n144111}),
.q({open_n144115,\u_logic/I1lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17324)
// ../rtl/topmodule/cortexm0ds_logic.v(17203)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*~C))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(B*~A*~(D*~C))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111110111011),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1011111110111011),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2605|u_logic/Wgipw6_reg (
.a({open_n144116,\u_logic/_al_u1276_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u1386_o }),
.c({\u_logic/_al_u921_o ,\u_logic/_al_u1392_o }),
.ce(\u_logic/n1200 ),
.clk(clk_pad),
.d({\u_logic/_al_u2604_o ,\u_logic/Gdqow6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2605_o ,HWDATA[30]}),
.q({open_n144136,\u_logic/Wgipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17203)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2610|u_logic/_al_u2609 (
.a({open_n144137,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u914_o ,\u_logic/N5fpw6 [2]}),
.d({\u_logic/_al_u2609_o ,\u_logic/Nxkbx6 [4]}),
.f({\u_logic/_al_u2610_o ,\u_logic/_al_u2609_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B)*~(0*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~(D*B)*~(1*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2611|u_logic/_al_u2607 (
.a({\u_logic/_al_u2471_o ,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u2469_o ,\u_logic/Ql8iu6 }),
.c({\u_logic/Df4iu6 ,\u_logic/Ykkiu6 }),
.d({\u_logic/T5yax6 ,\u_logic/vis_ipsr_o[5] }),
.e({open_n144164,\u_logic/vis_pc_o[4] }),
.f({\u_logic/Qgkiu6 ,\u_logic/_al_u2607_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2615|u_logic/_al_u2597 (
.a({open_n144185,\u_logic/_al_u2471_o }),
.b({\u_logic/A3iiu6 ,\u_logic/_al_u2469_o }),
.c({\u_logic/Nxkbx6 [5],\u_logic/Lm1iu6 }),
.d({\u_logic/_al_u2614_o ,\u_logic/Usnpw6 }),
.f({\u_logic/_al_u2615_o ,\u_logic/Pmoiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18969)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2616|u_logic/Wtxax6_reg (
.a({\u_logic/_al_u2471_o ,open_n144206}),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2617_o }),
.c({\u_logic/H34iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Wtxax6 ,\u_logic/_al_u2615_o }),
.f({\u_logic/B0iiu6 ,open_n144221}),
.q({open_n144225,\u_logic/Wtxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18969)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B)*~(0*A))"),
//.LUTF1("(C*~(D*B)*~(0*A))"),
//.LUTG0("(C*~(D*B)*~(1*A))"),
//.LUTG1("(C*~(D*B)*~(1*A))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2617|u_logic/_al_u2612 (
.a({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.b({\u_logic/Ql8iu6 ,\u_logic/Ql8iu6 }),
.c({\u_logic/B0iiu6 ,\u_logic/Qgkiu6 }),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_ipsr_o[3] }),
.e({\u_logic/vis_pc_o[3] ,\u_logic/vis_pc_o[2] }),
.f({\u_logic/_al_u2617_o ,\u_logic/_al_u2612_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2620|u_logic/_al_u2619 (
.a({open_n144248,\u_logic/A3iiu6 }),
.b({\u_logic/_al_u2297_o ,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u885_o ,\u_logic/N5fpw6 [8]}),
.d({\u_logic/_al_u2619_o ,\u_logic/Nxkbx6 [10]}),
.f({\u_logic/_al_u2620_o ,\u_logic/_al_u2619_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2621|u_logic/_al_u1353 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u1138_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/Qk9pw6_lutinv }),
.c({\u_logic/Ym4iu6 ,\u_logic/I8lax6 }),
.d({\u_logic/Kn1qw6 ,\u_logic/Wvgax6 }),
.f({\u_logic/Z0niu6 ,\u_logic/_al_u1353_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(B*~(D*~C*A))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1100010011001100),
.MODE("LOGIC"))
\u_logic/_al_u2622|u_logic/_al_u4467 (
.a({\u_logic/Ql8iu6 ,open_n144289}),
.b({\u_logic/Z0niu6 ,\u_logic/_al_u4466_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u4432_o }),
.d({\u_logic/F26bx6 ,\u_logic/_al_u3847_o }),
.f({\u_logic/_al_u2622_o ,\u_logic/Rcliu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2624|u_logic/_al_u1617 (
.a({\u_logic/_al_u2550_o ,\u_logic/_al_u688_o }),
.b({\u_logic/_al_u154_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u156_o ,\u_logic/Hgrpw6 }),
.d({\u_logic/Frziu6_lutinv ,\u_logic/T1vpw6 }),
.f({\u_logic/_al_u2624_o ,\u_logic/_al_u1617_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*~A*~(D*B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*~A*~(D*B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000100000101),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2627|u_logic/_al_u2727 (
.a({\u_logic/_al_u2624_o ,open_n144334}),
.b({\u_logic/Jxaiu6 ,\u_logic/_al_u155_o }),
.c({\u_logic/_al_u2561_o ,\u_logic/D6kiu6_lutinv }),
.d({\u_logic/Y7cpw6_lutinv ,\u_logic/_al_u2146_o }),
.f({\u_logic/_al_u2627_o ,\u_logic/_al_u2727_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2628|u_logic/_al_u639 (
.c({\u_logic/_al_u1097_o ,\u_logic/Yvjpw6 }),
.d({\u_logic/_al_u684_o ,\u_logic/_al_u638_o }),
.f({\u_logic/_al_u2628_o ,\u_logic/Us2ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~C*B*~(D*~A)))"),
//.LUT1("(1*~(~C*B*~(D*~A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111011111110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2629 (
.a({\u_logic/P0biu6 ,\u_logic/P0biu6 }),
.b({\u_logic/_al_u2627_o ,\u_logic/_al_u2627_o }),
.c({\u_logic/_al_u2628_o ,\u_logic/_al_u2628_o }),
.d({\u_logic/_al_u2248_o ,\u_logic/_al_u2248_o }),
.mi({open_n144399,\u_logic/F9vpw6 }),
.fx({open_n144404,\u_logic/_al_u2629_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u262|u_logic/_al_u268 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Q80iu6 ,\u_logic/J80iu6 }),
.f({\u_logic/Mifpw6 [21],\u_logic/Mifpw6 [22]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18727)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*C*B*~A)"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("~(~D*C*B*~A)"),
//.LUTG1("(~A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110111111),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b1111111110111111),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2632|u_logic/I8lax6_reg (
.a({\u_logic/_al_u2631_o ,\u_logic/_al_u642_o }),
.b({\u_logic/Mfjiu6 ,\u_logic/Yavow6 }),
.c({\u_logic/_al_u1097_o ,\u_logic/Lv7ow6 }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/Vygax6 ,\u_logic/_al_u651_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2632_o ,\u_logic/Fnpiu6 }),
.q({open_n144454,\u_logic/I8lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18727)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("~(C*~D)"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("~(C*~D)"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b1111111100001111),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1111111100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2633|u_logic/_al_u2857 (
.a({open_n144455,\u_logic/Vihiu6_lutinv }),
.b({open_n144456,\u_logic/_al_u2856_o }),
.c({\u_logic/_al_u2632_o ,\u_logic/_al_u2853_o }),
.d({\u_logic/_al_u2629_o ,\u_logic/U8jax6 }),
.f({\u_logic/LOCKUP ,\u_logic/_al_u2857_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~A*~(D*C*B)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(1*~(~A*~(D*C*B)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1110101010101010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2634|u_logic/_al_u2562 (
.a({\u_logic/_al_u121_o ,\u_logic/_al_u2561_o }),
.b({\u_logic/_al_u148_o ,\u_logic/Vo3ju6_lutinv }),
.c({\u_logic/_al_u156_o ,\u_logic/_al_u121_o }),
.d({\u_logic/_al_u685_o ,\u_logic/Pt2ju6 }),
.e({open_n144483,\u_logic/F9vpw6 }),
.f({\u_logic/_al_u2634_o ,\u_logic/_al_u2562_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17465)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~((~B*~A))*~(C)+~D*(~B*~A)*~(C)+~(~D)*(~B*~A)*C+~D*(~B*~A)*C)"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("~(~D*~((~B*~A))*~(C)+~D*(~B*~A)*~(C)+~(~D)*(~B*~A)*C+~D*(~B*~A)*C)"),
//.LUTG1("(B*~(~C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111100000),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b1110111111100000),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2639|u_logic/Fnnpw6_reg (
.a({open_n144504,\u_logic/_al_u4233_o }),
.b({\u_logic/Wtaiu6 ,\u_logic/_al_u4234_o }),
.c({\u_logic/F9vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2637_o ,\u_logic/Fnnpw6 }),
.sr(cpuresetn),
.f({\u_logic/Li5iu6 ,open_n144522}),
.q({open_n144526,\u_logic/Fnnpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17465)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2641|u_logic/_al_u4457 (
.c({\u_logic/vis_pc_o[0] ,HRDATA[16]}),
.d({\u_logic/_al_u2566_o ,\u_logic/Q2eow6 }),
.f({\u_logic/Vtzhu6 ,\u_logic/_al_u4457_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(D*~C)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*~(~A*~(D*~C)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000110010001000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000110010001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2642|u_logic/_al_u2600 (
.a({open_n144551,\u_logic/Ay8iu6 }),
.b({open_n144552,\u_logic/Jl8iu6 }),
.c({\u_logic/J0iax6 ,\u_logic/U19iu6 }),
.d({\u_logic/_al_u2565_o ,\u_logic/vis_tbit_o }),
.f({\u_logic/_al_u2642_o ,\u_logic/_al_u2600_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111110011000000),
.MODE("LOGIC"))
\u_logic/_al_u2643|u_logic/_al_u954 (
.a({open_n144577,\u_logic/vis_pc_o[29] }),
.b({\u_logic/_al_u2642_o ,\u_logic/vis_pc_o[30] }),
.c({\u_logic/vis_pc_o[1] ,\u_logic/vis_pc_o[27] }),
.d({\u_logic/Vtzhu6 ,\u_logic/Zdiax6 }),
.f({\u_logic/R0ghu6 ,\u_logic/_al_u954_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2644|u_logic/_al_u2645 (
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u2564_o ,\u_logic/_al_u2568_o }),
.f({\u_logic/Egziu6 ,\u_logic/Zgziu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17891)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(~B*~(~D*~(0*A))))"),
//.LUTF1("(~C*~B*~A*~(~0*~D))"),
//.LUTG0("~(C*~(~B*~(~D*~(1*A))))"),
//.LUTG1("(~C*~B*~A*~(~1*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100001111),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0011111100101111),
.INIT_LUTG1(16'b0000000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2646|u_logic/Jrypw6_reg (
.a({\u_logic/Fq8iu6 ,\u_logic/_al_u956_o }),
.b({\u_logic/Fr0iu6_lutinv ,\u_logic/_al_u960_o }),
.c({\u_logic/Yp8iu6 ,\u_logic/G7aiu6_lutinv }),
.ce(\u_logic/Jy9iu6 ),
.clk(clk_pad),
.d({\u_logic/P5vpw6 ,\u_logic/vis_control_o }),
.e({\u_logic/Ufopw6 ,\u_logic/vis_pc_o[1] }),
.f({\u_logic/_al_u2646_o ,open_n144637}),
.q({open_n144641,\u_logic/Jrypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17891)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(C*B*~D)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000000011000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000011000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2648|u_logic/_al_u146 (
.b({\u_logic/Wofiu6_lutinv ,\u_logic/_al_u145_o }),
.c({\u_logic/Rskax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u1154_o ,\u_logic/_al_u144_o }),
.f({\u_logic/_al_u2648_o ,\u_logic/Jzmiu6 }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*~B*~(~C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0011000100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2651|FM_HW/FM_Demodulation/reg5_b209 (
.a({\u_logic/_al_u407_o ,open_n144668}),
.b({\u_logic/_al_u411_o ,\u_logic/Gr2qw6 }),
.c({\u_logic/Dg2qw6 ,\u_logic/Isjpw6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/Uh2qw6 ,\u_logic/Iv1iu6 }),
.mi({open_n144679,\FM_HW/FM_Demodulation/demodulated_signal_temp [16]}),
.f({\u_logic/_al_u2651_o ,\u_logic/_al_u2979_o }),
.q({open_n144684,\FM_HW/FM_Demodulation/dmd_data_filter[20] [9]})); // ../rtl/demodulation/FM_Demodulation.v(88)
// ../rtl/topmodule/cortexm0ds_logic.v(17503)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(~B*~(~C*~(D*~A)))"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(~B*~(~C*~(D*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b0011000100110000),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b0011000100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2655|u_logic/Xbopw6_reg (
.a({\u_logic/P0biu6 ,open_n144685}),
.b({\u_logic/_al_u155_o ,open_n144686}),
.c({\u_logic/Aujpw6 ,\u_logic/SLEEPHOLDACKn }),
.clk(clk_pad),
.d({\u_logic/T1vpw6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2655_o ,open_n144704}),
.q({open_n144708,\u_logic/SLEEPHOLDACKn })); // ../rtl/topmodule/cortexm0ds_logic.v(17503)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2656|u_logic/_al_u193 (
.b({\u_logic/Llaow6_lutinv ,open_n144711}),
.c({\u_logic/Vygax6 ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/_al_u2655_o ,\u_logic/Bciax6 }),
.f({\u_logic/_al_u2656_o ,\u_logic/Ae0iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(~(D*B)*~(C*A)))"),
//.LUT1("(~1*~(~(D*B)*~(C*A)))"),
.INIT_LUT0(16'b1110110010100000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2657 (
.a({\u_logic/_al_u158_o ,\u_logic/_al_u158_o }),
.b({\u_logic/Kxziu6_lutinv ,\u_logic/Kxziu6_lutinv }),
.c({\u_logic/_al_u121_o ,\u_logic/_al_u121_o }),
.d({\u_logic/Sy2ju6 ,\u_logic/Sy2ju6 }),
.mi({open_n144748,\u_logic/F9vpw6 }),
.fx({open_n144753,\u_logic/_al_u2657_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*B*~(~C*~(~0*~A)))"),
//.LUT1("(D*B*~(~C*~(~1*~A)))"),
.INIT_LUT0(16'b1100010000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2659 (
.a({\u_logic/_al_u956_o ,\u_logic/_al_u956_o }),
.b({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/_al_u148_o ,\u_logic/_al_u148_o }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.mi({open_n144768,\u_logic/Xxupw6 }),
.fx({open_n144773,\u_logic/_al_u2659_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(~C*B*~A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111101100000000),
.MODE("LOGIC"))
\u_logic/_al_u2660|u_logic/_al_u1061 (
.a({\u_logic/_al_u2656_o ,open_n144776}),
.b({\u_logic/_al_u2658_o ,open_n144777}),
.c({\u_logic/_al_u2659_o ,\u_logic/_al_u154_o }),
.d({\u_logic/_al_u156_o ,\u_logic/_al_u1060_o }),
.f({\u_logic/_al_u2660_o ,\u_logic/_al_u1061_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(~A*~(D*C)))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(~A*~(D*C)))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100100010001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2662|u_logic/_al_u1599 (
.a({\u_logic/_al_u1784_o ,open_n144798}),
.b({\u_logic/Vviiu6 ,open_n144799}),
.c({\u_logic/_al_u1228_o ,\u_logic/Sojax6 }),
.d({\u_logic/P0kax6 ,\u_logic/Qxoiu6 }),
.f({\u_logic/_al_u2662_o ,\u_logic/F6ziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2663|u_logic/_al_u2661 (
.a({open_n144824,\u_logic/_al_u1824_o }),
.b({\u_logic/Kxziu6_lutinv ,\u_logic/_al_u1774_o }),
.c({\u_logic/Vygax6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/_al_u2662_o ,\u_logic/W4jax6 }),
.f({\u_logic/_al_u2663_o ,\u_logic/_al_u2661_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(~A*~(D*C*B))"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"))
\u_logic/_al_u2666|u_logic/_al_u2162 (
.a({\u_logic/_al_u2665_o ,open_n144845}),
.b({\u_logic/W0piu6_lutinv ,\u_logic/_al_u1223_o }),
.c({\u_logic/_al_u1503_o ,\u_logic/Ssjax6 }),
.d({\u_logic/_al_u153_o ,\u_logic/Nbkiu6_lutinv }),
.f({\u_logic/_al_u2666_o ,\u_logic/_al_u2162_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~(B*~(0*C))))"),
//.LUT1("(~A*~(D*~(B*~(1*C))))"),
.INIT_LUT0(16'b0100010001010101),
.INIT_LUT1(16'b0000010001010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2667 (
.a({\u_logic/_al_u2664_o ,\u_logic/_al_u2664_o }),
.b({\u_logic/_al_u2666_o ,\u_logic/_al_u2666_o }),
.c({\u_logic/_al_u2104_o ,\u_logic/_al_u2104_o }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n144878,\u_logic/W4jax6 }),
.fx({open_n144883,\u_logic/_al_u2667_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~((C*~A))*~(D)+~B*(C*~A)*~(D)+~(~B)*(C*~A)*D+~B*(C*~A)*D)"),
//.LUTF1("(B*~(A*~(D*C)))"),
//.LUTG0("~(~B*~((C*~A))*~(D)+~B*(C*~A)*~(D)+~(~B)*(C*~A)*D+~B*(C*~A)*D)"),
//.LUTG1("(B*~(A*~(D*C)))"),
.INIT_LUTF0(16'b1010111111001100),
.INIT_LUTF1(16'b1100010001000100),
.INIT_LUTG0(16'b1010111111001100),
.INIT_LUTG1(16'b1100010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2672|u_logic/_al_u3969 (
.a({\u_logic/_al_u2671_o ,\u_logic/_al_u3967_o }),
.b({\u_logic/Qe8iu6_lutinv ,\u_logic/Ab2ju6 }),
.c({\u_logic/_al_u121_o ,\u_logic/_al_u1684_o }),
.d({\u_logic/_al_u1463_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2672_o ,\u_logic/Ta2ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2674|u_logic/_al_u1450 (
.a({\u_logic/L45iu6_lutinv ,open_n144910}),
.b({\u_logic/_al_u153_o ,open_n144911}),
.c({\u_logic/_al_u121_o ,\u_logic/T1vpw6 }),
.d({\u_logic/_al_u688_o ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u2674_o ,\u_logic/Sy2ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~B*~A*~(~0*C))"),
//.LUT1("(D*~B*~A*~(~1*C))"),
.INIT_LUT0(16'b0000000100000000),
.INIT_LUT1(16'b0001000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2675 (
.a({\u_logic/_al_u2674_o ,\u_logic/_al_u2674_o }),
.b({\u_logic/_al_u1805_o ,\u_logic/_al_u1805_o }),
.c({\u_logic/Glaiu6 ,\u_logic/Glaiu6 }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.mi({open_n144948,\u_logic/T1vpw6 }),
.fx({open_n144953,\u_logic/_al_u2675_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~B*~(0*D*A)))"),
//.LUTF1("(~A*~(~D*~(C*~B)))"),
//.LUTG0("(C*~(~B*~(1*D*A)))"),
//.LUTG1("(~A*~(~D*~(C*~B)))"),
.INIT_LUTF0(16'b1100000011000000),
.INIT_LUTF1(16'b0101010100010000),
.INIT_LUTG0(16'b1110000011000000),
.INIT_LUTG1(16'b0101010100010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2676|u_logic/_al_u2677 (
.a({\u_logic/Ae0iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.b({\u_logic/Aujpw6 ,\u_logic/_al_u2676_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u398_o }),
.d({\u_logic/Xxupw6 ,\u_logic/Frziu6_lutinv }),
.e({open_n144958,\u_logic/Aujiu6 }),
.f({\u_logic/_al_u2676_o ,\u_logic/_al_u2677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*A*~(~0*~B))"),
//.LUTF1("(~C*A*~(D*B))"),
//.LUTG0("(D*C*A*~(~1*~B))"),
//.LUTG1("(~C*A*~(D*B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000001000001010),
.INIT_LUTG0(16'b1010000000000000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2678|u_logic/_al_u1809 (
.a({\u_logic/_al_u2675_o ,\u_logic/_al_u1787_o }),
.b({\u_logic/_al_u1815_o ,\u_logic/_al_u1791_o }),
.c({\u_logic/_al_u2677_o ,\u_logic/_al_u1799_o }),
.d({\u_logic/_al_u1767_o ,\u_logic/_al_u1808_o }),
.e({open_n144981,\u_logic/Vygax6 }),
.f({\u_logic/_al_u2678_o ,\u_logic/_al_u1809_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((~D*~B))*~(C)+A*(~D*~B)*~(C)+~(A)*(~D*~B)*C+A*(~D*~B)*C)"),
//.LUT1("(~D*~(~C*~B*~A))"),
.INIT_LUT0(16'b1111010111000101),
.INIT_LUT1(16'b0000000011111110),
.MODE("LOGIC"))
\u_logic/_al_u2681|u_logic/_al_u2450 (
.a({\u_logic/_al_u2152_o ,\u_logic/_al_u907_o }),
.b({\u_logic/_al_u2434_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u2680_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2681_o ,\u_logic/_al_u2450_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*B)))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0000000011101010),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2682|u_logic/_al_u2329 (
.a({\u_logic/_al_u1820_o ,\u_logic/Yl6ow6_lutinv }),
.b({\u_logic/_al_u2368_o ,\u_logic/_al_u1228_o }),
.c({\u_logic/_al_u2323_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/Ssjax6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2682_o ,\u_logic/_al_u2329_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(B*~(C*(0@A))))"),
//.LUTF1("(~B*A*~(~D*C))"),
//.LUTG0("(D*~(B*~(C*(1@A))))"),
//.LUTG1("(~B*A*~(~D*C))"),
.INIT_LUTF0(16'b1011001100000000),
.INIT_LUTF1(16'b0010001000000010),
.INIT_LUTG0(16'b0111001100000000),
.INIT_LUTG1(16'b0010001000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2683|u_logic/_al_u4022 (
.a({\u_logic/_al_u2679_o ,\u_logic/_al_u3954_o }),
.b({\u_logic/_al_u2681_o ,\u_logic/_al_u4019_o }),
.c({\u_logic/Yo1ju6 ,\u_logic/_al_u4020_o }),
.d({\u_logic/_al_u2682_o ,\u_logic/_al_u4021_o }),
.e({open_n145044,\u_logic/P0kax6 }),
.f({\u_logic/_al_u2683_o ,\u_logic/_al_u4022_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D)"),
//.LUT1("(D*~(B*~(C*~A)))"),
.INIT_LUT0(16'b0000110011110101),
.INIT_LUT1(16'b0111001100000000),
.MODE("LOGIC"))
\u_logic/_al_u2685|u_logic/_al_u2150 (
.a({\u_logic/_al_u1684_o ,\u_logic/_al_u956_o }),
.b({\u_logic/_al_u2684_o ,\u_logic/R3vpw6 }),
.c({\u_logic/I82ju6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Yvjpw6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u2685_o ,\u_logic/_al_u2150_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*C)*~(~0*A))"),
//.LUTF1("(~B*~A*~(D*C))"),
//.LUTG0("(~B*~(D*C)*~(~1*A))"),
//.LUTG1("(~B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTF1(16'b0000000100010001),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2688|u_logic/_al_u1801 (
.a({\u_logic/_al_u2687_o ,\u_logic/_al_u1800_o }),
.b({\u_logic/_al_u2306_o ,\u_logic/_al_u1756_o }),
.c({\u_logic/_al_u1440_o ,\u_logic/Pu1ju6_lutinv }),
.d({\u_logic/Vygax6 ,\u_logic/_al_u1099_o }),
.e({open_n145087,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2688_o ,\u_logic/Ez1ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~(~0*B)*~(~D*~A)))"),
//.LUT1("(C*~(~(~1*B)*~(~D*~A)))"),
.INIT_LUT0(16'b1100000011010000),
.INIT_LUT1(16'b0000000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2689 (
.a({\u_logic/_al_u2688_o ,\u_logic/_al_u2688_o }),
.b({\u_logic/Nu9ow6 ,\u_logic/Nu9ow6 }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.d({\u_logic/F9vpw6 ,\u_logic/F9vpw6 }),
.mi({open_n145120,\u_logic/Wkipw6 }),
.fx({open_n145125,\u_logic/_al_u2689_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2690|u_logic/_al_u4029 (
.a({\u_logic/_al_u2667_o ,open_n145128}),
.b({\u_logic/_al_u2683_o ,\u_logic/_al_u154_o }),
.c({\u_logic/_al_u2685_o ,\u_logic/Ydopw6 }),
.d({\u_logic/_al_u2689_o ,\u_logic/T4aow6 }),
.f({\u_logic/_al_u2690_o ,\u_logic/_al_u4029_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~(C*~A)))"),
//.LUTF1("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("(B*~(D*~(C*~A)))"),
//.LUTG1("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b0100000011001100),
.INIT_LUTF1(16'b0000001111110011),
.INIT_LUTG0(16'b0100000011001100),
.INIT_LUTG1(16'b0000001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2692|u_logic/_al_u4550 (
.a({open_n145153,\u_logic/_al_u4276_o }),
.b({\u_logic/L6lax6 ,\u_logic/Iimow6 }),
.c({\u_logic/vis_pc_o[0] ,\u_logic/_al_u4284_o }),
.d({\u_logic/J0iax6 ,\u_logic/_al_u4434_o }),
.f({\u_logic/_al_u2692_o ,\u_logic/_al_u4550_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~B*~A*~(0*C)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~D*~(~B*~A*~(1*C)))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000011101110),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000000011111110),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2693|u_logic/_al_u3970 (
.a({open_n145178,\u_logic/_al_u1087_o }),
.b({\u_logic/_al_u142_o ,\u_logic/R3vpw6 }),
.c({\u_logic/Ufopw6 ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/I30ju6_lutinv ,\u_logic/Yvjpw6 }),
.e({open_n145181,\u_logic/Z9opw6 }),
.f({\u_logic/Zicpw6_lutinv ,\u_logic/_al_u3970_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(0*D*C)*~(B*A))"),
//.LUT1("(~(1*D*C)*~(B*A))"),
.INIT_LUT0(16'b0111011101110111),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2694 (
.a({\u_logic/_al_u148_o ,\u_logic/_al_u148_o }),
.b({\u_logic/D6kiu6_lutinv ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/_al_u1097_o ,\u_logic/_al_u1097_o }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.mi({open_n145214,\u_logic/Vygax6 }),
.fx({open_n145219,\u_logic/_al_u2694_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u2695|u_logic/_al_u1155 (
.a({\u_logic/_al_u2694_o ,open_n145222}),
.b({\u_logic/_al_u1098_o ,open_n145223}),
.c({\u_logic/Frziu6_lutinv ,\u_logic/Yvjpw6 }),
.d({\u_logic/_al_u690_o ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2695_o ,\u_logic/_al_u1155_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2696|u_logic/_al_u1718 (
.b({\u_logic/_al_u2695_o ,open_n145246}),
.c({\u_logic/_al_u191_o ,\u_logic/Vygax6 }),
.d({\u_logic/_al_u2628_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2696_o ,\u_logic/_al_u1718_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2697|u_logic/_al_u1725 (
.b({\u_logic/_al_u121_o ,\u_logic/Xxupw6 }),
.c({\u_logic/_al_u1095_o ,\u_logic/Yvjpw6 }),
.d({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u1610_o }),
.f({\u_logic/_al_u2697_o ,\u_logic/_al_u1725_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2698|u_logic/_al_u2684 (
.a({open_n145293,\u_logic/_al_u142_o }),
.b({\u_logic/_al_u1085_o ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/Daiax6 ,\u_logic/_al_u1095_o }),
.d({\u_logic/D6kiu6_lutinv ,\u_logic/_al_u907_o }),
.f({\u_logic/_al_u2698_o ,\u_logic/_al_u2684_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18116)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u269|u_logic/S58ax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r4_o[23] ,\u_logic/vis_r1_o[23] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[23] ,\u_logic/vis_r3_o[23] }),
.mi({open_n145317,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u269_o ,\u_logic/_al_u541_o }),
.q({open_n145333,\u_logic/vis_r5_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18116)
EG_PHY_LSLICE #(
//.LUTF0("(D*B*~(~0*~(C*A)))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(D*B*~(~1*~(C*A)))"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b1100110000000000),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2703|u_logic/_al_u651 (
.a({open_n145334,\u_logic/_al_u153_o }),
.b({\u_logic/R3vpw6 ,\u_logic/_al_u148_o }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u142_o }),
.d({\u_logic/N98iu6_lutinv ,\u_logic/_al_u145_o }),
.e({open_n145337,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2703_o ,\u_logic/_al_u651_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~A*~(B*~(D*~C)))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0001010100010001),
.MODE("LOGIC"))
\u_logic/_al_u2704|u_logic/_al_u688 (
.a({\u_logic/_al_u2703_o ,open_n145358}),
.b({\u_logic/N3ziu6 ,open_n145359}),
.c({\u_logic/_al_u155_o ,\u_logic/Xxupw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u2704_o ,\u_logic/_al_u688_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(B)"),
//.LUTG0("(~D*C*~B*~A)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b0000000000010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\u_logic/_al_u2705 (
.a({open_n145380,\u_logic/_al_u2701_o }),
.b({open_n145381,\u_logic/_al_u2702_o }),
.c({open_n145382,\u_logic/_al_u2704_o }),
.d({open_n145385,\u_logic/_al_u2379_o }),
.f({open_n145403,\u_logic/_al_u2705_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*C*~(D)*~(0)+~(A)*~(C)*D*~(0)+~(A)*C*D*~(0)+~(A)*C*~(D)*0+~(A)*C*D*0))"),
//.LUT1("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*C*~(D)*~(1)+~(A)*~(C)*D*~(1)+~(A)*C*D*~(1)+~(A)*C*~(D)*1+~(A)*C*D*1))"),
.INIT_LUT0(16'b0100010011001100),
.INIT_LUT1(16'b0100000001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2706 (
.a({\u_logic/Zicpw6_lutinv ,\u_logic/Zicpw6_lutinv }),
.b({\u_logic/_al_u2700_o ,\u_logic/_al_u2700_o }),
.c({\u_logic/_al_u2705_o ,\u_logic/_al_u2705_o }),
.d({\u_logic/Mmjiu6_lutinv ,\u_logic/Mmjiu6_lutinv }),
.mi({open_n145421,\u_logic/Ae0iu6_lutinv }),
.fx({open_n145426,\u_logic/Hj9pw6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2707|u_logic/_al_u2712 (
.b({open_n145431,\u_logic/_al_u2288_o }),
.c({\u_logic/_al_u2561_o ,\u_logic/_al_u1718_o }),
.d({\u_logic/Hj9pw6 ,\u_logic/Hj9pw6 }),
.f({\u_logic/_al_u2707_o ,\u_logic/S18iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~D*~(~C*A)))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(B*~(~1*~D*~(~C*A)))"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b1100110000001000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2708|u_logic/_al_u2709 (
.a({open_n145452,\u_logic/_al_u2692_o }),
.b({\u_logic/U8jax6 ,\u_logic/_al_u2707_o }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u2568_o }),
.d({\u_logic/vis_pc_o[0] ,\u_logic/_al_u2564_o }),
.e({open_n145455,\u_logic/_al_u2708_o }),
.f({\u_logic/_al_u2708_o ,\u_logic/_al_u2709_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18945)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u270|u_logic/Lrwax6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r5_o[23] ,\u_logic/vis_r2_o[23] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[23] ,\u_logic/vis_r7_o[23] }),
.mi({open_n145479,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u270_o ,\u_logic/_al_u542_o }),
.q({open_n145495,\u_logic/vis_r7_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18945)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2710|u_logic/_al_u1 (
.b({\u_logic/_al_u2629_o ,open_n145498}),
.c({\u_logic/_al_u2632_o ,\u_logic/U8jax6 }),
.d({\u_logic/_al_u2709_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u2710_o ,\u_logic/n4330 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*B*~A))"),
//.LUT1("(B*~(D*~C*~A))"),
.INIT_LUT0(16'b0000000011111011),
.INIT_LUT1(16'b1100100011001100),
.MODE("LOGIC"))
\u_logic/_al_u2716|u_logic/_al_u2322 (
.a({\u_logic/P0biu6 ,\u_logic/_al_u956_o }),
.b({\u_logic/_al_u2715_o ,\u_logic/_al_u1580_o }),
.c({\u_logic/Aujpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/F9vpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2716_o ,\u_logic/_al_u2322_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~(B@A)))"),
//.LUT1("(~B*~(D*C*~A))"),
.INIT_LUT0(16'b0000111100000110),
.INIT_LUT1(16'b0010001100110011),
.MODE("LOGIC"))
\u_logic/_al_u2719|u_logic/_al_u2718 (
.a({\u_logic/_al_u2717_o ,\u_logic/N4kax6 }),
.b({\u_logic/_al_u2718_o ,\u_logic/Rwjax6 }),
.c({\u_logic/P0kax6 ,\u_logic/Sojax6 }),
.d({\u_logic/P14qw6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u2719_o ,\u_logic/_al_u2718_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18068)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u271|u_logic/Gx6ax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Fnqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r2_o[23] ,\u_logic/vis_r5_o[23] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[23] ,\u_logic/vis_r0_o[23] }),
.mi({open_n145569,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u271_o ,\u_logic/_al_u543_o }),
.q({open_n145574,\u_logic/vis_r1_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18068)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*~C*~B*~A))"),
//.LUTF1("(~A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*~(D)+B*~(C)*D+B*C*D))"),
//.LUTG0("(~1*~(~D*~C*~B*~A))"),
//.LUTG1("(~A*(~(B)*~(C)*~(D)+~(B)*C*~(D)+B*C*~(D)+B*~(C)*D+B*C*D))"),
.INIT_LUTF0(16'b1111111111111110),
.INIT_LUTF1(16'b0100010001010001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0100010001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2721|u_logic/_al_u2734 (
.a({\u_logic/_al_u2720_o ,\u_logic/_al_u2444_o }),
.b({\u_logic/Qxoiu6 ,\u_logic/_al_u2732_o }),
.c({\u_logic/Gr2qw6 ,\u_logic/_al_u2733_o }),
.d({\u_logic/P0kax6 ,\u_logic/_al_u1767_o }),
.e({open_n145577,\u_logic/Hgrpw6 }),
.f({\u_logic/_al_u2721_o ,\u_logic/_al_u2734_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~B*~(D*C*A))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~B*~(D*C*A))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0001001100110011),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0001001100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2723|u_logic/_al_u2680 (
.a({\u_logic/I30ju6_lutinv ,open_n145598}),
.b({\u_logic/_al_u2722_o ,\u_logic/R3vpw6 }),
.c({\u_logic/Mmjiu6_lutinv ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u638_o ,\u_logic/_al_u1094_o }),
.f({\u_logic/_al_u2723_o ,\u_logic/_al_u2680_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~0*~C*~B*~A))"),
//.LUTF1("(C*~(~B*~(D*A)))"),
//.LUTG0("(D*~(~1*~C*~B*~A))"),
//.LUTG1("(C*~(~B*~(D*A)))"),
.INIT_LUTF0(16'b1111111000000000),
.INIT_LUTF1(16'b1110000011000000),
.INIT_LUTG0(16'b1111111100000000),
.INIT_LUTG1(16'b1110000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2728|u_logic/_al_u2557 (
.a({\u_logic/_al_u148_o ,\u_logic/_al_u148_o }),
.b({\u_logic/_al_u159_o ,\u_logic/Sq3ju6 }),
.c({\u_logic/_al_u156_o ,\u_logic/_al_u685_o }),
.d({\u_logic/Qyniu6_lutinv ,\u_logic/_al_u1610_o }),
.e({open_n145625,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2728_o ,\u_logic/_al_u2557_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~B*~(D*C)))"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b1010100010001000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\u_logic/_al_u2729|u_logic/_al_u2166 (
.a({\u_logic/_al_u2726_o ,\u_logic/_al_u1684_o }),
.b({\u_logic/_al_u2727_o ,\u_logic/_al_u2165_o }),
.c({\u_logic/_al_u2728_o ,\u_logic/N3ziu6 }),
.d({\u_logic/_al_u1718_o ,\u_logic/Jf6ju6 }),
.f({\u_logic/_al_u2729_o ,\u_logic/_al_u2166_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18067)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u272|u_logic/Gv6ax6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[23] ,\u_logic/vis_r4_o[23] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[23] ,\u_logic/vis_r6_o[23] }),
.mi({open_n145669,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u272_o ,\u_logic/_al_u544_o }),
.q({open_n145685,\u_logic/vis_r0_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18067)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*~(~0*B)))"),
//.LUTF1("(C*~(D*~(B*~A)))"),
//.LUTG0("(~A*~(D*C*~(~1*B)))"),
//.LUTG1("(C*~(D*~(B*~A)))"),
.INIT_LUTF0(16'b0100010101010101),
.INIT_LUTF1(16'b0100000011110000),
.INIT_LUTG0(16'b0000010101010101),
.INIT_LUTG1(16'b0100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2730|u_logic/_al_u2435 (
.a({\u_logic/_al_u1684_o ,\u_logic/_al_u2434_o }),
.b({\u_logic/Ldoiu6_lutinv ,\u_logic/_al_u1087_o }),
.c({\u_logic/Yljiu6 ,\u_logic/_al_u705_o }),
.d({\u_logic/Yvjpw6 ,\u_logic/Frziu6_lutinv }),
.e({open_n145688,\u_logic/M8fax6 }),
.f({\u_logic/_al_u2730_o ,\u_logic/_al_u2435_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C*~A))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(B*~(D*C*~A))"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b1000110011001100),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b1000110011001100),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2735|u_logic/_al_u2452 (
.a({\u_logic/_al_u2723_o ,\u_logic/_al_u1684_o }),
.b({\u_logic/_al_u2729_o ,\u_logic/_al_u2451_o }),
.c({\u_logic/_al_u2730_o ,\u_logic/_al_u1094_o }),
.d({\u_logic/_al_u2734_o ,\u_logic/_al_u638_o }),
.f({\u_logic/_al_u2735_o ,\u_logic/_al_u2452_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(A*~(0*D*C)))"),
//.LUT1("(B*~(A*~(1*D*C)))"),
.INIT_LUT0(16'b0100010001000100),
.INIT_LUT1(16'b1100010001000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2739 (
.a({\u_logic/_al_u2738_o ,\u_logic/_al_u2738_o }),
.b({\u_logic/Kxziu6_lutinv ,\u_logic/Kxziu6_lutinv }),
.c({\u_logic/_al_u398_o ,\u_logic/_al_u398_o }),
.d({\u_logic/Vzupw6 ,\u_logic/Vzupw6 }),
.mi({open_n145745,\u_logic/Wkipw6 }),
.fx({open_n145750,\u_logic/_al_u2739_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2741|u_logic/_al_u1463 (
.b({\u_logic/_al_u1660_o ,open_n145755}),
.c({\u_logic/Ufopw6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Llaow6_lutinv ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2741_o ,\u_logic/_al_u1463_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(A*~(~D*B)))"),
//.LUTF1("(B*~A*~(~D*C))"),
//.LUTG0("(C*~(A*~(~D*B)))"),
//.LUTG1("(B*~A*~(~D*C))"),
.INIT_LUTF0(16'b0101000011010000),
.INIT_LUTF1(16'b0100010000000100),
.INIT_LUTG0(16'b0101000011010000),
.INIT_LUTG1(16'b0100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2742|u_logic/_al_u1972 (
.a({\u_logic/_al_u2739_o ,\u_logic/_al_u1971_o }),
.b({\u_logic/_al_u2740_o ,\u_logic/Hviiu6 }),
.c({\u_logic/_al_u2741_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/T1vpw6 ,\u_logic/P14qw6 }),
.f({\u_logic/_al_u2742_o ,\u_logic/_al_u1972_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(~C*~D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(~C*~D))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2743|u_logic/_al_u648 (
.b({\u_logic/_al_u705_o ,open_n145806}),
.c({\u_logic/Hirpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u1087_o ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u2743_o ,\u_logic/_al_u648_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*~(~D*C*~A)))"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(1*~(~B*~(~D*C*~A)))"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b1100110011011100),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2745|u_logic/_al_u2744 (
.a({open_n145831,\u_logic/_al_u1684_o }),
.b({\u_logic/Qe8iu6_lutinv ,\u_logic/_al_u2743_o }),
.c({\u_logic/_al_u638_o ,\u_logic/_al_u1059_o }),
.d({\u_logic/_al_u1684_o ,\u_logic/Vzupw6 }),
.e({open_n145834,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u2745_o ,\u_logic/_al_u2744_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u2746|u_logic/_al_u2360 (
.a({\u_logic/_al_u1604_o ,\u_logic/_al_u1812_o }),
.b({\u_logic/_al_u395_o ,\u_logic/Hs8ow6 }),
.c({\u_logic/_al_u1059_o ,\u_logic/_al_u398_o }),
.d({\u_logic/_al_u1085_o ,\u_logic/Frziu6_lutinv }),
.f({\u_logic/_al_u2746_o ,\u_logic/_al_u2360_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u2747|u_logic/_al_u2156 (
.b({\u_logic/Pthiu6 ,\u_logic/D1piu6_lutinv }),
.c({\u_logic/Yljiu6 ,\u_logic/Xxupw6 }),
.d({\u_logic/_al_u398_o ,\u_logic/_al_u145_o }),
.f({\u_logic/Kqziu6 ,\u_logic/_al_u2156_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(C*B)))"),
//.LUT1("(C*~(A*~(D*B)))"),
.INIT_LUT0(16'b0000000011101010),
.INIT_LUT1(16'b1101000001010000),
.MODE("LOGIC"))
\u_logic/_al_u2748|u_logic/_al_u2157 (
.a({\u_logic/Kqziu6 ,\u_logic/_al_u2156_o }),
.b({\u_logic/_al_u2320_o ,\u_logic/D6kiu6_lutinv }),
.c({\u_logic/_al_u158_o ,\u_logic/Sy2ju6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u2748_o ,\u_logic/_al_u2157_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~A*~(~0*C*B)))"),
//.LUT1("(~D*~(~A*~(~1*C*B)))"),
.INIT_LUT0(16'b0000000011101010),
.INIT_LUT1(16'b0000000010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2749 (
.a({\u_logic/_al_u2741_o ,\u_logic/_al_u2741_o }),
.b({\u_logic/Ia8iu6_lutinv ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/_al_u398_o ,\u_logic/_al_u398_o }),
.d({\u_logic/Hgrpw6 ,\u_logic/Hgrpw6 }),
.mi({open_n145929,\u_logic/Ufopw6 }),
.fx({open_n145934,\u_logic/_al_u2749_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u274|u_logic/_al_u292 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/C80iu6 ,\u_logic/H70iu6 }),
.f({\u_logic/Mifpw6 [23],\u_logic/Mifpw6 [26]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*A*~(0*D))"),
//.LUT1("(~C*~B*A*~(1*D))"),
.INIT_LUT0(16'b0000001000000010),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2750 (
.a({\u_logic/_al_u2746_o ,\u_logic/_al_u2746_o }),
.b({\u_logic/_al_u2748_o ,\u_logic/_al_u2748_o }),
.c({\u_logic/_al_u2749_o ,\u_logic/_al_u2749_o }),
.d({\u_logic/_al_u956_o ,\u_logic/_al_u956_o }),
.mi({open_n145973,\u_logic/_al_u957_o }),
.fx({open_n145978,\u_logic/_al_u2750_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(D*~C*~B*A)"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000001000000000),
.MODE("LOGIC"))
\u_logic/_al_u2751|u_logic/_al_u2673 (
.a({\u_logic/_al_u2742_o ,\u_logic/_al_u2670_o }),
.b({\u_logic/_al_u2744_o ,\u_logic/_al_u2672_o }),
.c({\u_logic/_al_u2745_o ,\u_logic/_al_u410_o }),
.d({\u_logic/_al_u2750_o ,\u_logic/Pu1ju6_lutinv }),
.f({\u_logic/_al_u2751_o ,\u_logic/_al_u2673_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18102)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010000110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111010111010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2754|u_logic/Xn7ax6_reg (
.a({open_n146001,\u_logic/Oa4iu6 }),
.b({open_n146002,\u_logic/n394 }),
.c({\u_logic/Uofax6 ,\u_logic/Bk7ax6 }),
.clk(clk_pad),
.d({\u_logic/Sqfax6 ,\u_logic/Xn7ax6 }),
.e({open_n146004,\u_logic/Vrkbx6 [3]}),
.f({\u_logic/Krzhu6_lutinv ,open_n146020}),
.q({open_n146024,\u_logic/Xn7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18102)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~C)*~(~0*~B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(~D*~C)*~(~1*~B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1010101010100000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2756|u_logic/_al_u4566 (
.a({\u_logic/A3iiu6 ,\u_logic/_al_u4560_o }),
.b({\u_logic/_al_u2297_o ,\u_logic/Pkdow6 }),
.c({\u_logic/Gh0iu6_lutinv ,\u_logic/Ukcow6 }),
.d({\u_logic/Nxkbx6 [3],\u_logic/_al_u4565_o }),
.e({open_n146027,\u_logic/Plcow6 }),
.f({\u_logic/_al_u2756_o ,\u_logic/_al_u4566_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*(0@B@A)))"),
//.LUT1("(C*~(D*(1@B@A)))"),
.INIT_LUT0(16'b1001000011110000),
.INIT_LUT1(16'b0110000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2757 (
.a({\u_logic/Vtzhu6 ,\u_logic/Vtzhu6 }),
.b({\u_logic/_al_u2642_o ,\u_logic/_al_u2642_o }),
.c({\u_logic/_al_u2756_o ,\u_logic/_al_u2756_o }),
.d({\u_logic/_al_u2288_o ,\u_logic/_al_u2288_o }),
.mi({open_n146060,\u_logic/vis_pc_o[1] }),
.fx({open_n146065,\u_logic/_al_u2757_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18968)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2758|u_logic/Xrxax6_reg (
.a({\u_logic/_al_u2471_o ,open_n146068}),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2759_o }),
.c({\u_logic/Ud4iu6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Xrxax6 ,\u_logic/_al_u2757_o }),
.f({\u_logic/C4iiu6 ,open_n146087}),
.q({open_n146091,\u_logic/Xrxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18968)
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*B)*~(0*A))"),
//.LUT1("(C*~(D*B)*~(1*A))"),
.INIT_LUT0(16'b0011000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2759 (
.a({\u_logic/Ok8iu6 ,\u_logic/Ok8iu6 }),
.b({\u_logic/Ql8iu6 ,\u_logic/Ql8iu6 }),
.c({\u_logic/C4iiu6 ,\u_logic/C4iiu6 }),
.d({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[2] }),
.mi({open_n146104,\u_logic/vis_pc_o[1] }),
.fx({open_n146109,\u_logic/_al_u2759_o }));
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~((D*A))+B*C*~((D*A))+~(B)*C*(D*A)+B*C*(D*A))"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("~(B*~(C)*~((D*A))+B*C*~((D*A))+~(B)*C*(D*A)+B*C*(D*A))"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001101100110011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b0001101100110011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2761|UART_Interface/reg0_b3 (
.a({open_n146112,\u_logic/_al_u2753_o }),
.b({\u_logic/_al_u2354_o ,\u_logic/_al_u2610_o }),
.c({\u_logic/Cq3qw6 ,\u_logic/Am6iu6_lutinv }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Krzhu6_lutinv }),
.sr(cpuresetn),
.f({HADDR[29],HADDR[3]}),
.q({open_n146132,\UART_Interface/addr_reg [3]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2771|u_logic/_al_u2796 (
.b({\u_logic/Nrqpw6 ,open_n146135}),
.c({\u_logic/P23qw6 ,\u_logic/Gnqpw6 }),
.d({\u_logic/Wqzhu6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/Am6iu6_lutinv ,\u_logic/Sq4iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20105)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2775|u_logic/Wahbx6_reg (
.b({\u_logic/_al_u2494_o ,open_n146162}),
.c({\u_logic/Nwdbx6 ,\u_logic/Nv3qw6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[20],\u_logic/M94iu6 }),
.q({open_n146179,\u_logic/Wahbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20105)
// ../rtl/topmodule/cortexm0ds_logic.v(18172)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2776|u_logic/Xr9ax6_reg (
.b({\u_logic/_al_u2490_o ,open_n146182}),
.c({\u_logic/Ym3qw6 ,\u_logic/T3opw6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[19],\u_logic/Oh4iu6 }),
.q({open_n146203,\u_logic/Xr9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18172)
// ../rtl/topmodule/cortexm0ds_logic.v(20187)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2777|u_logic/Xajbx6_reg (
.b({\u_logic/_al_u2486_o ,open_n146206}),
.c({\u_logic/Jl3qw6 ,\u_logic/Gl1qw6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[18],\u_logic/Ym4iu6 }),
.q({open_n146227,\u_logic/Xajbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20187)
// ../rtl/topmodule/cortexm0ds_logic.v(18325)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2778|u_logic/Y2fax6_reg (
.b({\u_logic/_al_u2482_o ,open_n146230}),
.c({\u_logic/Yubbx6 ,\u_logic/P93qw6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[17],\u_logic/H34iu6 }),
.q({open_n146247,\u_logic/Y2fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18325)
// ../rtl/topmodule/cortexm0ds_logic.v(18225)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2780|u_logic/Zgbax6_reg (
.b({\u_logic/_al_u2542_o ,open_n146250}),
.c({\u_logic/Ad7ax6 ,\u_logic/L03qw6 }),
.ce(\u_logic/n529 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[15],\u_logic/Df4iu6 }),
.q({open_n146267,\u_logic/Zgbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18225)
// ../rtl/topmodule/cortexm0ds_logic.v(19888)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*~(0*C)))"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("~(B*~A*~(D*~(1*C)))"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110111011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1011111110111011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2783|u_logic/Ggabx6_reg (
.a({open_n146268,\u_logic/_al_u3003_o }),
.b({\u_logic/_al_u2356_o ,\u_logic/Wwsiu6 }),
.c({\u_logic/Wc2qw6 ,\u_logic/_al_u4334_o }),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Wo1iu6 }),
.e({open_n146270,\u_logic/_al_u4340_o }),
.f({HADDR[30],open_n146286}),
.q({open_n146290,\u_logic/Ggabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19888)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~B*~(D)*~((C*A))+~B*D*~((C*A))+~(~B)*D*(C*A)+~B*D*(C*A))"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011001100010011),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1011001100010011),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2784|RAMDATA_Interface/reg0_b8 (
.a({open_n146291,\u_logic/_al_u2753_o }),
.b({\u_logic/_al_u2587_o ,\u_logic/_al_u2522_o }),
.c({\u_logic/Vqgax6 ,\u_logic/Krzhu6_lutinv }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/H4ypw6 }),
.sr(cpuresetn),
.f({HADDR[28],HADDR[10]}),
.q({open_n146311,RAMDATA_WADDR[8]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
// ../rtl/topmodule/cortexm0ds_logic.v(19954)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~B*~A*~(0*C))"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("~(~D*~B*~A*~(1*C))"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111101110),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111111111111110),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2787|u_logic/Cncbx6_reg (
.a({open_n146312,\u_logic/_al_u3058_o }),
.b({\u_logic/_al_u2581_o ,\u_logic/_al_u3059_o }),
.c({\u_logic/Q2ibx6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/_al_u3060_o }),
.e({open_n146314,\u_logic/Nlcbx6 }),
.f({HADDR[25],open_n146330}),
.q({open_n146334,\u_logic/Cncbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19954)
// ../rtl/topmodule/cortexm0ds_logic.v(18278)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000110011),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2788|u_logic/Y5dax6_reg (
.b({\u_logic/_al_u2571_o ,open_n146337}),
.c({\u_logic/No3qw6 ,\u_logic/Gc1qw6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Iqzhu6_lutinv }),
.f({HADDR[24],\u_logic/Pl4iu6 }),
.q({open_n146358,\u_logic/Y5dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18278)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*~(C*D))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000110011001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000110011001100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2794|u_logic/_al_u3979 (
.b({open_n146361,\u_logic/Nd3qw6 }),
.c({\u_logic/Dugax6 ,\u_logic/Pg3qw6 }),
.d({\u_logic/Wqzhu6 ,\u_logic/B79bx6 }),
.f({\u_logic/Qc3pw6_lutinv ,\u_logic/_al_u3979_o }));
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("~((~B*~(~D*~C))*~(0)*~(A)+(~B*~(~D*~C))*0*~(A)+~((~B*~(~D*~C)))*0*A+(~B*~(~D*~C))*0*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("~((~B*~(~D*~C))*~(1)*~(A)+(~B*~(~D*~C))*1*~(A)+~((~B*~(~D*~C)))*1*A+(~B*~(~D*~C))*1*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1110111011101111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0100010001000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2795|FM_HW/FM_Demodulation/reg1_b1 (
.a({\u_logic/n5754 ,\u_logic/Yw1iu6 }),
.b({\u_logic/_al_u2710_o ,\u_logic/_al_u3516_o }),
.c({\u_logic/_al_u2288_o ,\u_logic/_al_u3519_o }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/_al_u1138_o ,\u_logic/Ovpiu6 }),
.e({\u_logic/Qc3pw6_lutinv ,open_n146386}),
.mi({open_n146388,\FM_HW/FM_Demodulation/QdataN_1 [1]}),
.f({HSIZE[1],\u_logic/_al_u3524_o }),
.q({open_n146404,\FM_HW/FM_Demodulation/QdataN [1]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2803|u_logic/_al_u2601 (
.a({\u_logic/_al_u2471_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u2469_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/O34iu6 ,\u_logic/T24iu6 }),
.d({\u_logic/Nu5bx6 ,\u_logic/I1lpw6 }),
.f({\u_logic/Xfliu6 ,\u_logic/Jz8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2806|u_logic/_al_u2102 (
.c({\u_logic/Xq2bx6 ,\u_logic/_al_u1062_o }),
.d({\u_logic/Szohu6 ,\u_logic/_al_u2025_o }),
.f({\u_logic/_al_u2806_o ,\u_logic/_al_u2102_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19518)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~B*~(~C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0011000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2807|u_logic/Hg3bx6_reg (
.b({\u_logic/_al_u2806_o ,\u_logic/_al_u1899_o }),
.c({\u_logic/Hg3bx6 ,\u_logic/U5cpw6 }),
.clk(clk_pad),
.d({\u_logic/Cyohu6 ,\u_logic/_al_u1958_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2807_o ,\u_logic/Cyohu6 }),
.q({open_n146467,\u_logic/Hg3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19518)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2812|u_logic/_al_u2825 (
.c({\u_logic/Z71bx6 ,\u_logic/Us3bx6 }),
.d({\u_logic/R2phu6 ,\u_logic/Bxdpw6 }),
.f({\u_logic/_al_u2812_o ,\u_logic/_al_u2825_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~B*~(~C*D))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011000000110011),
.MODE("LOGIC"))
\u_logic/_al_u2813|u_logic/_al_u1922 (
.b({\u_logic/_al_u2812_o ,open_n146494}),
.c({\u_logic/Xo1bx6 ,\u_logic/_al_u1909_o }),
.d({\u_logic/D2phu6 ,\u_logic/_al_u1891_o }),
.f({\u_logic/_al_u2813_o ,\u_logic/Eegiu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19398)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2815|u_logic/Aa2bx6_reg (
.a({open_n146515,\u_logic/_al_u2043_o }),
.b({open_n146516,\u_logic/_al_u1061_o }),
.c({\u_logic/Fc1bx6 ,\u_logic/_al_u1886_o }),
.clk(clk_pad),
.d({\u_logic/F3phu6 ,\u_logic/_al_u1909_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2815_o ,\u_logic/C5phu6 }),
.q({open_n146533,\u_logic/Aa2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19398)
// ../rtl/topmodule/cortexm0ds_logic.v(19302)
EG_PHY_MSLICE #(
//.LUT0("(~(D*C)*~(B*~A))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000101110111011),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2816|u_logic/Fc1bx6_reg (
.a({open_n146534,\u_logic/_al_u2016_o }),
.b({open_n146535,\u_logic/_al_u2017_o }),
.c({\u_logic/Rijbx6 ,\u_logic/_al_u1895_o }),
.clk(clk_pad),
.d({\u_logic/Uwdpw6 ,\u_logic/_al_u1891_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2816_o ,\u_logic/F3phu6 }),
.q({open_n146552,\u_logic/Fc1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19302)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(C)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"))
\u_logic/_al_u2817 (
.c({open_n146557,\u_logic/Dv2bx6 }),
.d({open_n146560,\u_logic/Nwdpw6 }),
.f({open_n146574,\u_logic/_al_u2817_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19470)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2818|u_logic/Jz2bx6_reg (
.b({open_n146582,\u_logic/_al_u1895_o }),
.c({\u_logic/Rm2bx6 ,\u_logic/_al_u1935_o }),
.clk(clk_pad),
.d({\u_logic/Gwdpw6 ,\u_logic/_al_u1946_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2818_o ,\u_logic/Lzohu6 }),
.q({open_n146603,\u_logic/Jz2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19470)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2819 (
.a({\u_logic/_al_u2814_o ,\u_logic/_al_u2814_o }),
.b({\u_logic/_al_u2815_o ,\u_logic/_al_u2815_o }),
.c({\u_logic/_al_u2816_o ,\u_logic/_al_u2816_o }),
.d({\u_logic/_al_u2817_o ,\u_logic/_al_u2817_o }),
.mi({open_n146616,\u_logic/_al_u2818_o }),
.fx({open_n146621,\u_logic/_al_u2819_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18066)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u281|u_logic/Gt6ax6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Fnqow6 }),
.c({\u_logic/vis_r7_o[25] ,\u_logic/vis_r2_o[25] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[25] ,\u_logic/vis_r5_o[25] }),
.mi({open_n146634,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u281_o ,\u_logic/_al_u559_o }),
.q({open_n146639,\u_logic/vis_r5_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18066)
// ../rtl/topmodule/cortexm0ds_logic.v(19374)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2820|u_logic/P12bx6_reg (
.b({open_n146642,\u_logic/_al_u1895_o }),
.c({\u_logic/P12bx6 ,\u_logic/_al_u2039_o }),
.clk(clk_pad),
.d({\u_logic/B1phu6 ,\u_logic/_al_u2046_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2820_o ,\u_logic/B1phu6 }),
.q({open_n146659,\u_logic/P12bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19374)
// ../rtl/topmodule/cortexm0ds_logic.v(19170)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~B*~(~C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0011000000110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2821|u_logic/C30bx6_reg (
.a({open_n146660,HWDATA[8]}),
.b({\u_logic/_al_u2820_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Jz2bx6 ,\u_logic/C30bx6 }),
.clk(clk_pad),
.d({\u_logic/Lzohu6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2821_o ,open_n146674}),
.q({open_n146678,\u_logic/C30bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19170)
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*C)*~(~0*B))"),
//.LUT1("(A*~(~D*C)*~(~1*B))"),
.INIT_LUT0(16'b0010001000000010),
.INIT_LUT1(16'b1010101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2822 (
.a({\u_logic/_al_u2821_o ,\u_logic/_al_u2821_o }),
.b({\u_logic/P1phu6 ,\u_logic/P1phu6 }),
.c({\u_logic/I1phu6 ,\u_logic/I1phu6 }),
.d({\u_logic/Dt1bx6 ,\u_logic/Dt1bx6 }),
.mi({open_n146691,\u_logic/Jx1bx6 }),
.fx({open_n146696,\u_logic/_al_u2822_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2823|u_logic/_al_u4085 (
.c({\u_logic/Yxrpw6 ,\u_logic/Us3bx6 }),
.d({\u_logic/W1phu6 ,\u_logic/C30bx6 }),
.f({\u_logic/_al_u2823_o ,\u_logic/_al_u4085_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2824|u_logic/_al_u4080 (
.c({\u_logic/Lg1bx6 ,\u_logic/Z71bx6 }),
.d({\u_logic/Y2phu6 ,\u_logic/E90bx6 }),
.f({\u_logic/_al_u2824_o ,\u_logic/_al_u4080_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19326)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2826|u_logic/Rk1bx6_reg (
.b({open_n146749,\u_logic/_al_u1061_o }),
.c({\u_logic/Aa2bx6 ,\u_logic/_al_u2030_o }),
.clk(clk_pad),
.d({\u_logic/C5phu6 ,\u_logic/_al_u2029_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2826_o ,\u_logic/K2phu6 }),
.q({open_n146766,\u_logic/Rk1bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19326)
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2827 (
.a({\u_logic/_al_u2822_o ,\u_logic/_al_u2822_o }),
.b({\u_logic/_al_u2823_o ,\u_logic/_al_u2823_o }),
.c({\u_logic/_al_u2824_o ,\u_logic/_al_u2824_o }),
.d({\u_logic/_al_u2825_o ,\u_logic/_al_u2825_o }),
.mi({open_n146779,\u_logic/_al_u2826_o }),
.fx({open_n146784,\u_logic/_al_u2827_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(D)"),
//.LUTG0("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\u_logic/_al_u2828 (
.c({open_n146791,\u_logic/Gihbx6 }),
.d({open_n146794,\u_logic/H4phu6 }),
.f({open_n146812,\u_logic/_al_u2828_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19542)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2829|u_logic/Qo3bx6_reg (
.b({open_n146820,\u_logic/_al_u1899_o }),
.c({\u_logic/Mk3bx6 ,\u_logic/_al_u1904_o }),
.clk(clk_pad),
.d({\u_logic/A4phu6 ,\u_logic/_al_u1917_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2829_o ,\u_logic/M3phu6 }),
.q({open_n146837,\u_logic/Qo3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19542)
// ../rtl/topmodule/cortexm0ds_logic.v(18814)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u282|u_logic/Ejpax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/X7now6_lutinv ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r2_o[25] ,\u_logic/vis_r0_o[25] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[25] ,\u_logic/vis_r1_o[25] }),
.mi({open_n146848,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u282_o ,\u_logic/Ecxow6 }),
.q({open_n146853,\u_logic/vis_r6_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18814)
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011111100001000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2830|u_logic/_al_u2422 (
.a({open_n146854,\u_logic/_al_u1061_o }),
.b({open_n146855,\u_logic/_al_u2189_o }),
.c({\u_logic/N5bbx6 ,\u_logic/_al_u2388_o }),
.d({\u_logic/V4phu6 ,\u_logic/Mz1bx6 }),
.f({\u_logic/_al_u2830_o ,\u_logic/_al_u2422_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*~A*~(~0*D))"),
//.LUT1("(~C*~B*~A*~(~1*D))"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b0000000100000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2831 (
.a({\u_logic/_al_u2828_o ,\u_logic/_al_u2828_o }),
.b({\u_logic/_al_u2829_o ,\u_logic/_al_u2829_o }),
.c({\u_logic/_al_u2830_o ,\u_logic/_al_u2830_o }),
.d({\u_logic/M3phu6 ,\u_logic/M3phu6 }),
.mi({open_n146888,\u_logic/Qo3bx6 }),
.fx({open_n146893,\u_logic/_al_u2831_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2832|u_logic/_al_u83 (
.a({open_n146896,\u_logic/Vynow6_lutinv }),
.b({open_n146897,\u_logic/R9yax6 }),
.c({\u_logic/Zdtpw6 ,\u_logic/W5ypw6 }),
.d({\u_logic/Ag5iu6 ,\u_logic/Ztupw6 }),
.f({\u_logic/_al_u2832_o ,\u_logic/Q0fiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2833|u_logic/_al_u3188 (
.a({open_n146922,\u_logic/F0eow6 }),
.b({open_n146923,\u_logic/M0eow6 }),
.c({\u_logic/Muhbx6 ,\u_logic/C30bx6 }),
.d({\u_logic/O4phu6 ,\u_logic/Us3bx6 }),
.f({\u_logic/_al_u2833_o ,\u_logic/Dzdow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17219)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2834|u_logic/Usipw6_reg (
.a({open_n146944,HWDATA[28]}),
.b({\u_logic/Ch5iu6_lutinv ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Mnmpw6 ,\u_logic/Usipw6 }),
.clk(clk_pad),
.d({HWDATA[28],\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2834_o ,open_n146962}),
.q({open_n146966,\u_logic/Usipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17219)
// ../rtl/topmodule/cortexm0ds_logic.v(19212)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~D*~C*~B*~(~0*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~D*~C*~B*~(~1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2835|u_logic/Ih0bx6_reg (
.a({\u_logic/K2phu6 ,HWDATA[16]}),
.b({\u_logic/_al_u2832_o ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u2833_o ,\u_logic/Ih0bx6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2834_o ,\u_logic/Ztupw6 }),
.e({\u_logic/Rk1bx6 ,open_n146968}),
.sr(cpuresetn),
.f({\u_logic/_al_u2835_o ,open_n146983}),
.q({open_n146987,\u_logic/Ih0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19212)
// ../rtl/topmodule/cortexm0ds_logic.v(19194)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2836|u_logic/Fb0bx6_reg (
.a({open_n146988,HWDATA[13]}),
.b({open_n146989,\u_logic/O59iu6_lutinv }),
.c({\u_logic/U31bx6 ,\u_logic/Fb0bx6 }),
.clk(clk_pad),
.d({\u_logic/J5phu6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2836_o ,open_n147003}),
.q({open_n147007,\u_logic/Fb0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19194)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C)*~(~0*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(~D*C)*~(~1*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010101000001010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2838|u_logic/_al_u2808 (
.a({\u_logic/_al_u2811_o ,\u_logic/_al_u2807_o }),
.b({\u_logic/_al_u2819_o ,\u_logic/Ezohu6 }),
.c({\u_logic/_al_u2827_o ,\u_logic/N0phu6 }),
.d({\u_logic/_al_u2837_o ,\u_logic/Fe2bx6 }),
.e({open_n147010,\u_logic/P33bx6 }),
.f({\u_logic/_al_u2838_o ,\u_logic/_al_u2808_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(~D*~B)*~(~C*A))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111010111000100),
.MODE("LOGIC"))
\u_logic/_al_u2839|u_logic/_al_u1940 (
.a({\u_logic/Npghu6 ,open_n147031}),
.b({\u_logic/Li5iu6 ,open_n147032}),
.c({\u_logic/Pdyax6 ,\u_logic/vis_ipsr_o[3] }),
.d({\u_logic/T8kbx6 ,\u_logic/vis_ipsr_o[2] }),
.f({\u_logic/_al_u2839_o ,\u_logic/Ljbpw6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17454)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u283|u_logic/Ednpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Panow6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r3_o[25] ,\u_logic/vis_r2_o[28] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[25] ,\u_logic/vis_r4_o[28] }),
.mi({open_n147056,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u283_o ,\u_logic/_al_u299_o }),
.q({open_n147072,\u_logic/vis_r0_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17454)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~A*~(D*C*B))"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~A*~(D*C*B))"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0001010101010101),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0001010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2840|u_logic/_al_u1661 (
.a({\u_logic/_al_u2365_o ,open_n147073}),
.b({\u_logic/_al_u394_o ,open_n147074}),
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/Qa5iu6 ,\u_logic/Yp8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2841 (
.a({\u_logic/Qa5iu6 ,\u_logic/Qa5iu6 }),
.b({\u_logic/_al_u1625_o ,\u_logic/_al_u1625_o }),
.c({\u_logic/Owoiu6 ,\u_logic/Owoiu6 }),
.d({\u_logic/_al_u685_o ,\u_logic/_al_u685_o }),
.mi({open_n147111,\u_logic/Z9opw6 }),
.fx({open_n147116,\u_logic/_al_u2841_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2842|u_logic/_al_u1087 (
.a({\u_logic/Bepiu6 ,open_n147119}),
.b({\u_logic/TXEV ,\u_logic/Isjpw6 }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/SLEEPHOLDACKn }),
.d({\u_logic/Z9opw6 ,\u_logic/Gr2qw6 }),
.f({\u_logic/_al_u2842_o ,\u_logic/_al_u1087_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*~(C*~B*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*~(C*~B*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000011011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000011011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2844|u_logic/_al_u2904 (
.a({\u_logic/_al_u2566_o ,\u_logic/Uy4iu6 }),
.b({\u_logic/J0iax6 ,\u_logic/R05iu6 }),
.c({\u_logic/U8jax6 ,\u_logic/P0kax6 }),
.d({\u_logic/Ydopw6 ,\u_logic/Qyjax6 }),
.f({\u_logic/_al_u2844_o ,\u_logic/_al_u2904_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18438)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*~B))"),
//.LUT1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2848|u_logic/Vygax6_reg (
.b({open_n147170,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/Vygax6 }),
.clk(clk_pad),
.d({\u_logic/R05iu6 ,\u_logic/_al_u2965_o }),
.sr(cpuresetn),
.f({\u_logic/Vihiu6_lutinv ,open_n147184}),
.q({open_n147188,\u_logic/Vygax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18438)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2849|u_logic/_al_u2868 (
.a({open_n147189,\u_logic/Vihiu6_lutinv }),
.b({open_n147190,\u_logic/_al_u2856_o }),
.c({\u_logic/X7ypw6 ,\u_logic/_al_u2853_o }),
.d({\u_logic/Vihiu6_lutinv ,\u_logic/U8jax6 }),
.f({\u_logic/A25iu6 ,\u_logic/Pp7iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19755)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u284|u_logic/Dg6bx6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r6_o[25] ,\u_logic/vis_r6_o[25] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[25] ,\u_logic/vis_r4_o[25] }),
.mi({open_n147225,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u284_o ,\u_logic/_al_u561_o }),
.q({open_n147230,\u_logic/vis_r3_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(19755)
// ../rtl/topmodule/cortexm0ds_logic.v(17614)
EG_PHY_MSLICE #(
//.LUT0("~(C*~B*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110111111001111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2850|u_logic/Hgrpw6_reg (
.a({\u_logic/A25iu6 ,\u_logic/Uy4iu6 }),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2857_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/_al_u2859_o }),
.clk(clk_pad),
.d({\u_logic/E6iax6 ,\u_logic/Tajax6 }),
.sr(cpuresetn),
.f({\u_logic/Uu8iu6 ,open_n147244}),
.q({open_n147248,\u_logic/Hgrpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17614)
EG_PHY_MSLICE #(
//.LUT0("(~D*A*~(B*~(~0*C)))"),
//.LUT1("(~D*A*~(B*~(~1*C)))"),
.INIT_LUT0(16'b0000000010100010),
.INIT_LUT1(16'b0000000000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2851 (
.a({\u_logic/Vihiu6_lutinv ,\u_logic/Vihiu6_lutinv }),
.b({\u_logic/_al_u2566_o ,\u_logic/_al_u2566_o }),
.c({\u_logic/vis_pc_o[0] ,\u_logic/vis_pc_o[0] }),
.d({\u_logic/U8jax6 ,\u_logic/U8jax6 }),
.mi({open_n147261,\u_logic/X7ypw6 }),
.fx({open_n147266,\u_logic/Uy4iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20213)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(~D*C*A))"),
//.LUT1("(~B*~(~D*C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110011101100),
.INIT_LUT1(16'b0011001100010011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2852|u_logic/Swjbx6_reg (
.a({HRDATA[14],HRDATA[30]}),
.b({\u_logic/Jvvpw6 ,\u_logic/Pexpw6 }),
.c({\u_logic/vis_tbit_o ,\u_logic/vis_tbit_o }),
.ce(\u_logic/n4330 ),
.clk(clk_pad),
.d({\u_logic/Sz3qw6 ,\u_logic/Sz3qw6 }),
.f({\u_logic/_al_u2852_o ,\u_logic/Rw8iu6 }),
.q({open_n147285,\u_logic/Swjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20213)
// ../rtl/topmodule/cortexm0ds_logic.v(17790)
EG_PHY_MSLICE #(
//.LUT0("~(~C*A*~(D*B))"),
//.LUT1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111110111110101),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2853|u_logic/Dxvpw6_reg (
.a({open_n147286,\u_logic/Uu8iu6 }),
.b({open_n147287,\u_logic/Uy4iu6 }),
.c({\u_logic/Ydopw6 ,\u_logic/_al_u2854_o }),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[0] ,\u_logic/Swjbx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2853_o ,open_n147301}),
.q({open_n147305,\u_logic/Dxvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17790)
EG_PHY_MSLICE #(
//.LUT0("(A*((0*~C)*~(B)*~(D)+(0*~C)*B*~(D)+~((0*~C))*B*D+(0*~C)*B*D))"),
//.LUT1("(A*((1*~C)*~(B)*~(D)+(1*~C)*B*~(D)+~((1*~C))*B*D+(1*~C)*B*D))"),
.INIT_LUT0(16'b1000100000000000),
.INIT_LUT1(16'b1000100000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2854 (
.a({\u_logic/Vihiu6_lutinv ,\u_logic/Vihiu6_lutinv }),
.b({\u_logic/Rw8iu6 ,\u_logic/Rw8iu6 }),
.c({\u_logic/_al_u2852_o ,\u_logic/_al_u2852_o }),
.d({\u_logic/_al_u2853_o ,\u_logic/_al_u2853_o }),
.mi({open_n147318,\u_logic/U8jax6 }),
.fx({open_n147323,\u_logic/_al_u2854_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18648)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~C*B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2856|u_logic/Sejax6_reg (
.a({open_n147326,\u_logic/Wz4iu6 }),
.b({\u_logic/vis_tbit_o ,\u_logic/n4330 }),
.c({\u_logic/Sz3qw6 ,HRDATA[31]}),
.clk(clk_pad),
.d({\u_logic/Jvvpw6 ,\u_logic/Sejax6 }),
.f({\u_logic/_al_u2856_o ,open_n147345}),
.q({open_n147349,\u_logic/Sejax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18648)
// ../rtl/topmodule/cortexm0ds_logic.v(17867)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~C*~(0*D*B)))"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(A*~(~C*~(1*D*B)))"),
//.LUTG1("(~(D*C)*~(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010100000),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b1010100010100000),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2858|u_logic/X7ypw6_reg (
.a({\u_logic/Wz4iu6 ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u2853_o ,\u_logic/R05iu6 }),
.c({\u_logic/L4lax6 ,\u_logic/_al_u4344_o }),
.clk(clk_pad),
.d({\u_logic/X7ypw6 ,\u_logic/_al_u2566_o }),
.e({open_n147351,\u_logic/X7ypw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2858_o ,open_n147366}),
.q({open_n147370,\u_logic/X7ypw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17867)
// ../rtl/topmodule/cortexm0ds_logic.v(18142)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*~B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2861|u_logic/Zx8ax6_reg (
.a({\u_logic/Cq3qw6 ,open_n147371}),
.b({\u_logic/Vqgax6 ,open_n147372}),
.c({\u_logic/Wc2qw6 ,\u_logic/I4rpw6 }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/Ydgax6 ,\u_logic/Iqzhu6_lutinv }),
.sr(RSTn_pad),
.f({\u_logic/_al_u2861_o ,\u_logic/T24iu6 }),
.q({open_n147392,\u_logic/Zx8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18142)
// ../rtl/topmodule/cortexm0ds_logic.v(17820)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~A*~(D*~C))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("~(~B*~A*~(D*~C))"),
//.LUTG1("(C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111101110),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2862|u_logic/Sqwpw6_reg (
.a({open_n147393,\u_logic/_al_u2950_o }),
.b({\u_logic/_al_u2861_o ,\u_logic/_al_u2961_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/n5754 ,\u_logic/Sqwpw6 }),
.sr(RSTn_pad),
.f({\u_logic/Nr4iu6_lutinv ,open_n147411}),
.q({open_n147415,\u_logic/Sqwpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17820)
// ../rtl/topmodule/cortexm0ds_logic.v(18696)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2864|u_logic/Jckax6_reg (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2881_o }),
.b({\u_logic/Uy4iu6 ,\u_logic/_al_u2882_o }),
.c({\u_logic/T5mpw6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/Tujbx6 ,HRDATA[5]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2864_o ,open_n147433}),
.q({open_n147437,\u_logic/Jckax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18696)
// ../rtl/topmodule/cortexm0ds_logic.v(18565)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(A*~(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010101000001000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0010101000001000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2865|u_logic/E6iax6_reg (
.a({open_n147438,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/Wz4iu6 ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u2853_o ,\u_logic/_al_u2852_o }),
.clk(clk_pad),
.d({\u_logic/Vihiu6_lutinv ,\u_logic/E6iax6 }),
.f({\u_logic/Kq7iu6 ,open_n147457}),
.q({open_n147461,\u_logic/E6iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18565)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2866|u_logic/_al_u2914 (
.a({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.b({\u_logic/_al_u2846_o ,\u_logic/_al_u2846_o }),
.c({\u_logic/O34iu6 ,\u_logic/Z54iu6 }),
.d({\u_logic/S7mpw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u2866_o ,\u_logic/_al_u2914_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18649)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2867|u_logic/Sgjax6_reg (
.a({open_n147486,\u_logic/Wz4iu6 }),
.b({\u_logic/_al_u2866_o ,\u_logic/n4330 }),
.c({HRDATA[17],HRDATA[29]}),
.clk(clk_pad),
.d({\u_logic/Kq7iu6 ,\u_logic/Sgjax6 }),
.f({\u_logic/_al_u2867_o ,open_n147501}),
.q({open_n147505,\u_logic/Sgjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18649)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u286|u_logic/_al_u298 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/O70iu6 ,\u_logic/A70iu6 }),
.f({\u_logic/Mifpw6 [25],\u_logic/Mifpw6 [27]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~(C*~(0*~A))))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*~(D*~(C*~(1*~A))))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000000011001100),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2870|u_logic/_al_u4435 (
.a({\u_logic/A25iu6 ,\u_logic/U6piu6 }),
.b({\u_logic/Uy4iu6 ,\u_logic/Mjnow6 }),
.c({\u_logic/Jpmpw6 ,\u_logic/_al_u4420_o }),
.d({\u_logic/Usjbx6 ,\u_logic/_al_u4434_o }),
.e({open_n147532,\u_logic/_al_u1937_o }),
.f({\u_logic/_al_u2870_o ,\u_logic/H78ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2871|u_logic/_al_u4344 (
.a({\u_logic/R05iu6 ,\u_logic/_al_u2566_o }),
.b({\u_logic/_al_u2846_o ,\u_logic/_al_u2642_o }),
.c({\u_logic/Ud4iu6 ,\u_logic/n4330 }),
.d({\u_logic/Irmpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u2871_o ,\u_logic/_al_u4344_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(B*~(C*D))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000110011001100),
.MODE("LOGIC"))
\u_logic/_al_u2872|u_logic/_al_u2878 (
.a({open_n147577,\u_logic/A25iu6 }),
.b({\u_logic/_al_u2871_o ,\u_logic/Kq7iu6 }),
.c({HRDATA[18],HRDATA[20]}),
.d({\u_logic/Kq7iu6 ,\u_logic/G2iax6 }),
.f({\u_logic/_al_u2872_o ,\u_logic/_al_u2878_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17843)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2874|u_logic/Jgxpw6_reg (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2878_o }),
.b({\u_logic/Uy4iu6 ,\u_logic/_al_u2879_o }),
.c({\u_logic/Vqjbx6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/Xiipw6 ,HRDATA[4]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2874_o ,open_n147615}),
.q({open_n147619,\u_logic/Jgxpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17843)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*~(C*D))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2876|u_logic/_al_u2875 (
.a({open_n147620,\u_logic/R05iu6 }),
.b({\u_logic/_al_u2875_o ,\u_logic/_al_u2846_o }),
.c({HRDATA[19],\u_logic/H34iu6 }),
.d({\u_logic/Kq7iu6 ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u2876_o ,\u_logic/_al_u2875_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18721)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2879|u_logic/L6lax6_reg (
.a({\u_logic/Uy4iu6 ,open_n147645}),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2568_o }),
.c({\u_logic/Jgxpw6 ,\u_logic/J0iax6 }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/Tokax6 ,\u_logic/_al_u2566_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u2879_o ,open_n147662}),
.q({open_n147666,\u_logic/L6lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18721)
// ../rtl/topmodule/cortexm0ds_logic.v(18666)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2881|u_logic/Ssjax6_reg (
.a({\u_logic/A25iu6 ,\u_logic/Spciu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/Epciu6 }),
.c({HRDATA[21],\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/F4iax6 ,HRDATA[10]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2881_o ,open_n147680}),
.q({open_n147684,\u_logic/Ssjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18666)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2882|u_logic/_al_u2892 (
.a({\u_logic/Uy4iu6 ,\u_logic/Uy4iu6 }),
.b({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.c({\u_logic/Jckax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Kakax6 ,\u_logic/Sujax6 }),
.f({\u_logic/_al_u2882_o ,\u_logic/_al_u2892_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2885|u_logic/_al_u2898 (
.a({\u_logic/A25iu6 ,\u_logic/A25iu6 }),
.b({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.c({\u_logic/E8iax6 ,\u_logic/Sojax6 }),
.d({\u_logic/W4jax6 ,\u_logic/Wyiax6 }),
.f({\u_logic/Q5hiu6 ,\u_logic/Anciu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18673)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2889|u_logic/Rwjax6_reg (
.a({open_n147729,\u_logic/_al_u2891_o }),
.b({\u_logic/_al_u2888_o ,\u_logic/_al_u2892_o }),
.c({\u_logic/O2kax6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/Uy4iu6 ,HRDATA[9]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2889_o ,open_n147747}),
.q({open_n147751,\u_logic/Rwjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18673)
// ../rtl/topmodule/cortexm0ds_logic.v(17548)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u288|u_logic/I1qpw6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/C7now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r5_o[26] ,\u_logic/vis_r6_o[22] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[26] ,\u_logic/vis_r0_o[22] }),
.mi({open_n147762,\u_logic/Fyliu6 }),
.f({\u_logic/_al_u288_o ,\u_logic/_al_u266_o }),
.q({open_n147767,\u_logic/vis_r1_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(17548)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~C*~(D*~B*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~1*~C*~(D*~B*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000110100001111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2891|u_logic/_al_u2753 (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2709_o }),
.b({\u_logic/Kq7iu6 ,\u_logic/_al_u2629_o }),
.c({HRDATA[25],\u_logic/S18iu6 }),
.d({\u_logic/Xuiax6 ,\u_logic/_al_u2632_o }),
.e({open_n147770,\u_logic/Jxgax6 }),
.f({\u_logic/_al_u2891_o ,\u_logic/_al_u2753_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18685)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2894|u_logic/O2kax6_reg (
.a({\u_logic/Uy4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[26],HRDATA[23]}),
.clk(clk_pad),
.d({\u_logic/Sqjax6 ,\u_logic/O2kax6 }),
.f({\u_logic/Spciu6 ,open_n147805}),
.q({open_n147809,\u_logic/O2kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18685)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2895|u_logic/_al_u2845 (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2707_o }),
.b({\u_logic/R05iu6 ,\u_logic/_al_u2568_o }),
.c({\u_logic/Ssjax6 ,\u_logic/_al_u2564_o }),
.d({\u_logic/Wwiax6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/Epciu6 ,\u_logic/_al_u2845_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20210)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2900|u_logic/Vqjbx6_reg (
.a({\u_logic/Uy4iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[28],HRDATA[19]}),
.clk(clk_pad),
.d({\u_logic/Sijax6 ,\u_logic/Vqjbx6 }),
.f({\u_logic/Klciu6 ,open_n147852}),
.q({open_n147856,\u_logic/Vqjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20210)
// ../rtl/topmodule/cortexm0ds_logic.v(18563)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(C*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~B*~(C*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110011001100),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111110011001100),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2903|u_logic/G2iax6_reg (
.a({\u_logic/A25iu6 ,open_n147857}),
.b({\u_logic/Kq7iu6 ,\u_logic/_al_u4384_o }),
.c({HRDATA[24],HRDATA[4]}),
.clk(clk_pad),
.d({\u_logic/Ysiax6 ,\u_logic/Mnbiu6 }),
.f({\u_logic/_al_u2903_o ,open_n147876}),
.q({open_n147880,\u_logic/G2iax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18563)
// ../rtl/topmodule/cortexm0ds_logic.v(17652)
EG_PHY_MSLICE #(
//.LUT0("~(B*A*~(D*C))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011101110111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2906|u_logic/Wfspw6_reg (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2906_o }),
.b({\u_logic/Kq7iu6 ,\u_logic/_al_u2908_o }),
.c({HRDATA[16],\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/Xdspw6 ,HRDATA[0]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2906_o ,open_n147894}),
.q({open_n147898,\u_logic/Wfspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17652)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u2907|u_logic/_al_u2888 (
.a({\u_logic/R05iu6 ,\u_logic/R05iu6 }),
.b({\u_logic/_al_u2846_o ,\u_logic/_al_u2846_o }),
.c({\u_logic/T24iu6 ,\u_logic/Df4iu6 }),
.d({\u_logic/Wfspw6 ,\u_logic/N4kax6 }),
.f({\u_logic/_al_u2907_o ,\u_logic/_al_u2888_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17414)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(B*~(C*D))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(B*~(C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0000110011001100),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0000110011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2908|u_logic/S7mpw6_reg (
.a({open_n147919,\u_logic/_al_u2864_o }),
.b({\u_logic/_al_u2907_o ,\u_logic/_al_u2867_o }),
.c({\u_logic/Tcjax6 ,\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/Uy4iu6 ,HRDATA[1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2908_o ,open_n147937}),
.q({open_n147941,\u_logic/S7mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17414)
// ../rtl/topmodule/cortexm0ds_logic.v(18687)
EG_PHY_LSLICE #(
//.LUTF0("~(B*A*~(D*C))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(B*A*~(D*C))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111011101110111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2910|u_logic/N4kax6_reg (
.a({\u_logic/A25iu6 ,\u_logic/_al_u2887_o }),
.b({\u_logic/Kq7iu6 ,\u_logic/_al_u2889_o }),
.c({HRDATA[29],\u_logic/Pp7iu6 }),
.clk(clk_pad),
.d({\u_logic/W2jax6 ,HRDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u2910_o ,open_n147959}),
.q({open_n147963,\u_logic/N4kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18687)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(B*~(D*~(C*~A))))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~1*~(B*~(D*~(C*~A))))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1011111100110011),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2911|u_logic/_al_u4442 (
.a({\u_logic/Uy4iu6 ,\u_logic/_al_u4276_o }),
.b({\u_logic/R05iu6 ,\u_logic/Iimow6 }),
.c({\u_logic/P14qw6 ,\u_logic/_al_u4284_o }),
.d({\u_logic/Sgjax6 ,\u_logic/_al_u4434_o }),
.e({open_n147966,\u_logic/Bimow6 }),
.f({\u_logic/_al_u2911_o ,\u_logic/_al_u4442_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18678)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2913|u_logic/Qyjax6_reg (
.a({\u_logic/A25iu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Kq7iu6 ,\u_logic/n4330 }),
.c({HRDATA[31],HRDATA[24]}),
.clk(clk_pad),
.d({\u_logic/W8hbx6 ,\u_logic/Qyjax6 }),
.f({\u_logic/_al_u2913_o ,open_n148001}),
.q({open_n148005,\u_logic/Qyjax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18678)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2919 (
.c({open_n148010,\u_logic/J0gax6 }),
.d({open_n148013,\u_logic/K7xiu6_lutinv }),
.f({open_n148031,\u_logic/_al_u2919_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18006)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2921|u_logic/Bt2qw6_reg (
.a({open_n148037,\u_logic/M1xiu6 }),
.b({open_n148038,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u1138_o ,\u_logic/_al_u4434_o }),
.ce(\u_logic/Tu4iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u2710_o ,HRDATA[0]}),
.mi({open_n148049,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u2921_o ,\u_logic/_al_u4464_o }),
.q({open_n148054,\u_logic/Bt2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18006)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2922|u_logic/_al_u2884 (
.a({open_n148055,\u_logic/Uy4iu6 }),
.b({open_n148056,\u_logic/Kq7iu6 }),
.c({\u_logic/Iiliu6 ,HRDATA[22]}),
.d({\u_logic/_al_u2921_o ,\u_logic/L8kax6 }),
.f({\u_logic/Hz0iu6 ,\u_logic/E6hiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*A*~(~D*B))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0000101000000010),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u2926|u_logic/_al_u3453 (
.a({open_n148077,\u_logic/Ntkbx6 [3]}),
.b({\u_logic/Ay8iu6 ,\u_logic/_al_u3376_o }),
.c({\u_logic/J71iu6_lutinv ,\u_logic/Hdbax6 }),
.d({\u_logic/_al_u2710_o ,\u_logic/vis_pc_o[0] }),
.f({\u_logic/My0iu6 ,\u_logic/_al_u3453_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2929|u_logic/_al_u2779 (
.a({\u_logic/Ad7ax6 ,open_n148098}),
.b({\u_logic/Dpwpw6 ,\u_logic/_al_u2478_o }),
.c({\u_logic/Jl3qw6 ,\u_logic/Dpwpw6 }),
.d({\u_logic/Yvabx6 ,\u_logic/n5754 }),
.f({\u_logic/_al_u2929_o ,HADDR[16]}));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(D*~C)*~(0*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~B*~(D*~C)*~(1*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2931|u_logic/_al_u4236 (
.a({\u_logic/Dpwpw6 ,\u_logic/Bo1iu6 }),
.b({\u_logic/Jl3qw6 ,\u_logic/_al_u3002_o }),
.c({\u_logic/Ym3qw6 ,\u_logic/D7xiu6_lutinv }),
.d({\u_logic/Yubbx6 ,\u_logic/Cjwpw6 }),
.e({open_n148125,\u_logic/Dpwpw6 }),
.f({\u_logic/Mz6iu6 ,\u_logic/_al_u4236_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~0*B)*~(~D*A))"),
//.LUTF1("(~D*C*A*~(0@B))"),
//.LUTG0("(~C*~(~1*B)*~(~D*A))"),
//.LUTG1("(~D*C*A*~(1@B))"),
.INIT_LUTF0(16'b0000001100000001),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0000111100000101),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2932|u_logic/_al_u3525 (
.a({\u_logic/Mz6iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Ad7ax6 ,\u_logic/_al_u3002_o }),
.c({\u_logic/Kl8ax6 ,\u_logic/_al_u3512_o }),
.d({\u_logic/Su8ax6 ,\u_logic/_al_u3524_o }),
.e({\u_logic/Yvabx6 ,\u_logic/_al_u128_o }),
.f({\u_logic/_al_u2932_o ,\u_logic/_al_u3525_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*~A)"),
//.LUTF1("(~0*D*~C*~B*A)"),
//.LUTG0("(~1*~D*~C*~B*~A)"),
//.LUTG1("(~1*D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000001000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2933|u_logic/_al_u2949 (
.a({\u_logic/Mz6iu6 ,\u_logic/H4ypw6 }),
.b({\u_logic/Ad7ax6 ,\u_logic/Ke1qw6 }),
.c({\u_logic/Kl8ax6 ,\u_logic/Nd3qw6 }),
.d({\u_logic/Su8ax6 ,\u_logic/Vn9bx6 }),
.e({\u_logic/Yvabx6 ,\u_logic/Yf1qw6 }),
.f({\u_logic/_al_u2933_o ,\u_logic/_al_u2949_o }));
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*D*~C))*~(A)+B*(0*D*~C)*~(A)+~(B)*(0*D*~C)*A+B*(0*D*~C)*A)"),
//.LUTF1("(~A*~(~D*~C*~B))"),
//.LUTG0("(B*~((1*D*~C))*~(A)+B*(1*D*~C)*~(A)+~(B)*(1*D*~C)*A+B*(1*D*~C)*A)"),
//.LUTG1("(~A*~(~D*~C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b0101010101010100),
.INIT_LUTG0(16'b0100111001000100),
.INIT_LUTG1(16'b0101010101010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2934|UART_Interface/reg0_b0 (
.a({\u_logic/_al_u2928_o ,\u_logic/n5754 }),
.b({\u_logic/_al_u2930_o ,\u_logic/My0iu6 }),
.c({\u_logic/_al_u2932_o ,\u_logic/Wqzhu6 }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/_al_u2933_o ,\u_logic/E4yhu6 }),
.e({open_n148190,\u_logic/Qehbx6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u2934_o ,HADDR[0]}),
.q({open_n148208,\UART_Interface/addr_reg [0]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2935|_al_u391 (
.a({open_n148209,_al_u292_o}),
.b({open_n148210,_al_u295_o}),
.c({\u_logic/Pg3qw6 ,HADDR[31]}),
.d({\u_logic/_al_u2930_o ,HADDR[30]}),
.f({\u_logic/J17iu6_lutinv ,_al_u391_o}));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(~A*~(D*~C*B))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(~A*~(D*~C*B))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0101000101010101),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0101000101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2936|_al_u257 (
.a({\u_logic/_al_u2934_o ,_al_u249_o}),
.b({\u_logic/J17iu6_lutinv ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Am6iu6_lutinv ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({\u_logic/_al_u2773_o ,RAMCODE_RDATA[23]}),
.e({open_n148233,RAMDATA_RDATA[23]}),
.f({\u_logic/_al_u2936_o ,HRDATA[23]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17325)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*B*~A*~(0*C))"),
//.LUTF1("(D*C*B*~A)"),
//.LUTG0("~(~D*B*~A*~(1*C))"),
//.LUTG1("(D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111110111011),
.INIT_LUTF1(16'b0100000000000000),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b0100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2937|u_logic/H3lpw6_reg (
.a({\u_logic/_al_u2936_o ,\u_logic/_al_u3496_o }),
.b({\u_logic/Nd3qw6 ,\u_logic/_al_u3497_o }),
.c({\u_logic/Vn9bx6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Yf1qw6 ,\u_logic/_al_u3002_o }),
.e({open_n148255,\u_logic/Qehbx6 }),
.f({\u_logic/_al_u2937_o ,open_n148271}),
.q({open_n148275,\u_logic/H3lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17325)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("~(A*~((D*C))*~(B)+A*(D*C)*~(B)+~(A)*(D*C)*B+A*(D*C)*B)"),
//.LUTG1("(D*~C*B*A)"),
.INIT_LUTF0(16'b0001110111011101),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0001110111011101),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2939|u_logic/_al_u3997 (
.a({\u_logic/Mz6iu6 ,\u_logic/In9iu6 }),
.b({\u_logic/Kl8ax6 ,\u_logic/n5754 }),
.c({\u_logic/Su8ax6 ,\u_logic/_al_u2939_o }),
.d({\u_logic/Yvabx6 ,\u_logic/_al_u2947_o }),
.f({\u_logic/_al_u2939_o ,\u_logic/_al_u3997_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(B)*~(C)*~(D)*~((~0*~A))+B*~(C)*~(D)*~((~0*~A))+~(B)*C*~(D)*~((~0*~A))+B*C*~(D)*~((~0*~A))+~(B)*~(C)*D*~((~0*~A))+B*~(C)*D*~((~0*~A))+~(B)*C*D*~((~0*~A))+B*~(C)*~(D)*(~0*~A)+B*C*~(D)*(~0*~A)+~(B)*~(C)*D*(~0*~A)+B*~(C)*D*(~0*~A)+~(B)*C*D*(~0*~A))"),
//.LUTF1("(B*~A*~(~D*~C))"),
//.LUTG0("(~(B)*~(C)*~(D)*~((~1*~A))+B*~(C)*~(D)*~((~1*~A))+~(B)*C*~(D)*~((~1*~A))+B*C*~(D)*~((~1*~A))+~(B)*~(C)*D*~((~1*~A))+B*~(C)*D*~((~1*~A))+~(B)*C*D*~((~1*~A))+B*~(C)*~(D)*(~1*~A)+B*C*~(D)*(~1*~A)+~(B)*~(C)*D*(~1*~A)+B*~(C)*D*(~1*~A)+~(B)*C*D*(~1*~A))"),
//.LUTG1("(B*~A*~(~D*~C))"),
.INIT_LUTF0(16'b0011111111101110),
.INIT_LUTF1(16'b0100010001000000),
.INIT_LUTG0(16'b0011111111111111),
.INIT_LUTG1(16'b0100010001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2941|u_logic/_al_u2938 (
.a({\u_logic/_al_u2938_o ,\u_logic/_al_u2773_o }),
.b({\u_logic/_al_u2940_o ,\u_logic/Nd3qw6 }),
.c({\u_logic/Am6iu6_lutinv ,\u_logic/Pg3qw6 }),
.d({\u_logic/_al_u2773_o ,\u_logic/Vn9bx6 }),
.e({open_n148302,\u_logic/Yf1qw6 }),
.f({\u_logic/_al_u2941_o ,\u_logic/_al_u2938_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D@C))"),
//.LUT1("(D*C*~(~B*~A))"),
.INIT_LUT0(16'b1000000000001000),
.INIT_LUT1(16'b1110000000000000),
.MODE("LOGIC"))
\u_logic/_al_u2942|u_logic/_al_u2940 (
.a({\u_logic/_al_u2937_o ,\u_logic/_al_u2939_o }),
.b({\u_logic/_al_u2941_o ,\u_logic/Ad7ax6 }),
.c({\u_logic/B79bx6 ,\u_logic/Bf3qw6 }),
.d({\u_logic/H4ypw6 ,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u2942_o ,\u_logic/_al_u2940_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(A)*~(B)*~(D)*~(0)+A*~(B)*~(D)*~(0)+~(A)*B*~(D)*~(0)+A*~(B)*D*~(0)+A*B*D*~(0)+~(A)*~(B)*~(D)*0))"),
//.LUT1("(C*(~(A)*~(B)*~(D)*~(1)+A*~(B)*~(D)*~(1)+~(A)*B*~(D)*~(1)+A*~(B)*D*~(1)+A*B*D*~(1)+~(A)*~(B)*~(D)*1))"),
.INIT_LUT0(16'b1010000001110000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2943 (
.a({\u_logic/Am6iu6_lutinv ,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/_al_u2773_o ,\u_logic/_al_u2773_o }),
.c({\u_logic/_al_u2932_o ,\u_logic/_al_u2932_o }),
.d({\u_logic/Pg3qw6 ,\u_logic/Pg3qw6 }),
.mi({open_n148355,\u_logic/Yvabx6 }),
.fx({open_n148360,\u_logic/X87iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(A*~(B)*~(D)*~(0)+~(A)*~(B)*D*~(0)+A*~(B)*D*~(0)+A*B*D*~(0)+~(A)*B*~(D)*0+~(A)*~(B)*D*0+A*~(B)*D*0+A*B*D*0))"),
//.LUT1("(C*(A*~(B)*~(D)*~(1)+~(A)*~(B)*D*~(1)+A*~(B)*D*~(1)+A*B*D*~(1)+~(A)*B*~(D)*1+~(A)*~(B)*D*1+A*~(B)*D*1+A*B*D*1))"),
.INIT_LUT0(16'b1011000000100000),
.INIT_LUT1(16'b1011000001000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2944 (
.a({\u_logic/Am6iu6_lutinv ,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/_al_u2773_o ,\u_logic/_al_u2773_o }),
.c({\u_logic/_al_u2933_o ,\u_logic/_al_u2933_o }),
.d({\u_logic/Bf3qw6 ,\u_logic/Bf3qw6 }),
.mi({open_n148375,\u_logic/Pg3qw6 }),
.fx({open_n148380,\u_logic/_al_u2944_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(D*~B*A))"),
//.LUT1("(~B*~(~D*~(~C*~A)))"),
.INIT_LUT0(16'b0000110100001111),
.INIT_LUT1(16'b0011001100000001),
.MODE("LOGIC"))
\u_logic/_al_u2945|u_logic/_al_u2928 (
.a({\u_logic/X87iu6 ,\u_logic/Am6iu6_lutinv }),
.b({\u_logic/_al_u2944_o ,\u_logic/_al_u2773_o }),
.c({\u_logic/J17iu6_lutinv ,\u_logic/Bf3qw6 }),
.d({\u_logic/Bf3qw6 ,\u_logic/Pg3qw6 }),
.f({\u_logic/_al_u2945_o ,\u_logic/_al_u2928_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20246)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("(B*~A*~(~D*C))"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("(B*~A*~(~D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b0100010000000100),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b0100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2948|u_logic/Nckbx6_reg (
.a({\u_logic/Qc3pw6_lutinv ,\u_logic/_al_u3217_o }),
.b({\u_logic/_al_u2947_o ,\u_logic/Bo1iu6 }),
.c({\u_logic/B79bx6 ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Ke1qw6 ,\u_logic/Nckbx6 }),
.e({open_n148404,\u_logic/Nd3qw6 }),
.f({\u_logic/_al_u2948_o ,open_n148420}),
.q({open_n148424,\u_logic/Nckbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20246)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(~B*~(0*~C))))"),
//.LUT1("(A*~(D*~(~B*~(1*~C))))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0010000010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2950 (
.a({\u_logic/Nr4iu6_lutinv ,\u_logic/Nr4iu6_lutinv }),
.b({\u_logic/_al_u2942_o ,\u_logic/_al_u2942_o }),
.c({\u_logic/_al_u2945_o ,\u_logic/_al_u2945_o }),
.d({\u_logic/_al_u2948_o ,\u_logic/_al_u2948_o }),
.mi({open_n148437,\u_logic/_al_u2949_o }),
.fx({open_n148442,\u_logic/_al_u2950_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~((D*~C))*~(B)+A*(D*~C)*~(B)+~(A)*(D*~C)*B+A*(D*~C)*B)"),
//.LUT1("(D*(C@B))"),
.INIT_LUT0(16'b0010111000100010),
.INIT_LUT1(16'b0011110000000000),
.MODE("LOGIC"))
\u_logic/_al_u2953|u_logic/_al_u2925 (
.a({open_n148445,\u_logic/_al_u2924_o }),
.b({\u_logic/Ad7ax6 ,\u_logic/n5754 }),
.c({\u_logic/Vn9bx6 ,\u_logic/Wqzhu6 }),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/Ksgax6 }),
.f({\u_logic/_al_u2953_o ,HSIZE[0]}));
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(D*~(C@B))"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b1100001100000000),
.MODE("LOGIC"))
\u_logic/_al_u2955|u_logic/_al_u2961 (
.b({\u_logic/Am6iu6_lutinv ,\u_logic/_al_u2773_o }),
.c({\u_logic/Su8ax6 ,\u_logic/Bf3qw6 }),
.d({\u_logic/Nr4iu6_lutinv ,\u_logic/Nr4iu6_lutinv }),
.f({\u_logic/_al_u2955_o ,\u_logic/_al_u2961_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*D*~(0)+B*~(C)*D*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+B*~(C)*D*0))"),
//.LUTF1("(D*~(C@B))"),
//.LUTG0("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*D*~(1)+B*~(C)*D*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+B*~(C)*D*1))"),
//.LUTG1("(D*~(C@B))"),
.INIT_LUTF0(16'b1010101000100010),
.INIT_LUTF1(16'b1100001100000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1100001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2957|u_logic/_al_u3983 (
.a({open_n148488,\u_logic/_al_u3982_o }),
.b({\u_logic/Am6iu6_lutinv ,HADDR[4]}),
.c({\u_logic/Pg3qw6 ,HADDR[2]}),
.d({\u_logic/Nr4iu6_lutinv ,HADDR[6]}),
.e({open_n148491,HADDR[3]}),
.f({\u_logic/_al_u2957_o ,\u_logic/_al_u3983_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*D))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u2963|u_logic/_al_u2915 (
.b({open_n148514,\u_logic/_al_u2914_o }),
.c({\u_logic/Ay8iu6 ,\u_logic/Sejax6 }),
.d({\u_logic/Iiliu6 ,\u_logic/Uy4iu6 }),
.f({\u_logic/_al_u2963_o ,\u_logic/_al_u2915_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2964|u_logic/_al_u2897 (
.a({open_n148535,\u_logic/Uy4iu6 }),
.b({open_n148536,\u_logic/Kq7iu6 }),
.c({\u_logic/Qk9pw6_lutinv ,HRDATA[27]}),
.d({\u_logic/Ay8iu6 ,\u_logic/Smjax6 }),
.f({\u_logic/_al_u2964_o ,\u_logic/Onciu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18432)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~0*~D*~(~C*~(~B*~A)))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~1*~D*~(~C*~(~B*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000011110001),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2965|u_logic/Jxgax6_reg (
.a({\u_logic/_al_u2921_o ,\u_logic/A25iu6 }),
.b({\u_logic/_al_u2963_o ,\u_logic/Kq7iu6 }),
.c({\u_logic/_al_u2964_o ,HRDATA[23]}),
.clk(clk_pad),
.d({\u_logic/n1481 ,\u_logic/Zqiax6 }),
.e({\u_logic/_al_u2288_o ,open_n148562}),
.mi({open_n148564,1'b0}),
.sr(RSTn_pad),
.f({\u_logic/_al_u2965_o ,\u_logic/_al_u2887_o }),
.q({open_n148579,\u_logic/Jxgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18432)
// ../rtl/topmodule/cortexm0ds_logic.v(18636)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~C*~(~B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u2967|u_logic/U8jax6_reg (
.a({\u_logic/_al_u2921_o ,open_n148580}),
.b({\u_logic/_al_u2963_o ,open_n148581}),
.c({\u_logic/_al_u2964_o ,\u_logic/L18iu6 }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/S18iu6 ,\u_logic/_al_u2710_o }),
.sr(cpuresetn),
.f({\u_logic/E18iu6 ,\u_logic/W7cow6 }),
.q({open_n148597,\u_logic/U8jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18636)
EG_PHY_MSLICE #(
//.LUT0("~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUT1("~(D*~(~C*B))"),
.INIT_LUT0(16'b0000111111001100),
.INIT_LUT1(16'b0000110011111111),
.MODE("LOGIC"))
\u_logic/_al_u2970|u_logic/_al_u2797 (
.b({\u_logic/n5754 ,\u_logic/Fnpiu6 }),
.c({\u_logic/_al_u2861_o ,\u_logic/Sq4iu6 }),
.d({\u_logic/_al_u2969_o ,\u_logic/n5754 }),
.f({HTRANS[1],HWRITE}));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~A*~(~D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0101010100010101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2971|FM_HW/FM_Demodulation/reg5_b205 (
.a({\u_logic/Nr4iu6_lutinv ,open_n148620}),
.b({\u_logic/E18iu6 ,open_n148621}),
.c({\u_logic/_al_u2968_o ,\u_logic/Fm7ax6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/n1481 ,\u_logic/K0qiu6_lutinv }),
.mi({open_n148632,\FM_HW/FM_Demodulation/demodulated_signal_temp [12]}),
.f({\u_logic/_al_u2971_o ,\u_logic/_al_u3283_o }),
.q({open_n148637,\FM_HW/FM_Demodulation/dmd_data_filter[20] [5]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~A*~(C*~(~0*~D)))"),
//.LUTF1("~(C*D)"),
//.LUTG0("(~B*~A*~(C*~(~1*~D)))"),
//.LUTG1("~(C*D)"),
.INIT_LUTF0(16'b0000000100010001),
.INIT_LUTF1(16'b0000111111111111),
.INIT_LUTG0(16'b0000000100000001),
.INIT_LUTG1(16'b0000111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2974|u_logic/_al_u127 (
.a({open_n148638,\u_logic/_al_u126_o }),
.b({open_n148639,\u_logic/n274 }),
.c({\u_logic/_al_u128_o ,\u_logic/Iqzhu6_lutinv }),
.d({\u_logic/V34iu6 ,\u_logic/Gnqpw6 }),
.e({open_n148642,\u_logic/J0gax6 }),
.f({\u_logic/n394 ,\u_logic/V34iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(D*~(~A*~(B)*~(C)+~A*B*~(C)+~(~A)*B*C+~A*B*C))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0011101000000000),
.MODE("LOGIC"))
\u_logic/_al_u2975|u_logic/_al_u2770 (
.a({\u_logic/_al_u2917_o ,open_n148663}),
.b({\u_logic/_al_u2919_o ,\u_logic/J0gax6 }),
.c({\u_logic/Sqfax6 ,\u_logic/Nmfax6 }),
.d({\u_logic/Uofax6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/D7xiu6_lutinv ,\u_logic/Wqzhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2976|u_logic/_al_u2773 (
.b({open_n148686,\u_logic/Gpqpw6 }),
.c({\u_logic/J0gax6 ,\u_logic/Xn7ax6 }),
.d({\u_logic/Sq4iu6 ,\u_logic/Wqzhu6 }),
.f({\u_logic/_al_u2976_o ,\u_logic/_al_u2773_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~C*B*~A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*D*~C*B*~A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000010000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2977|u_logic/_al_u3464 (
.a({open_n148711,\u_logic/J0gax6 }),
.b({\u_logic/K7xiu6_lutinv ,\u_logic/Nrqpw6 }),
.c({\u_logic/_al_u2976_o ,\u_logic/Sqfax6 }),
.d({\u_logic/D7xiu6_lutinv ,\u_logic/Tb3qw6 }),
.e({open_n148714,\u_logic/Uofax6 }),
.f({\u_logic/Wo1iu6 ,\u_logic/_al_u3464_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*D*C*B))"),
//.LUT1("(~A*~(1*D*C*B))"),
.INIT_LUT0(16'b0101010101010101),
.INIT_LUT1(16'b0001010101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u2980 (
.a({\u_logic/_al_u2979_o ,\u_logic/_al_u2979_o }),
.b({\u_logic/K0xiu6 ,\u_logic/K0xiu6 }),
.c({\u_logic/Q4wiu6_lutinv ,\u_logic/Q4wiu6_lutinv }),
.d({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.mi({open_n148747,\u_logic/Pe7ax6 }),
.fx({open_n148752,\u_logic/Uvsiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u2981|u_logic/_al_u97 (
.b({\u_logic/Ymwiu6 ,open_n148757}),
.c({\u_logic/K0xiu6 ,\u_logic/Nkwiu6 }),
.d({\u_logic/Uvsiu6 ,\u_logic/Avwiu6 }),
.f({\u_logic/_al_u2981_o ,\u_logic/Zt1iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19962)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u2985|u_logic/Itcbx6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Ar1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/K6gax6 ,\u_logic/Elgax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Usnpw6 ,\u_logic/Nfgax6 }),
.mi({open_n148781,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u2985_o ,\u_logic/Gzviu6 }),
.q({open_n148797,\u_logic/Itcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19962)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2992|u_logic/_al_u117 (
.c({\u_logic/Pjyiu6 ,\u_logic/Vynow6_lutinv }),
.d({\u_logic/Cznow6 ,\u_logic/Cznow6 }),
.f({\u_logic/G2fiu6 ,\u_logic/C0fiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u2995|u_logic/_al_u79 (
.c({\u_logic/Pjyiu6 ,\u_logic/Vynow6_lutinv }),
.d({\u_logic/Xznow6 ,\u_logic/Xznow6 }),
.f({\u_logic/Tzdiu6 ,\u_logic/S1fiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3000|u_logic/_al_u2997 (
.a({\u_logic/_al_u2994_o ,\u_logic/_al_u2996_o }),
.b({\u_logic/_al_u2997_o ,\u_logic/Cznow6 }),
.c({\u_logic/_al_u2998_o ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/_al_u2999_o ,\u_logic/Uj4bx6 }),
.f({\u_logic/Rw1iu6 ,\u_logic/_al_u2997_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18177)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3001|u_logic/D1aax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/Idqiu6 }),
.b({\u_logic/Yw1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/_al_u2988_o ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Rw1iu6 ,\u_logic/Pv9ax6 }),
.e({open_n148878,\u_logic/vis_pc_o[2] }),
.mi({open_n148880,\u_logic/T24iu6 }),
.f({\u_logic/_al_u3001_o ,\u_logic/_al_u3250_o }),
.q({open_n148896,\u_logic/D1aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18177)
// ../rtl/topmodule/cortexm0ds_logic.v(18027)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3003|u_logic/Tb3qw6_reg (
.a({open_n148897,\u_logic/_al_u2929_o }),
.b({open_n148898,\u_logic/Kl8ax6 }),
.c({\u_logic/_al_u128_o ,\u_logic/Su8ax6 }),
.ce(\u_logic/M24iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3002_o ,\u_logic/Ym3qw6 }),
.e({open_n148899,\u_logic/Yubbx6 }),
.mi({open_n148901,\u_logic/H34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3003_o ,\u_logic/_al_u2930_o }),
.q({open_n148916,\u_logic/Tb3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18027)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3005|RAMDATA_Interface/reg0_b5 (
.b({open_n148919,\u_logic/_al_u2530_o }),
.c({\u_logic/Uunpw6 ,\u_logic/Nd3qw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/D7xiu6_lutinv ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3005_o ,HADDR[7]}),
.q({open_n148939,RAMDATA_WADDR[5]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3007|u_logic/_al_u2185 (
.a({\u_logic/C0fiu6 ,\u_logic/_al_u1886_o }),
.b({\u_logic/E1fiu6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Aw4bx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Unyax6 ,\u_logic/Vkzax6 }),
.f({\u_logic/_al_u3007_o ,\u_logic/_al_u2185_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u3008|u_logic/_al_u2191 (
.a({\u_logic/S1fiu6 ,open_n148964}),
.b({\u_logic/Q0fiu6 ,\u_logic/Ukbpw6_lutinv }),
.c({\u_logic/E34bx6 ,\u_logic/Mfyax6 }),
.d({\u_logic/Pczax6 ,\u_logic/_al_u1926_o }),
.f({\u_logic/_al_u3008_o ,\u_logic/_al_u2191_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3013 (
.c({open_n148989,\u_logic/_al_u2276_o }),
.d({open_n148992,\u_logic/_al_u3012_o }),
.f({open_n149010,\u_logic/_al_u3013_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18076)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(C*~(D*B)))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010111110101),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3016|u_logic/F17ax6_reg (
.a({\u_logic/Hqgiu6 ,\u_logic/Qh5iu6 }),
.b({\u_logic/Tzdiu6 ,\u_logic/_al_u1061_o }),
.c({\u_logic/Uizax6 ,\u_logic/_al_u2251_o }),
.clk(clk_pad),
.d({\u_logic/Wgipw6 ,\u_logic/Qrgiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3016_o ,open_n149029}),
.q({open_n149033,\u_logic/F17ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18076)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3017|u_logic/_al_u3015 (
.a({\u_logic/_al_u3010_o ,\u_logic/_al_u3014_o }),
.b({\u_logic/_al_u3013_o ,\u_logic/Cznow6 }),
.c({\u_logic/_al_u3015_o ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/_al_u3016_o ,\u_logic/Tl4bx6 }),
.f({\u_logic/Bewiu6 ,\u_logic/_al_u3015_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17776)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3020|u_logic/Hhvpw6_reg (
.a({\u_logic/Xs1iu6 ,open_n149058}),
.b({\u_logic/Ar1iu6 ,\u_logic/U64iu6 }),
.c({\u_logic/F6dbx6 ,\u_logic/Wvgax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Widax6 ,\u_logic/_al_u1315_o }),
.f({\u_logic/_al_u3020_o ,HWDATA[19]}),
.q({open_n149075,\u_logic/Hhvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17776)
// ../rtl/topmodule/cortexm0ds_logic.v(18311)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3022|u_logic/Tceax6_reg (
.a({\u_logic/_al_u3019_o ,\u_logic/Dw1iu6 }),
.b({\u_logic/_al_u3021_o ,\u_logic/Zt1iu6 }),
.c({\u_logic/St1iu6 ,\u_logic/Aoeax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({HRDATA[30],\u_logic/Kcaax6 }),
.mi({open_n149079,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3022_o ,\u_logic/_al_u4251_o }),
.q({open_n149095,\u_logic/Tceax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18311)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3027|FM_Display/reg9_b1 (
.a({\u_logic/Yw1iu6 ,\u_logic/_al_u1200_o }),
.b({\u_logic/_al_u3026_o ,\u_logic/O34iu6 }),
.c({\u_logic/Zt1iu6 ,\u_logic/I8lax6 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/Tchbx6 ,\u_logic/Wvgax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3027_o ,HWDATA[1]}),
.q({open_n149115,\FM_Display/channel_NO [1]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/topmodule/cortexm0ds_logic.v(18202)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*A*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3030|u_logic/P0bax6_reg (
.a({\u_logic/U6wiu6 ,open_n149116}),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/P0bax6 }),
.c({\u_logic/_al_u3029_o ,\u_logic/Sbfax6 }),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.d({\u_logic/Wahbx6 ,\u_logic/Gr2qw6 }),
.mi({open_n149127,\u_logic/Ud4iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3030_o ,\u_logic/_al_u3292_o }),
.q({open_n149131,\u_logic/P0bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18202)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(C*B*~(0*D)))"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(C*B*~(1*D)))"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0010101000101010),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1010101000101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3031|u_logic/_al_u3155 (
.a({\u_logic/Wo1iu6 ,\u_logic/_al_u2979_o }),
.b({\u_logic/_al_u3027_o ,\u_logic/St1iu6 }),
.c({\u_logic/_al_u3030_o ,\u_logic/Kw1iu6_lutinv }),
.d({\u_logic/St1iu6 ,HRDATA[11]}),
.e({HRDATA[29],\u_logic/Xv8bx6 }),
.f({\u_logic/_al_u3031_o ,\u_logic/_al_u3155_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18295)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3035|u_logic/Hsdax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/Bngax6 ,\u_logic/Mgeax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Ibqpw6 ,\u_logic/vis_pc_o[24] }),
.mi({open_n149157,\u_logic/Z54iu6 }),
.f({\u_logic/_al_u3035_o ,\u_logic/_al_u3062_o }),
.q({open_n149173,\u_logic/Hsdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18295)
// ../rtl/topmodule/cortexm0ds_logic.v(18958)
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3039|u_logic/J7xax6_reg (
.a({\u_logic/F0eow6 ,\u_logic/Vynow6_lutinv }),
.b({\u_logic/M0eow6 ,\u_logic/R9yax6 }),
.c({\u_logic/Usipw6 ,\u_logic/W5ypw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/V73bx6 ,\u_logic/Ztupw6 }),
.mi({open_n149184,HWDATA[23]}),
.f({\u_logic/_al_u3039_o ,\u_logic/E1fiu6 }),
.q({open_n149189,\u_logic/J7xax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18958)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~B*A*~(D*C))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"))
\u_logic/_al_u3040|u_logic/_al_u2846 (
.a({\u_logic/_al_u3039_o ,\u_logic/HALTED }),
.b({\u_logic/_al_u2276_o ,\u_logic/Jcpow6 }),
.c({\u_logic/_al_u1937_o ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/Mnmpw6 ,\u_logic/C1wpw6 }),
.f({\u_logic/I0wiu6 ,\u_logic/_al_u2846_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20019)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3043|u_logic/Bvfbx6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/_al_u2946_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Bvfbx6 }),
.c({\u_logic/N0cbx6 ,\u_logic/H7hbx6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Q4dbx6 ,\u_logic/Nlcbx6 }),
.e({open_n149210,\u_logic/No3qw6 }),
.mi({open_n149212,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3043_o ,\u_logic/_al_u2947_o }),
.q({open_n149228,\u_logic/Bvfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20019)
// ../rtl/topmodule/cortexm0ds_logic.v(18313)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3046|u_logic/Mgeax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3126_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Nybbx6 ,\u_logic/Ar1iu6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/W0dbx6 ,\u_logic/Fjdbx6 }),
.e({open_n149229,\u_logic/Fldbx6 }),
.mi({open_n149231,\u_logic/K84iu6 }),
.f({\u_logic/_al_u3046_o ,\u_logic/_al_u3127_o }),
.q({open_n149247,\u_logic/Mgeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18313)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C)*~(0*B))"),
//.LUT1("(~A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010101),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3048 (
.a({\u_logic/_al_u2276_o ,\u_logic/_al_u2276_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/P33bx6 ,\u_logic/P33bx6 }),
.mi({open_n149260,\u_logic/Qx0bx6 }),
.fx({open_n149265,\u_logic/Wtviu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19056)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3054|u_logic/G0zax6_reg (
.a({open_n149268,\u_logic/Fpgiu6 }),
.b({\u_logic/_al_u1937_o ,\u_logic/_al_u1937_o }),
.c({\u_logic/Zdtpw6 ,\u_logic/G0zax6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/_al_u3053_o ,\u_logic/vis_ipsr_o[1] }),
.mi({open_n149272,\u_logic/I4eiu6 }),
.sr(cpuresetn),
.f({\u_logic/Wmviu6 ,\u_logic/_al_u3520_o }),
.q({open_n149287,\u_logic/G0zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19056)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*C)*~(D*B))"),
//.LUT1("(~A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0001000101010101),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3066 (
.a({\u_logic/_al_u2276_o ,\u_logic/_al_u2276_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Pv0bx6 ,\u_logic/Pv0bx6 }),
.mi({open_n149300,\u_logic/Rm2bx6 }),
.fx({open_n149305,\u_logic/Wfviu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19942)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(D*C)))"),
//.LUT1("(~(D*~B)*~(~C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101110111011101),
.INIT_LUT1(16'b1100010011110101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3069|u_logic/N0cbx6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/_al_u3043_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Wo1iu6 }),
.c({\u_logic/_al_u3068_o ,\u_logic/Yw1iu6 }),
.clk(clk_pad),
.d({\u_logic/Fl2qw6 ,\u_logic/_al_u3049_o }),
.f({\u_logic/_al_u3069_o ,open_n149322}),
.q({open_n149326,\u_logic/N0cbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19942)
// ../rtl/topmodule/cortexm0ds_logic.v(18025)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~B)*~(C*A))"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~(D*~B)*~(C*A))"),
//.LUTG1("(~D*~C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100110001011111),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0100110001011111),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3070|u_logic/P93qw6_reg (
.a({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u3002_o }),
.b({\u_logic/_al_u2976_o ,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/_al_u128_o ,\u_logic/_al_u128_o }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u126_o ,\u_logic/Ceabx6 }),
.mi({open_n149330,\u_logic/Z73qw6 }),
.f({\u_logic/Qwpiu6_lutinv ,\u_logic/_al_u3247_o }),
.q({open_n149346,\u_logic/P93qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18025)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3075 (
.a({\u_logic/_al_u3012_o ,\u_logic/_al_u3012_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Dv2bx6 ,\u_logic/Dv2bx6 }),
.mi({open_n149359,\u_logic/Oxkpw6 }),
.fx({open_n149364,\u_logic/P8viu6 }));
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*B*A*~(0*C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*B*A*~(1*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000010001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3077|FM_HW/FM_Demodulation/reg0_b3 (
.a({\u_logic/_al_u3074_o ,open_n149367}),
.b({\u_logic/P8viu6 ,open_n149368}),
.c({\u_logic/St1iu6 ,\u_logic/Dg2qw6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/_al_u3076_o ,\u_logic/Ve7iu6 }),
.e({HRDATA[24],open_n149369}),
.mi({open_n149371,\FM_HW/ADC_Data [7]}),
.f({\u_logic/_al_u3077_o ,\u_logic/Oe7iu6 }),
.q({open_n149387,\FM_HW/FM_Demodulation/IdataN_1 [3]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/topmodule/cortexm0ds_logic.v(18169)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3078|u_logic/Im9ax6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/Wfviu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Evbax6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Tgkbx6 ,\u_logic/G79ax6 }),
.e({open_n149388,\u_logic/W4aax6 }),
.mi({open_n149390,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3078_o ,\u_logic/_al_u3067_o }),
.q({open_n149406,\u_logic/Im9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18169)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3080|u_logic/_al_u3279 (
.a({\u_logic/Yc7iu6 ,\u_logic/Ws4iu6_lutinv }),
.b({\u_logic/Vr1iu6 ,\u_logic/Vr1iu6 }),
.c({\u_logic/Sbfax6 ,\u_logic/Hlcax6 }),
.d({\u_logic/Xqcax6 ,\u_logic/Vz8ax6 }),
.f({\u_logic/_al_u3080_o ,\u_logic/X3qiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*B*A*~(0*C))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~D*B*A*~(1*C))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000000010001000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3081|u_logic/_al_u3484 (
.a({\u_logic/_al_u3079_o ,\u_logic/_al_u3481_o }),
.b({\u_logic/_al_u3080_o ,\u_logic/_al_u3482_o }),
.c({\u_logic/_al_u407_o ,\u_logic/Zt1iu6 }),
.d({\u_logic/Uh2qw6 ,\u_logic/_al_u3483_o }),
.e({open_n149433,\u_logic/D1aax6 }),
.f({\u_logic/_al_u3081_o ,\u_logic/_al_u3484_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~D*~C*~B*~A)"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000000000000001),
.MODE("LOGIC"))
\u_logic/_al_u3085|u_logic/_al_u1928 (
.a({\u_logic/Fc1bx6 ,HWDATA[21]}),
.b({\u_logic/Fe2bx6 ,\u_logic/K66iu6 }),
.c({\u_logic/Gihbx6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Hg3bx6 ,\u_logic/Li2bx6 }),
.f({\u_logic/_al_u3085_o ,\u_logic/_al_u1928_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19158)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3087|u_logic/Czzax6_reg (
.a({\u_logic/Lr9bx6 ,HWDATA[5]}),
.b({\u_logic/Mk3bx6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Muhbx6 ,\u_logic/Czzax6 }),
.clk(clk_pad),
.d({\u_logic/N5bbx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3087_o ,open_n149491}),
.q({open_n149495,\u_logic/Czzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19158)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*A*~(~0*D))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~C*B*A*~(~1*D))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000100000001000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3088|u_logic/_al_u2837 (
.a({\u_logic/Jx1bx6 ,\u_logic/_al_u2831_o }),
.b({\u_logic/Jz2bx6 ,\u_logic/_al_u2835_o }),
.c({\u_logic/Lg1bx6 ,\u_logic/_al_u2836_o }),
.d({\u_logic/Li2bx6 ,\u_logic/Zzohu6 }),
.e({open_n149498,\u_logic/Y0gbx6 }),
.f({\u_logic/_al_u3088_o ,\u_logic/_al_u2837_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~D*C)*~(~0*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(~D*C)*~(~1*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0010001000000010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010101000001010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3089|u_logic/_al_u2814 (
.a({\u_logic/_al_u3085_o ,\u_logic/_al_u2813_o }),
.b({\u_logic/_al_u3086_o ,\u_logic/U0phu6 }),
.c({\u_logic/_al_u3087_o ,\u_logic/G0phu6 }),
.d({\u_logic/_al_u3088_o ,\u_logic/Li2bx6 }),
.e({open_n149521,\u_logic/V52bx6 }),
.f({\u_logic/_al_u3089_o ,\u_logic/_al_u2814_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3090|u_logic/_al_u1958 (
.a({\u_logic/Xq2bx6 ,HWDATA[31]}),
.b({\u_logic/Y0gbx6 ,\u_logic/K66iu6 }),
.c({\u_logic/Yxrpw6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Z71bx6 ,\u_logic/Hg3bx6 }),
.f({\u_logic/_al_u3090_o ,\u_logic/_al_u1958_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*~B*A)"),
//.LUT1("(~1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000010),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3091 (
.a({\u_logic/_al_u3090_o ,\u_logic/_al_u3090_o }),
.b({\u_logic/Us3bx6 ,\u_logic/Us3bx6 }),
.c({\u_logic/V52bx6 ,\u_logic/V52bx6 }),
.d({\u_logic/V73bx6 ,\u_logic/V73bx6 }),
.mi({open_n149578,\u_logic/Xo1bx6 }),
.fx({open_n149583,\u_logic/_al_u3091_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3092|u_logic/_al_u3209 (
.a({\u_logic/Rk1bx6 ,\u_logic/F0eow6 }),
.b({\u_logic/Rm2bx6 ,\u_logic/M0eow6 }),
.c({\u_logic/Tcipw6 ,\u_logic/C10bx6 }),
.d({\u_logic/U31bx6 ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u3092_o ,\u_logic/_al_u3209_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19182)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3093|u_logic/D70bx6_reg (
.a({\u_logic/P12bx6 ,HWDATA[11]}),
.b({\u_logic/P33bx6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Qo3bx6 ,\u_logic/D70bx6 }),
.clk(clk_pad),
.d({\u_logic/Rijbx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3093_o ,open_n149627}),
.q({open_n149631,\u_logic/D70bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19182)
// ../rtl/topmodule/cortexm0ds_logic.v(19422)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3094|u_logic/Li2bx6_reg (
.a({\u_logic/_al_u3089_o ,\u_logic/_al_u1928_o }),
.b({\u_logic/_al_u3091_o ,\u_logic/_al_u1061_o }),
.c({\u_logic/_al_u3092_o ,\u_logic/_al_u1926_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3093_o ,\u_logic/_al_u1909_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3094_o ,\u_logic/G0phu6 }),
.q({open_n149652,\u_logic/Li2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19422)
// ../rtl/topmodule/cortexm0ds_logic.v(19608)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~(B*~A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*C*~(B*~A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1011000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3095|u_logic/Mb4bx6_reg (
.a({\u_logic/Yvgiu6 ,\u_logic/_al_u4066_o }),
.b({\u_logic/Q0fiu6 ,\u_logic/_al_u4057_o }),
.c({\u_logic/F9gbx6 ,\u_logic/C3wpw6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Jdgbx6 ,\u_logic/U31bx6 }),
.mi({open_n149656,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3095_o ,\u_logic/_al_u4067_o }),
.q({open_n149671,\u_logic/Mb4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19608)
// ../rtl/topmodule/cortexm0ds_logic.v(19882)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(~D*~C))"),
//.LUT1("(C*B*~(D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b1000000011000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3097|u_logic/Bcabx6_reg (
.a({\u_logic/_al_u3094_o ,\u_logic/_al_u2808_o }),
.b({\u_logic/_al_u3095_o ,\u_logic/_al_u2810_o }),
.c({\u_logic/_al_u3096_o ,\u_logic/Qh5iu6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/_al_u1937_o ,\u_logic/F17ax6 }),
.mi({open_n149682,HWDATA[6]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3097_o ,\u_logic/_al_u2811_o }),
.q({open_n149686,\u_logic/Bcabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19882)
// ../rtl/topmodule/cortexm0ds_logic.v(19614)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3098|u_logic/Od4bx6_reg (
.a({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.b({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.c({\u_logic/B3gbx6 ,\u_logic/Hg3bx6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Y0gbx6 ,\u_logic/S0kbx6 }),
.mi({open_n149697,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3098_o ,\u_logic/_al_u2991_o }),
.q({open_n149701,\u_logic/Od4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19614)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0*D))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*~B*A*~(1*D))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010000000100000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3101|u_logic/_al_u4420 (
.a({\u_logic/Hqgiu6 ,\u_logic/_al_u4417_o }),
.b({\u_logic/C0fiu6 ,\u_logic/_al_u4418_o }),
.c({\u_logic/Hbgbx6 ,\u_logic/_al_u4419_o }),
.d({\u_logic/Vpgbx6 ,\u_logic/Tzdiu6 }),
.e({open_n149704,\u_logic/Vkzax6 }),
.f({\u_logic/_al_u3101_o ,\u_logic/_al_u4420_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19242)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3102|u_logic/Nr0bx6_reg (
.a({\u_logic/G2fiu6 ,HWDATA[21]}),
.b({\u_logic/M6eiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/D7gbx6 ,\u_logic/Nr0bx6 }),
.clk(clk_pad),
.d({\u_logic/Pjgbx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3102_o ,open_n149742}),
.q({open_n149746,\u_logic/Nr0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19242)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3103|u_logic/_al_u4313 (
.a({\u_logic/_al_u3097_o ,\u_logic/C0fiu6 }),
.b({\u_logic/_al_u3100_o ,\u_logic/Yvgiu6 }),
.c({\u_logic/_al_u3101_o ,\u_logic/Rfxax6 }),
.d({\u_logic/_al_u3102_o ,\u_logic/Up4bx6 }),
.f({\u_logic/Ntuiu6 ,\u_logic/_al_u4313_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*(0@B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(D*C)*(1@B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3106|u_logic/_al_u3617 (
.a({\u_logic/Cs1iu6 ,\u_logic/_al_u3616_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u2506_o }),
.c({\u_logic/Nnfbx6 ,\u_logic/_al_u2534_o }),
.d({\u_logic/Tlebx6 ,\u_logic/Bwdax6 }),
.e({open_n149773,\u_logic/N3hbx6 }),
.f({\u_logic/_al_u3106_o ,\u_logic/_al_u3617_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18289)
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D*B))"),
//.LUT1("(A*~(D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010000010100000),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3109|u_logic/Ahdax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/_al_u3133_o }),
.b({\u_logic/Yw1iu6 ,\u_logic/St1iu6 }),
.c({\u_logic/Ntuiu6 ,\u_logic/_al_u3134_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3108_o ,HRDATA[19]}),
.mi({open_n149804,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3109_o ,\u_logic/_al_u3135_o }),
.q({open_n149809,\u_logic/Ahdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18289)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u310|u_logic/_al_u316 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/M60iu6 ,\u_logic/Y50iu6 }),
.f({\u_logic/Mifpw6 [29],\u_logic/Mifpw6 [30]}));
// ../rtl/topmodule/cortexm0ds_logic.v(19991)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*(D@A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100101010),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3113|u_logic/Acebx6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u2510_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u2546_o }),
.c({\u_logic/Acebx6 ,\u_logic/Dk9bx6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/M2ebx6 ,\u_logic/Thcbx6 }),
.mi({open_n149848,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3113_o ,\u_logic/_al_u3613_o }),
.q({open_n149853,\u_logic/Acebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19991)
// ../rtl/topmodule/cortexm0ds_logic.v(18959)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3117|u_logic/L9xax6_reg (
.a({\u_logic/F0eow6 ,open_n149854}),
.b({\u_logic/M0eow6 ,open_n149855}),
.c({\u_logic/Li2bx6 ,\u_logic/Cznow6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Nr0bx6 ,\u_logic/Kkyiu6 }),
.mi({open_n149859,\u_logic/Fsdiu6 }),
.f({\u_logic/Mdfow6 ,\u_logic/n1114 }),
.q({open_n149875,\u_logic/L9xax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18959)
// ../rtl/topmodule/cortexm0ds_logic.v(18306)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(A*~(0*D*C*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(A*~(1*D*C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1010101010101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3119|u_logic/Xaeax6_reg (
.a({\u_logic/Wo1iu6 ,\u_logic/Iv1iu6 }),
.b({\u_logic/Yw1iu6 ,\u_logic/Dw1iu6 }),
.c({\u_logic/_al_u3114_o ,\u_logic/C1fax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3116_o ,\u_logic/vis_pc_o[4] }),
.e({\u_logic/Umuiu6 ,open_n149876}),
.mi({open_n149878,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3119_o ,\u_logic/_al_u3237_o }),
.q({open_n149893,\u_logic/Xaeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18306)
// ../rtl/topmodule/cortexm0ds_logic.v(18297)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*~C)*(D@B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(~1*~C)*(D@B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000001000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001000101000100),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3126|u_logic/Bwdax6_reg (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3606_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/_al_u2482_o }),
.c({\u_logic/Tsdbx6 ,\u_logic/_al_u2486_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Zodbx6 ,\u_logic/Erbbx6 }),
.e({open_n149894,\u_logic/Kqdax6 }),
.mi({open_n149896,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3126_o ,\u_logic/_al_u3607_o }),
.q({open_n149912,\u_logic/Bwdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18297)
// ../rtl/topmodule/cortexm0ds_logic.v(19224)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3128|u_logic/Kl0bx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[18]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Fe2bx6 ,\u_logic/Kl0bx6 }),
.clk(clk_pad),
.d({\u_logic/Mp0bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/Mrfow6 ,open_n149926}),
.q({open_n149930,\u_logic/Kl0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19224)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3129 (
.a({\u_logic/Mrfow6 ,\u_logic/Mrfow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/X6jpw6 ,\u_logic/X6jpw6 }),
.mi({open_n149943,\u_logic/Z8jpw6 }),
.fx({open_n149948,\u_logic/Bguiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20168)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u312|u_logic/R9ibx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r2_o[30] ,\u_logic/vis_r1_o[29] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[30] ,\u_logic/vis_r4_o[29] }),
.mi({open_n149961,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u312_o ,\u_logic/_al_u308_o }),
.q({open_n149966,\u_logic/vis_r3_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20168)
// ../rtl/topmodule/cortexm0ds_logic.v(18299)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3133|u_logic/Vzdax6_reg (
.a({\u_logic/_al_u2979_o ,\u_logic/_al_u3185_o }),
.b({\u_logic/_al_u3132_o ,\u_logic/_al_u3187_o }),
.c({\u_logic/Xs1iu6 ,\u_logic/W4siu6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Nodax6 ,\u_logic/_al_u3190_o }),
.mi({open_n149970,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3133_o ,\u_logic/_al_u3191_o }),
.q({open_n149986,\u_logic/Vzdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18299)
// ../rtl/topmodule/cortexm0ds_logic.v(18963)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3136|u_logic/Thxax6_reg (
.a({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.b({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.c({\u_logic/Ln0bx6 ,\u_logic/Jz2bx6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/V52bx6 ,\u_logic/X5upw6 }),
.mi({open_n149990,HWDATA[7]}),
.f({\u_logic/_al_u3136_o ,\u_logic/_al_u3053_o }),
.q({open_n150006,\u_logic/Thxax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18963)
// ../rtl/topmodule/cortexm0ds_logic.v(18109)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3137|u_logic/Nr7ax6_reg (
.a({\u_logic/Yvgiu6 ,open_n150007}),
.b({\u_logic/M6eiu6 ,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Hhvpw6 ,\u_logic/Hhvpw6 }),
.clk(clk_pad),
.d({\u_logic/Nr7ax6 ,\u_logic/_al_u1526_o }),
.f({\u_logic/E5jow6 ,open_n150022}),
.q({open_n150026,\u_logic/Nr7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18109)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3138|u_logic/_al_u3118 (
.a({open_n150027,\u_logic/Mdfow6 }),
.b({\u_logic/_al_u3136_o ,\u_logic/Yvgiu6 }),
.c({\u_logic/E5jow6 ,\u_logic/M6eiu6 }),
.d({\u_logic/_al_u3012_o ,\u_logic/Rhkpw6 }),
.e({open_n150030,\u_logic/Tjkpw6 }),
.f({\u_logic/U8uiu6 ,\u_logic/Umuiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17533)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u313|u_logic/N7ppw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/Panow6_lutinv ,\u_logic/Dmqow6 }),
.c({\u_logic/vis_r6_o[30] ,\u_logic/vis_r1_o[28] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[30] ,\u_logic/vis_r2_o[28] }),
.mi({open_n150061,\u_logic/K39iu6 }),
.f({\u_logic/_al_u313_o ,\u_logic/_al_u584_o }),
.q({open_n150066,\u_logic/vis_r5_o[30] })); // ../rtl/topmodule/cortexm0ds_logic.v(17533)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3144 (
.a({\u_logic/_al_u3013_o ,\u_logic/_al_u3013_o }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/N0xpw6 ,\u_logic/N0xpw6 }),
.mi({open_n150079,\u_logic/P12bx6 }),
.fx({open_n150084,\u_logic/_al_u3144_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20208)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3146|u_logic/Tmjbx6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/_al_u1202_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/I28ju6 }),
.c({\u_logic/Rucax6 ,\u_logic/_al_u1150_o }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Syjbx6 ,\u_logic/Ym4iu6 }),
.e({open_n150087,\u_logic/Wvgax6 }),
.f({\u_logic/_al_u3146_o ,HWDATA[9]}),
.q({open_n150106,\u_logic/Tmjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20208)
// ../rtl/topmodule/cortexm0ds_logic.v(19736)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u314|u_logic/Jy5bx6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[30] ,\u_logic/vis_r2_o[30] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[30] ,\u_logic/vis_r4_o[30] }),
.mi({open_n150110,\u_logic/Hsliu6 }),
.f({\u_logic/Nk4pw6 ,\u_logic/_al_u592_o }),
.q({open_n150126,\u_logic/vis_r3_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(19736)
// ../rtl/topmodule/cortexm0ds_logic.v(17941)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(D*~C)*~(0*B))"),
//.LUTF1("(~D*~(C*~B))"),
//.LUTG0("~(~A*~(D*~C)*~(1*B))"),
//.LUTG1("(~D*~(C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110101010),
.INIT_LUTF1(16'b0000000011001111),
.INIT_LUTG0(16'b1110111111101110),
.INIT_LUTG1(16'b0000000011001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3153|u_logic/Mh1qw6_reg (
.a({open_n150127,\u_logic/_al_u3183_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/Bo1iu6 }),
.c({\u_logic/Kswpw6 ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/_al_u3002_o ,\u_logic/Mh1qw6 }),
.e({open_n150129,\u_logic/Yf1qw6 }),
.f({\u_logic/Pvtiu6 ,open_n150145}),
.q({open_n150149,\u_logic/Mh1qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17941)
// ../rtl/topmodule/cortexm0ds_logic.v(18262)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*D*C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*D*C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3156|u_logic/Ljcax6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/Yw1iu6 }),
.c({\u_logic/F59bx6 ,\u_logic/_al_u3036_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Ux8bx6 ,\u_logic/_al_u3038_o }),
.e({open_n150150,\u_logic/I0wiu6 }),
.mi({open_n150152,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3156_o ,\u_logic/_al_u3041_o }),
.q({open_n150167,\u_logic/Ljcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18262)
// ../rtl/topmodule/cortexm0ds_logic.v(19032)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3158|u_logic/Yryax6_reg (
.a({\u_logic/F0eow6 ,open_n150168}),
.b({\u_logic/M0eow6 ,open_n150169}),
.c({\u_logic/D70bx6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/Lg1bx6 ,HWDATA[15]}),
.sr(cpuresetn),
.f({\u_logic/Fviow6 ,\u_logic/Fsdiu6 }),
.q({open_n150189,\u_logic/Yryax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19032)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3159 (
.a({\u_logic/Fviow6 ,\u_logic/Fviow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Ofmpw6 ,\u_logic/Ofmpw6 }),
.mi({open_n150202,\u_logic/Pt7ax6 }),
.fx({open_n150207,\u_logic/Uosiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18284)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3165|u_logic/Efdax6_reg (
.a({\u_logic/_al_u3164_o ,\u_logic/Vr1iu6 }),
.b({\u_logic/_al_u2979_o ,\u_logic/Zt1iu6 }),
.c({\u_logic/Iv1iu6 ,\u_logic/Ftaax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[9] ,\u_logic/Iddax6 }),
.mi({open_n150213,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3165_o ,\u_logic/_al_u3251_o }),
.q({open_n150228,\u_logic/Efdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18284)
// ../rtl/topmodule/cortexm0ds_logic.v(18346)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3166|u_logic/Sbfax6_reg (
.a({\u_logic/Yc7iu6 ,\u_logic/Sg7iu6 }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Iv1iu6 }),
.c({\u_logic/Hdfax6 ,\u_logic/Lbbax6 }),
.ce(\u_logic/n524 ),
.clk(clk_pad),
.d({\u_logic/Im9ax6 ,\u_logic/vis_pc_o[3] }),
.mi({open_n150232,\u_logic/D84iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3166_o ,\u_logic/_al_u3503_o }),
.q({open_n150247,\u_logic/Sbfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18346)
// ../rtl/topmodule/cortexm0ds_logic.v(19970)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(B*~(D*C)))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101110111011101),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3167|u_logic/F8dbx6_reg (
.a({\u_logic/_al_u3165_o ,\u_logic/_al_u4411_o }),
.b({\u_logic/_al_u3166_o ,\u_logic/Wo1iu6 }),
.c({\u_logic/Xs1iu6 ,\u_logic/Xyuiu6 }),
.clk(clk_pad),
.d({\u_logic/Vzdax6 ,\u_logic/_al_u4426_o }),
.f({\u_logic/_al_u3167_o ,open_n150262}),
.q({open_n150266,\u_logic/F8dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19970)
// ../rtl/topmodule/cortexm0ds_logic.v(17797)
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*D*C))*~(A)+B*(0*D*C)*~(A)+~(B)*(0*D*C)*A+B*(0*D*C)*A)"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(B*~((1*D*C))*~(A)+B*(1*D*C)*~(A)+~(B)*(1*D*C)*A+B*(1*D*C)*A)"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100010001000100),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b1110010001000100),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3169|u_logic/C1wpw6_reg (
.a({\u_logic/L9mow6 ,\u_logic/_al_u2971_o }),
.b({\u_logic/Yvgiu6 ,HWRITE}),
.c({\u_logic/M6eiu6 ,\u_logic/Wjyiu6 }),
.clk(clk_pad),
.d({\u_logic/Tptpw6 ,\u_logic/Rzciu6_lutinv }),
.e({\u_logic/Vrtpw6 ,\u_logic/C1wpw6 }),
.sr(cpuresetn),
.f({\u_logic/Bisiu6 ,open_n150282}),
.q({open_n150286,\u_logic/C1wpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17797)
// ../rtl/topmodule/cortexm0ds_logic.v(18255)
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3170|u_logic/J8cax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/_al_u4423_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/_al_u4425_o }),
.c({\u_logic/Oveax6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Yjaax6 ,HRDATA[23]}),
.mi({open_n150297,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3170_o ,\u_logic/_al_u4426_o }),
.q({open_n150302,\u_logic/J8cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18255)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*D*C*B))"),
//.LUT1("(A*~(1*D*C*B))"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3172 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3167_o ,\u_logic/_al_u3167_o }),
.c({\u_logic/Bisiu6 ,\u_logic/Bisiu6 }),
.d({\u_logic/_al_u3170_o ,\u_logic/_al_u3170_o }),
.mi({open_n150315,\u_logic/Cfsiu6 }),
.fx({open_n150320,\u_logic/_al_u3172_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17313)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(D*C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0010000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3177|u_logic/Oxkpw6_reg (
.a({\u_logic/Rzciu6_lutinv ,HWDATA[24]}),
.b({\u_logic/R9yax6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/W5ypw6 ,\u_logic/Oxkpw6 }),
.clk(clk_pad),
.d({\u_logic/Ztupw6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3177_o ,open_n150336}),
.q({open_n150340,\u_logic/Oxkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17313)
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000100000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3180|u_logic/_al_u2347 (
.a({open_n150341,\u_logic/T9qow6 }),
.b({\u_logic/_al_u3012_o ,\u_logic/I4eiu6 }),
.c({\u_logic/_al_u3179_o ,\u_logic/Ur4iu6 }),
.d({\u_logic/_al_u3178_o ,\u_logic/Wofiu6_lutinv }),
.f({\u_logic/Ibsiu6 ,\u_logic/_al_u2347_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18298)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3181|u_logic/Yxdax6_reg (
.c({\u_logic/F7jbx6 ,\u_logic/Ns8ax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Cs1iu6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3181_o ,\u_logic/X44iu6 }),
.q({open_n150390,\u_logic/Yxdax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18298)
// ../rtl/topmodule/cortexm0ds_logic.v(18257)
EG_PHY_LSLICE #(
//.LUTF0("(A*(D@C)*(0@B))"),
//.LUTF1("(~A*~(D*C)*~(0*B))"),
//.LUTG0("(A*(D@C)*(1@B))"),
//.LUTG1("(~A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010000000),
.INIT_LUTF1(16'b0000010101010101),
.INIT_LUTG0(16'b0000001000100000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3182|u_logic/Bccax6_reg (
.a({\u_logic/_al_u3181_o ,\u_logic/_al_u3621_o }),
.b({\u_logic/Iv1iu6 ,\u_logic/_al_u2522_o }),
.c({\u_logic/Dw1iu6 ,\u_logic/_al_u2605_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/B9jbx6 ,\u_logic/J5eax6 }),
.e({\u_logic/vis_pc_o[8] ,\u_logic/Vzdax6 }),
.mi({open_n150392,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3182_o ,\u_logic/_al_u3622_o }),
.q({open_n150408,\u_logic/Bccax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18257)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*D*C*B))"),
//.LUT1("(A*~(1*D*C*B))"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3183 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3174_o ,\u_logic/_al_u3174_o }),
.c({\u_logic/_al_u3176_o ,\u_logic/_al_u3176_o }),
.d({\u_logic/Ibsiu6 ,\u_logic/Ibsiu6 }),
.mi({open_n150421,\u_logic/_al_u3182_o }),
.fx({open_n150426,\u_logic/_al_u3183_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18267)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~A*~(0*C)*~(D*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001000101010101),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3185|u_logic/Hlcax6_reg (
.a({\u_logic/_al_u2979_o ,\u_logic/St1iu6 }),
.b({\u_logic/St1iu6 ,\u_logic/Zt1iu6 }),
.c({\u_logic/Zt1iu6 ,HRDATA[17]}),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({HRDATA[8],\u_logic/Nlbbx6 }),
.e({\u_logic/Vlaax6 ,open_n150429}),
.mi({open_n150431,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3185_o ,\u_logic/Vrtiu6 }),
.q({open_n150447,\u_logic/Hlcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18267)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*(0@D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*A*(1@D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3194|u_logic/_al_u3546 (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3542_o }),
.b({\u_logic/Vr1iu6 ,\u_logic/_al_u3544_o }),
.c({\u_logic/N3eax6 ,\u_logic/_al_u3545_o }),
.d({\u_logic/U7dax6 ,\u_logic/_al_u2620_o }),
.e({open_n150450,\u_logic/Tcjbx6 }),
.f({\u_logic/_al_u3194_o ,\u_logic/_al_u3546_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18259)
EG_PHY_LSLICE #(
//.LUTF0("(B*(D@C)*(0@A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*(D@C)*(1@A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000010001000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3195|u_logic/Tfcax6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/_al_u2757_o }),
.b({\u_logic/Zt1iu6 ,\u_logic/_al_u3527_o }),
.c({\u_logic/Bccax6 ,\u_logic/_al_u2526_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Rnaax6 ,\u_logic/F59bx6 }),
.e({open_n150471,\u_logic/Hlcax6 }),
.mi({open_n150473,\u_logic/H34iu6 }),
.f({\u_logic/_al_u3195_o ,\u_logic/_al_u3528_o }),
.q({open_n150489,\u_logic/Tfcax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18259)
// ../rtl/topmodule/cortexm0ds_logic.v(18276)
EG_PHY_LSLICE #(
//.LUTF0("(D*B*A*~(0*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(D*B*A*~(1*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000100000000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3198|u_logic/F2dax6_reg (
.a({\u_logic/Iv1iu6 ,\u_logic/_al_u3045_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/_al_u3047_o }),
.c({\u_logic/vis_pc_o[6] ,\u_logic/St1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Gzeax6 ,\u_logic/Wtviu6 }),
.e({open_n150490,HRDATA[27]}),
.mi({open_n150492,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3198_o ,\u_logic/_al_u3049_o }),
.q({open_n150508,\u_logic/F2dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18276)
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*~A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000010000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3199|u_logic/_al_u634 (
.a({open_n150509,\u_logic/D43qw6 }),
.b({\u_logic/Le2qw6 ,\u_logic/Di3qw6 }),
.c({\u_logic/Pe7ax6 ,\u_logic/Le2qw6 }),
.d({\u_logic/K0xiu6 ,\u_logic/Pe7ax6 }),
.f({\u_logic/Ixriu6 ,\u_logic/Ymwiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17972)
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(D*A))"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100000011000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3201|u_logic/Wc2qw6_reg (
.a({\u_logic/_al_u3196_o ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u3197_o ,\u_logic/_al_u3194_o }),
.c({\u_logic/_al_u3198_o ,\u_logic/_al_u3195_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u3200_o ,HRDATA[7]}),
.mi({open_n150540,\u_logic/T94iu6 }),
.f({\u_logic/_al_u3201_o ,\u_logic/_al_u3196_o }),
.q({open_n150545,\u_logic/Wc2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17972)
EG_PHY_LSLICE #(
//.LUTF0("(~C*A*~(D@B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*A*~(D@B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000100000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000100000000010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3202|u_logic/_al_u3206 (
.a({open_n150546,\u_logic/_al_u3202_o }),
.b({open_n150547,\u_logic/Pe7ax6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/_al_u3202_o ,\u_logic/_al_u3206_o }));
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3204|FM_HW/FM_Demodulation/reg1_b0 (
.b({\u_logic/_al_u3203_o ,open_n150574}),
.c({\u_logic/Di3qw6 ,\u_logic/Sqwpw6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/_al_u2979_o ,\u_logic/_al_u3253_o }),
.mi({open_n150585,\FM_HW/FM_Demodulation/QdataN_1 [0]}),
.f({\u_logic/Wzpiu6 ,\u_logic/_al_u3254_o }),
.q({open_n150590,\FM_HW/FM_Demodulation/QdataN [0]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*B*A)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~D*C*B*A)"),
//.LUTG1("(~D*~(C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000010000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3205|FM_HW/FM_Demodulation/reg0_b5 (
.a({open_n150591,\u_logic/Ffqiu6 }),
.b({\u_logic/Cvciu6 ,\u_logic/D43qw6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Hw8ax6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Ixriu6 ,\u_logic/Pe7ax6 }),
.mi({open_n150595,\FM_HW/ADC_Data [9]}),
.f({\u_logic/_al_u3205_o ,\u_logic/_al_u3232_o }),
.q({open_n150611,\FM_HW/FM_Demodulation/IdataN_1 [5]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u3207|u_logic/_al_u110 (
.b({\u_logic/D43qw6 ,\u_logic/Sqwpw6 }),
.c({\u_logic/Di3qw6 ,\u_logic/Zm8ax6 }),
.d({\u_logic/_al_u3206_o ,\u_logic/Hw8ax6 }),
.f({\u_logic/_al_u3207_o ,\u_logic/K0xiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*((0*B)*~(A)*~(C)+(0*B)*A*~(C)+~((0*B))*A*C+(0*B)*A*C))"),
//.LUTF1("(~C*A*~(~D*~B))"),
//.LUTG0("(D*((1*B)*~(A)*~(C)+(1*B)*A*~(C)+~((1*B))*A*C+(1*B)*A*C))"),
//.LUTG1("(~C*A*~(~D*~B))"),
.INIT_LUTF0(16'b1010000000000000),
.INIT_LUTF1(16'b0000101000001000),
.INIT_LUTG0(16'b1010110000000000),
.INIT_LUTG1(16'b0000101000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3208|u_logic/_al_u3200 (
.a({\u_logic/Wzpiu6 ,\u_logic/Ixriu6 }),
.b({\u_logic/_al_u3205_o ,\u_logic/Cvciu6 }),
.c({\u_logic/_al_u3207_o ,\u_logic/D43qw6 }),
.d({\u_logic/Di3qw6 ,\u_logic/Di3qw6 }),
.e({open_n150636,\u_logic/Le2qw6 }),
.f({\u_logic/F0riu6 ,\u_logic/_al_u3200_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19506)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3211|u_logic/Bc3bx6_reg (
.a({\u_logic/_al_u3209_o ,\u_logic/_al_u1960_o }),
.b({\u_logic/_al_u3210_o ,\u_logic/_al_u1061_o }),
.c({\u_logic/M6eiu6 ,\u_logic/U5cpw6 }),
.clk(clk_pad),
.d({\u_logic/Thxax6 ,\u_logic/_al_u1909_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3211_o ,\u_logic/Qyohu6 }),
.q({open_n150677,\u_logic/Bc3bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19506)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3215|u_logic/_al_u1953 (
.a({\u_logic/G2fiu6 ,HWDATA[28]}),
.b({\u_logic/Yvgiu6 ,\u_logic/K66iu6 }),
.c({\u_logic/E05bx6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Ujxax6 ,\u_logic/V73bx6 }),
.f({\u_logic/_al_u3215_o ,\u_logic/_al_u1953_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3216|u_logic/_al_u4261 (
.a({\u_logic/_al_u3211_o ,\u_logic/F0eow6 }),
.b({\u_logic/_al_u3213_o ,\u_logic/M0eow6 }),
.c({\u_logic/_al_u3214_o ,\u_logic/Dt1bx6 }),
.d({\u_logic/_al_u3215_o ,\u_logic/Jj0bx6 }),
.f({\u_logic/Pxriu6 ,\u_logic/_al_u4261_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(A*~(D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u3217|u_logic/_al_u3082 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3201_o ,\u_logic/Yw1iu6 }),
.c({\u_logic/F0riu6 ,\u_logic/_al_u3077_o }),
.d({\u_logic/Pxriu6 ,\u_logic/_al_u3081_o }),
.f({\u_logic/_al_u3217_o ,\u_logic/_al_u3082_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u3219|u_logic/_al_u1895 (
.a({\u_logic/Tzdiu6 ,open_n150742}),
.b({\u_logic/M6eiu6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Nv9bx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Ox9bx6 ,\u_logic/_al_u1061_o }),
.f({\u_logic/_al_u3219_o ,\u_logic/_al_u1895_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17196)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3221|u_logic/Tcipw6_reg (
.a({\u_logic/F0eow6 ,open_n150763}),
.b({\u_logic/M0eow6 ,\u_logic/_al_u1895_o }),
.c({\u_logic/Lr9bx6 ,\u_logic/U5cpw6 }),
.clk(clk_pad),
.d({\u_logic/Nt9bx6 ,\u_logic/_al_u1956_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3221_o ,\u_logic/Jyohu6 }),
.q({open_n150780,\u_logic/Tcipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17196)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(B*A*~(D*C))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"))
\u_logic/_al_u3225|u_logic/_al_u4279 (
.a({\u_logic/_al_u3223_o ,\u_logic/G2fiu6 }),
.b({\u_logic/_al_u3224_o ,\u_logic/Yvgiu6 }),
.c({\u_logic/U2fiu6 ,\u_logic/I45bx6 }),
.d({\u_logic/Z9abx6 ,\u_logic/Nbxax6 }),
.f({\u_logic/Kkriu6 ,\u_logic/_al_u4279_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(0*C)*~(D*A))"),
//.LUT1("(~B*~(1*C)*~(D*A))"),
.INIT_LUT0(16'b0001000100110011),
.INIT_LUT1(16'b0000000100000011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3226 (
.a({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/Ve7iu6 ,\u_logic/Ve7iu6 }),
.c({\u_logic/Cs1iu6 ,\u_logic/Cs1iu6 }),
.d({HRDATA[6],HRDATA[6]}),
.mi({open_n150813,\u_logic/Lg9bx6 }),
.fx({open_n150818,\u_logic/_al_u3226_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(D*C*B*~A)"),
.INIT_LUT0(16'b1110011001111111),
.INIT_LUT1(16'b0100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3231|u_logic/_al_u3252 (
.a({\u_logic/Di3qw6 ,\u_logic/D43qw6 }),
.b({\u_logic/Le2qw6 ,\u_logic/Hw8ax6 }),
.c({\u_logic/Sqwpw6 ,\u_logic/Pe7ax6 }),
.d({\u_logic/Zm8ax6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/Ffqiu6 ,\u_logic/_al_u3252_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3233|u_logic/_al_u2769 (
.b({open_n150843,\u_logic/_al_u2358_o }),
.c({\u_logic/_al_u3232_o ,\u_logic/Ydgax6 }),
.d({\u_logic/_al_u3200_o ,\u_logic/n5754 }),
.f({\u_logic/_al_u3233_o ,HADDR[31]}));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0*C)*~(D*B))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(~A*~(1*C)*~(D*B))"),
//.LUTG1("(1*D*C*B*A)"),
.INIT_LUTF0(16'b0001000101010101),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3234|u_logic/_al_u3174 (
.a({\u_logic/Kkriu6 ,\u_logic/_al_u2979_o }),
.b({\u_logic/_al_u3226_o ,\u_logic/St1iu6 }),
.c({\u_logic/_al_u3228_o ,\u_logic/Vr1iu6 }),
.d({\u_logic/_al_u3230_o ,HRDATA[9]}),
.e({\u_logic/_al_u3233_o ,\u_logic/Tcjbx6 }),
.f({\u_logic/_al_u3234_o ,\u_logic/_al_u3174_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18148)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(D*~B)*~(~C*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(D*~B)*~(~C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100010011110101),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3235|u_logic/Vz8ax6_reg (
.a({\u_logic/Wo1iu6 ,open_n150890}),
.b({\u_logic/D7xiu6_lutinv ,open_n150891}),
.c({\u_logic/_al_u3234_o ,\u_logic/Bk7ax6 }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/Vefax6 ,\u_logic/Iqzhu6_lutinv }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3235_o ,\u_logic/Ud4iu6 }),
.q({open_n150911,\u_logic/Vz8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18148)
// ../rtl/topmodule/cortexm0ds_logic.v(18328)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*B*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100000011000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0100000011000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3238|u_logic/Q6fax6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/St1iu6 }),
.b({\u_logic/Zt1iu6 ,\u_logic/_al_u4365_o }),
.c({\u_logic/Npaax6 ,\u_logic/_al_u4366_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Q9dax6 ,HRDATA[12]}),
.mi({open_n150915,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3238_o ,\u_logic/_al_u4367_o }),
.q({open_n150930,\u_logic/Q6fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18328)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000100001011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100001011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3240|u_logic/_al_u1924 (
.a({\u_logic/F0eow6 ,HWDATA[20]}),
.b({\u_logic/M0eow6 ,\u_logic/K66iu6 }),
.c({\u_logic/Czzax6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Mk3bx6 ,\u_logic/Fe2bx6 }),
.f({\u_logic/_al_u3240_o ,\u_logic/_al_u1924_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17290)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3241|u_logic/T9kpw6_reg (
.a({\u_logic/Yvgiu6 ,open_n150955}),
.b({\u_logic/M6eiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/X5opw6 ,\u_logic/L6gpw6 [13]}),
.clk(clk_pad),
.d({\u_logic/Y7opw6 ,\u_logic/_al_u1538_o }),
.f({\u_logic/_al_u3241_o ,open_n150970}),
.q({open_n150974,\u_logic/T9kpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17290)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3242|u_logic/_al_u3260 (
.a({\u_logic/_al_u3240_o ,\u_logic/M6eiu6 }),
.b({\u_logic/_al_u3241_o ,\u_logic/_al_u1937_o }),
.c({\u_logic/_al_u1937_o ,\u_logic/Oyhbx6 }),
.d({\u_logic/vis_ipsr_o[5] ,\u_logic/vis_ipsr_o[3] }),
.f({\u_logic/Eariu6 ,\u_logic/_al_u3260_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~(D*A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(C*B*~(D*A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0100000011000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0100000011000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3243|u_logic/_al_u3239 (
.a({open_n150999,\u_logic/St1iu6 }),
.b({\u_logic/_al_u3239_o ,\u_logic/_al_u3237_o }),
.c({\u_logic/Eariu6 ,\u_logic/_al_u3238_o }),
.d({\u_logic/F0riu6 ,HRDATA[5]}),
.f({\u_logic/_al_u3243_o ,\u_logic/_al_u3239_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19930)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*~B))"),
//.LUT1("(A*~(D*C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111111),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3246|u_logic/Pdbbx6_reg (
.a({\u_logic/Wo1iu6 ,open_n151024}),
.b({\u_logic/_al_u3243_o ,\u_logic/_al_u4269_o }),
.c({\u_logic/_al_u3245_o ,\u_logic/Wo1iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3233_o ,\u_logic/_al_u4260_o }),
.f({\u_logic/_al_u3246_o ,open_n151039}),
.q({open_n151043,\u_logic/Pdbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19930)
// ../rtl/topmodule/cortexm0ds_logic.v(17980)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*B)*~(C)*~(A)+~(D*B)*C*~(A)+~(~(D*B))*C*A+~(D*B)*C*A)"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("~(~(D*B)*~(C)*~(A)+~(D*B)*C*~(A)+~(~(D*B))*C*A+~(D*B)*C*A)"),
//.LUTG1("(C*B*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100111000001010),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b0100111000001010),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3253|u_logic/Dg2qw6_reg (
.a({open_n151044,\u_logic/Nr4iu6_lutinv }),
.b({\u_logic/Di3qw6 ,\u_logic/Ur4iu6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Sq4iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u3252_o ,\u_logic/Dg2qw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3253_o ,open_n151062}),
.q({open_n151066,\u_logic/Dg2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17980)
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(D*~C*B*A)"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0000100000000000),
.MODE("LOGIC"))
\u_logic/_al_u3256|u_logic/_al_u3258 (
.a({\u_logic/_al_u3250_o ,\u_logic/_al_u2979_o }),
.b({\u_logic/_al_u3251_o ,\u_logic/_al_u3257_o }),
.c({\u_logic/_al_u3254_o ,\u_logic/Dw1iu6 }),
.d({\u_logic/Hgqiu6 ,\u_logic/U4fax6 }),
.f({\u_logic/_al_u3256_o ,\u_logic/_al_u3258_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*~(0*B)))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C*~(1*B)))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1000101010101010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3257|u_logic/_al_u3141 (
.a({\u_logic/Xs1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Fgpiu6 ,\u_logic/LOCKUP }),
.c({\u_logic/B9eax6 ,\u_logic/_al_u3135_o }),
.d({\u_logic/Thiax6 ,\u_logic/_al_u3140_o }),
.e({open_n151089,\u_logic/_al_u407_o }),
.f({\u_logic/_al_u3257_o ,\u_logic/_al_u3141_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17698)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~C*~(D*B)*~(0*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~C*~(D*B)*~(1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0000001100001111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000000100000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3259|u_logic/X5upw6_reg (
.a({\u_logic/F0eow6 ,HWDATA[26]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/_al_u3177_o ,\u_logic/X5upw6 }),
.clk(clk_pad),
.d({\u_logic/Muhbx6 ,\u_logic/Ztupw6 }),
.e({\u_logic/Owhbx6 ,open_n151111}),
.sr(cpuresetn),
.f({\u_logic/_al_u3259_o ,open_n151126}),
.q({open_n151130,\u_logic/X5upw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17698)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3261|u_logic/_al_u2272 (
.a({\u_logic/_al_u3259_o ,HWDATA[31]}),
.b({\u_logic/_al_u3260_o ,HWDATA[29]}),
.c({\u_logic/Yvgiu6 ,HWDATA[28]}),
.d({\u_logic/P0ibx6 ,HWDATA[30]}),
.f({\u_logic/Tmqiu6 ,\u_logic/_al_u2272_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~B*~(D*C*A))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001001100110011),
.MODE("LOGIC"))
\u_logic/_al_u3263|u_logic/_al_u736 (
.a({\u_logic/_al_u407_o ,\u_logic/HALTED }),
.b({\u_logic/_al_u3262_o ,\u_logic/Vuciu6 }),
.c({\u_logic/Bt2qw6 ,\u_logic/Cvciu6 }),
.d({\u_logic/Gr2qw6 ,\u_logic/Dg2qw6 }),
.f({\u_logic/_al_u3263_o ,\u_logic/_al_u736_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111001100111111),
.INIT_LUT1(16'b1111111100110101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3266 (
.a({\u_logic/Ffqiu6 ,\u_logic/Ffqiu6 }),
.b({\u_logic/_al_u3265_o ,\u_logic/_al_u3265_o }),
.c({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Hw8ax6 }),
.mi({open_n151187,\u_logic/Pe7ax6 }),
.fx({open_n151192,\u_logic/_al_u3266_o }));
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3268|FM_HW/FM_Demodulation/reg0_b6 (
.a({\u_logic/Nkwiu6 ,open_n151195}),
.b({\u_logic/D43qw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Pe7ax6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Pe7ax6 ,\u_logic/Q4wiu6_lutinv }),
.mi({open_n151206,\FM_HW/ADC_Data [10]}),
.f({\u_logic/Fmqiu6 ,\u_logic/Vowiu6 }),
.q({open_n151211,\FM_HW/FM_Demodulation/IdataN_1 [6]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(A*~(D*C*B))"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u3270|u_logic/_al_u3509 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3256_o ,\u_logic/F0riu6 }),
.c({\u_logic/_al_u3264_o ,\u_logic/_al_u3505_o }),
.d({\u_logic/Vvpiu6_lutinv ,\u_logic/Yzqiu6 }),
.f({\u_logic/_al_u3270_o ,\u_logic/_al_u3509_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18192)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3272|u_logic/Ftaax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u2984_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/_al_u2986_o }),
.c({\u_logic/Lx9ax6 ,\u_logic/St1iu6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Opbax6 ,HRDATA[31]}),
.mi({open_n151235,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3272_o ,\u_logic/_al_u2988_o }),
.q({open_n151251,\u_logic/Ftaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18192)
// ../rtl/topmodule/cortexm0ds_logic.v(18352)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3274|u_logic/Hdfax6_reg (
.a({\u_logic/Sg7iu6 ,\u_logic/Sg7iu6 }),
.b({\u_logic/Jf7iu6 ,\u_logic/Jf7iu6 }),
.c({\u_logic/Dfbax6 ,\u_logic/P9bax6 }),
.ce(\u_logic/n524 ),
.clk(clk_pad),
.d({\u_logic/T7bax6 ,\u_logic/Zgbax6 }),
.mi({open_n151262,\u_logic/J44iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3274_o ,\u_logic/Idqiu6 }),
.q({open_n151266,\u_logic/Hdfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18352)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0010101010101010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3275|u_logic/_al_u3023 (
.a({\u_logic/Dw1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Eg7iu6 ,\u_logic/Yw1iu6 }),
.c({\u_logic/P0bax6 ,\u_logic/Bewiu6 }),
.d({\u_logic/Tceax6 ,\u_logic/_al_u3022_o }),
.f({\u_logic/_al_u3275_o ,\u_logic/_al_u3023_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C*B))"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0010101010101010),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u3276|u_logic/_al_u3290 (
.a({\u_logic/_al_u3273_o ,\u_logic/Wo1iu6 }),
.b({\u_logic/_al_u3274_o ,\u_logic/_al_u3281_o }),
.c({\u_logic/_al_u3275_o ,\u_logic/_al_u3285_o }),
.d({\u_logic/_al_u3253_o ,\u_logic/Jaqiu6 }),
.f({\u_logic/_al_u3276_o ,\u_logic/_al_u3290_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19140)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110001010000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3280|u_logic/Zszax6_reg (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u1135_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/T24iu6 }),
.c({\u_logic/Bvaax6 ,\u_logic/I8lax6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/Xrxax6 ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3280_o ,HWDATA[0]}),
.q({open_n151326,\u_logic/Zszax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19140)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3281|u_logic/_al_u3079 (
.a({\u_logic/_al_u3276_o ,open_n151327}),
.b({\u_logic/_al_u3278_o ,\u_logic/Eg7iu6 }),
.c({\u_logic/X3qiu6 ,\u_logic/F4ibx6 }),
.d({\u_logic/_al_u3280_o ,\u_logic/_al_u3078_o }),
.f({\u_logic/_al_u3281_o ,\u_logic/_al_u3079_o }));
// ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3282|FM_HW/FM_Demodulation/reg5_b206 (
.c({\u_logic/Gr2qw6 ,\u_logic/Ud4iu6 }),
.ce(RSTn_pad),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/_al_u407_o ,\u_logic/Scbiu6 }),
.mi({open_n151359,\FM_HW/FM_Demodulation/demodulated_signal_temp [13]}),
.f({\u_logic/K0qiu6_lutinv ,\u_logic/_al_u3476_o }),
.q({open_n151375,\FM_HW/FM_Demodulation/dmd_data_filter[20] [6]})); // ../rtl/demodulation/FM_Demodulation.v(88)
EG_PHY_LSLICE #(
//.LUTF0("(A*(~(B)*C*D*~(0)+B*~(C)*~(D)*0+B*~(C)*D*0+~(B)*C*D*0))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*(~(B)*C*D*~(1)+B*~(C)*~(D)*1+B*~(C)*D*1+~(B)*C*D*1))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0010100000001000),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3285|_al_u266 (
.a({\u_logic/_al_u3284_o ,_al_u249_o}),
.b({\u_logic/Wzpiu6 ,\Interconncet/SlaveMUX/hsel_reg [4]}),
.c({\u_logic/Xs1iu6 ,\Interconncet/SlaveMUX/hsel_reg [5]}),
.d({\u_logic/Ahdax6 ,RAMCODE_RDATA[30]}),
.e({open_n151378,RAMDATA_RDATA[30]}),
.f({\u_logic/_al_u3285_o ,HRDATA[30]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17659)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3287|u_logic/Wlspw6_reg (
.a({\u_logic/_al_u3286_o ,open_n151399}),
.b({\u_logic/Fpgiu6 ,\u_logic/J8eiu6 }),
.c({\u_logic/Yvgiu6 ,\u_logic/L6gpw6 [16]}),
.clk(clk_pad),
.d({\u_logic/I2zax6 ,\u_logic/_al_u1532_o }),
.e({\u_logic/Kzabx6 ,open_n151401}),
.f({\u_logic/_al_u3287_o ,open_n151417}),
.q({open_n151421,\u_logic/Wlspw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17659)
// ../rtl/topmodule/cortexm0ds_logic.v(20147)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3288|u_logic/Owhbx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[3]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/L1bbx6 ,\u_logic/Owhbx6 }),
.clk(clk_pad),
.d({\u_logic/N5bbx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3288_o ,open_n151435}),
.q({open_n151439,\u_logic/Owhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20147)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3289|u_logic/_al_u3189 (
.a({\u_logic/_al_u3287_o ,\u_logic/Dzdow6 }),
.b({\u_logic/_al_u3288_o ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Vlxax6 ,\u_logic/Rq0qw6 }),
.e({open_n151442,\u_logic/Ss0qw6 }),
.f({\u_logic/Jaqiu6 ,\u_logic/W4siu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18175)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3293|u_logic/Lx9ax6_reg (
.a({open_n151463,\u_logic/Alkhu6 }),
.b({open_n151464,\u_logic/Imkhu6 }),
.c({\u_logic/Lbbax6 ,\u_logic/Tt9ax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u3292_o ,\u_logic/Xr9ax6 }),
.mi({open_n151468,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3293_o ,\u_logic/_al_u3339_o }),
.q({open_n151484,\u_logic/Lx9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18175)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u3296|u_logic/_al_u2924 (
.b({open_n151487,\u_logic/_al_u2288_o }),
.c({\u_logic/Tyaax6 ,\u_logic/Qk9pw6_lutinv }),
.d({\u_logic/L2bax6 ,\u_logic/_al_u2921_o }),
.f({\u_logic/_al_u3296_o ,\u_logic/_al_u2924_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18051)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(~D*A*~(~C*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0000000010100010),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3297|u_logic/Sz3qw6_reg (
.a({\u_logic/Nvkbx6 [3],open_n151508}),
.b({\u_logic/_al_u3296_o ,\u_logic/_al_u2356_o }),
.c({\u_logic/vis_pc_o[0] ,\u_logic/_al_u2358_o }),
.ce(\u_logic/n3472 ),
.clk(clk_pad),
.d({\u_logic/X5bax6 ,\u_logic/_al_u2354_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u3297_o ,\u_logic/I7cow6 }),
.q({open_n151524,\u_logic/Sz3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18051)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100000011110011),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000011110011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3299|u_logic/_al_u3455 (
.b({open_n151527,\u_logic/_al_u3376_o }),
.c({\u_logic/T7bax6 ,\u_logic/vis_pc_o[1] }),
.d({\u_logic/_al_u3292_o ,\u_logic/_al_u2757_o }),
.f({\u_logic/_al_u3299_o ,\u_logic/Oz0iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18220)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000110000000100),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3300|u_logic/T7bax6_reg (
.a({open_n151552,\u_logic/_al_u2757_o }),
.b({\u_logic/Pkkbx6 ,\u_logic/Nvkbx6 [3]}),
.c({\u_logic/X5bax6 ,\u_logic/_al_u3303_o }),
.ce(\u_logic/n532 ),
.clk(clk_pad),
.d({\u_logic/_al_u3292_o ,\u_logic/_al_u3296_o }),
.e({open_n151553,\u_logic/vis_pc_o[1] }),
.mi({open_n151555,\u_logic/Ud4iu6 }),
.f({\u_logic/n6149_lutinv ,\u_logic/Kikhu6 }),
.q({open_n151571,\u_logic/T7bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18220)
EG_PHY_LSLICE #(
//.LUTF0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000001010001),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111001101010001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3301|u_logic/_al_u3311 (
.a({open_n151572,\u_logic/_al_u2354_o }),
.b({open_n151573,\u_logic/_al_u3309_o }),
.c({\u_logic/n6149_lutinv ,\u_logic/n6147_lutinv }),
.d({\u_logic/_al_u3299_o ,\u_logic/_al_u3296_o }),
.e({open_n151576,\u_logic/vis_pc_o[28] }),
.f({\u_logic/n6142_lutinv ,\u_logic/Nhlhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(~B*~(~C*D))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(~B*~(~C*D))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3306|u_logic/_al_u3395 (
.b({\u_logic/_al_u3293_o ,\u_logic/Dfbax6 }),
.c({\u_logic/P9bax6 ,\u_logic/Zgbax6 }),
.d({\u_logic/n6142_lutinv ,\u_logic/_al_u3379_o }),
.f({\u_logic/_al_u3306_o ,\u_logic/Ntkbx6 [11]}));
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"))
\u_logic/_al_u3307|u_logic/_al_u3333 (
.a({\u_logic/_al_u2478_o ,\u_logic/_al_u2620_o }),
.b({\u_logic/_al_u3306_o ,\u_logic/Nvkbx6 [9]}),
.c({\u_logic/_al_u3296_o ,\u_logic/_al_u3296_o }),
.d({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[8] }),
.f({\u_logic/S0lhu6 ,\u_logic/Orkhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3308|u_logic/_al_u3387 (
.b({open_n151645,\u_logic/Dfbax6 }),
.c({\u_logic/P9bax6 ,\u_logic/Hdbax6 }),
.d({\u_logic/_al_u3292_o ,\u_logic/_al_u3378_o }),
.f({\u_logic/_al_u3308_o ,\u_logic/n6121_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18193)
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~(D@B)*~(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b1000010000100001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3312|u_logic/Bvaax6_reg (
.a({\u_logic/S0lhu6 ,\u_logic/_al_u2615_o }),
.b({\u_logic/Nhlhu6 ,\u_logic/Nvkbx6 [4]}),
.c({\u_logic/Ue9ax6 ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Wahbx6 ,\u_logic/vis_pc_o[3] }),
.mi({open_n151680,\u_logic/Ud4iu6 }),
.f({\u_logic/_al_u3312_o ,\u_logic/Alkhu6 }),
.q({open_n151685,\u_logic/Bvaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18193)
// ../rtl/topmodule/cortexm0ds_logic.v(18174)
EG_PHY_LSLICE #(
//.LUTF0("(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
//.LUTG1("(~D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101100000100),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b0011101111000100),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3313|u_logic/Pv9ax6_reg (
.a({open_n151686,\u_logic/_al_u2610_o }),
.b({\u_logic/_al_u3292_o ,\u_logic/Nvkbx6 [3]}),
.c({\u_logic/Pkkbx6 ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/n6147_lutinv ,\u_logic/Pv9ax6 }),
.e({open_n151687,\u_logic/vis_pc_o[2] }),
.mi({open_n151689,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u3313_o ,\u_logic/eq1/xor_i0[3]_i1[3]_o_lutinv }),
.q({open_n151705,\u_logic/Pv9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18174)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~D*C*A))"),
//.LUTF1("(~B*~(~C*D))"),
//.LUTG0("(~B*~(~D*C*A))"),
//.LUTG1("(~B*~(~C*D))"),
.INIT_LUTF0(16'b0011001100010011),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b0011001100010011),
.INIT_LUTG1(16'b0011000000110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3315|u_logic/_al_u3445 (
.a({open_n151706,\u_logic/_al_u3384_o }),
.b({\u_logic/_al_u3293_o ,\u_logic/_al_u3379_o }),
.c({\u_logic/T7bax6 ,\u_logic/n6123_lutinv }),
.d({\u_logic/_al_u3308_o ,\u_logic/Dfbax6 }),
.f({\u_logic/_al_u3315_o ,\u_logic/_al_u3445_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18162)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(0@(C*~(~D*B))))"),
//.LUTF1("(C*A*~(D@B))"),
//.LUTG0("(~A*~(1@(C*~(~D*B))))"),
//.LUTG1("(C*A*~(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101000101),
.INIT_LUTF1(16'b1000000000100000),
.INIT_LUTG0(16'b0101000000010000),
.INIT_LUTG1(16'b1000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3317|u_logic/D99ax6_reg (
.a({\u_logic/_al_u3312_o ,\u_logic/eq1/xor_i0[3]_i1[3]_o_lutinv }),
.b({\u_logic/Uilhu6 ,\u_logic/_al_u2498_o }),
.c({\u_logic/_al_u3316_o ,\u_logic/_al_u3330_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/J59ax6 ,\u_logic/_al_u3296_o }),
.e({open_n151731,\u_logic/M4ebx6 }),
.mi({open_n151733,\u_logic/D84iu6 }),
.f({\u_logic/_al_u3317_o ,\u_logic/_al_u3331_o }),
.q({open_n151749,\u_logic/D99ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18162)
// ../rtl/topmodule/cortexm0ds_logic.v(17933)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u331|u_logic/P21qw6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/Fnqow6 }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[31] ,\u_logic/vis_r4_o[31] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[31] ,\u_logic/vis_r5_o[31] }),
.mi({open_n151753,\u_logic/X6niu6 }),
.f({\u_logic/_al_u331_o ,\u_logic/Invow6 }),
.q({open_n151769,\u_logic/vis_r5_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17933)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*C)*~(~A*~(~0*B)))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~(~D*C)*~(~A*~(~1*B)))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b1110111000001110),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1010101000001010),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3320|u_logic/_al_u3330 (
.a({open_n151770,\u_logic/_al_u3293_o }),
.b({\u_logic/_al_u3299_o ,\u_logic/n6147_lutinv }),
.c({\u_logic/X5bax6 ,\u_logic/_al_u3296_o }),
.d({\u_logic/_al_u3309_o ,\u_logic/vis_pc_o[20] }),
.e({open_n151773,\u_logic/P9bax6 }),
.f({\u_logic/_al_u3320_o ,\u_logic/_al_u3330_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18399)
EG_PHY_LSLICE #(
//.LUTF0("(~(D@B)*~(C@A))"),
//.LUTF1("(D@(~B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTG0("(~(D@B)*~(C@A))"),
//.LUTG1("(D@(~B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000010000100001),
.INIT_LUTF1(16'b1111111000000001),
.INIT_LUTG0(16'b1000010000100001),
.INIT_LUTG1(16'b1100111000110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3321|u_logic/Q2gax6_reg (
.a({\u_logic/_al_u2581_o ,\u_logic/Ujihu6 }),
.b({\u_logic/_al_u3320_o ,\u_logic/Qrihu6 }),
.c({\u_logic/_al_u3296_o ,\u_logic/Naaax6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/G79ax6 ,\u_logic/T6aax6 }),
.e({\u_logic/vis_pc_o[24] ,open_n151794}),
.mi({open_n151796,\u_logic/Lm1iu6 }),
.f({\u_logic/eq1/xor_i0[25]_i1[25]_o_lutinv ,\u_logic/_al_u3434_o }),
.q({open_n151812,\u_logic/Q2gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18399)
EG_PHY_LSLICE #(
//.LUTF0("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
//.LUTG1("(C*~(~B*D))"),
.INIT_LUTF0(16'b0010111011010001),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b0010111011010001),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3324|u_logic/_al_u3435 (
.a({open_n151813,\u_logic/_al_u2358_o }),
.b({\u_logic/_al_u3308_o ,\u_logic/_al_u3376_o }),
.c({\u_logic/_al_u3293_o ,\u_logic/vis_pc_o[30] }),
.d({\u_logic/_al_u3313_o ,\u_logic/N4gax6 }),
.f({\u_logic/Nvkbx6 [14],\u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*~(0@A))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(D*~C*~B*~(1@A))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0000000100000000),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3325|u_logic/_al_u3347 (
.a({\u_logic/_al_u2538_o ,\u_logic/I3lhu6 }),
.b({\u_logic/Nvkbx6 [14],\u_logic/eq1/xor_i0[31]_i1[31]_o_lutinv }),
.c({\u_logic/_al_u3296_o ,\u_logic/eq1/xor_i0[23]_i1[23]_o_lutinv }),
.d({\u_logic/vis_pc_o[13] ,\u_logic/_al_u3292_o }),
.e({open_n151840,\u_logic/Xc9ax6 }),
.f({\u_logic/Cykhu6 ,\u_logic/_al_u3347_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~A*~(D*C)))"),
//.LUTF1("(D@(A*~(C*~B)))"),
//.LUTG0("(B*~(~A*~(D*C)))"),
//.LUTG1("(D@(A*~(C*~B)))"),
.INIT_LUTF0(16'b1100100010001000),
.INIT_LUTF1(16'b0111010110001010),
.INIT_LUTG0(16'b1100100010001000),
.INIT_LUTG1(16'b0111010110001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3328|u_logic/_al_u3427 (
.a({\u_logic/Gv0iu6_lutinv ,\u_logic/_al_u3384_o }),
.b({\u_logic/n6142_lutinv ,\u_logic/_al_u3379_o }),
.c({\u_logic/_al_u3309_o ,\u_logic/_al_u3398_o }),
.d({\u_logic/D99ax6 ,\u_logic/n6123_lutinv }),
.f({\u_logic/eq1/xor_i0[24]_i1[24]_o_lutinv ,\u_logic/Ntkbx6 [8]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18949)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u332|u_logic/Lzwax6_reg (
.a({\u_logic/E8now6_lutinv ,\u_logic/Kmqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r4_o[31] ,\u_logic/vis_r6_o[31] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[31] ,\u_logic/vis_r3_o[31] }),
.mi({open_n151895,\u_logic/X6niu6 }),
.f({\u_logic/_al_u332_o ,\u_logic/_al_u596_o }),
.q({open_n151900,\u_logic/vis_r7_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18949)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*~(~C*B)))"),
//.LUT1("(A*~(C*~(~D*B)))"),
.INIT_LUT0(16'b0000100010101010),
.INIT_LUT1(16'b0000101010001010),
.MODE("LOGIC"))
\u_logic/_al_u3332|u_logic/_al_u3399 (
.a({\u_logic/_al_u3293_o ,\u_logic/_al_u3379_o }),
.b({\u_logic/_al_u3299_o ,\u_logic/_al_u3398_o }),
.c({\u_logic/P9bax6 ,\u_logic/Hdbax6 }),
.d({\u_logic/X5bax6 ,\u_logic/Zgbax6 }),
.f({\u_logic/Nvkbx6 [9],\u_logic/Ntkbx6 [9]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3336|u_logic/_al_u3384 (
.b({\u_logic/n6149_lutinv ,open_n151923}),
.c({\u_logic/T7bax6 ,\u_logic/Zgbax6 }),
.d({\u_logic/Nvkbx6 [7],\u_logic/_al_u3378_o }),
.f({\u_logic/Nvkbx6 [4],\u_logic/_al_u3384_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18101)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3340|u_logic/Fm7ax6_reg (
.a({open_n151944,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/L2bax6 ,\u_logic/Fgpiu6 }),
.c({\u_logic/Tyaax6 ,\u_logic/Hz9ax6 }),
.ce(\u_logic/Tu4iu6 ),
.clk(clk_pad),
.d({\u_logic/Fnpiu6 ,\u_logic/Xnbax6 }),
.mi({open_n151948,\u_logic/Ud4iu6 }),
.f({\u_logic/Dmpiu6_lutinv ,\u_logic/_al_u3513_o }),
.q({open_n151964,\u_logic/Fm7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18101)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~C*D))"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b0011000000110011),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\u_logic/_al_u3343|u_logic/_al_u3404 (
.b({\u_logic/_al_u3293_o ,\u_logic/_al_u3379_o }),
.c({\u_logic/_al_u3303_o ,\u_logic/Dfbax6 }),
.d({\u_logic/_al_u3315_o ,\u_logic/_al_u3384_o }),
.f({\u_logic/Nvkbx6 [18],\u_logic/_al_u3404_o }));
EG_PHY_LSLICE #(
//.LUTF0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*~B))"),
//.LUTF1("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
//.LUTG0("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*~B))"),
//.LUTG1("(D@(~A*~(C)*~(B)+~A*C*~(B)+~(~A)*C*B+~A*C*B))"),
.INIT_LUTF0(16'b0000000001010100),
.INIT_LUTF1(16'b0010111011010001),
.INIT_LUTG0(16'b1111110001010100),
.INIT_LUTG1(16'b0010111011010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3345|u_logic/_al_u3431 (
.a({\u_logic/_al_u2358_o ,\u_logic/_al_u2486_o }),
.b({\u_logic/_al_u3296_o ,\u_logic/n6103_lutinv }),
.c({\u_logic/vis_pc_o[30] ,\u_logic/_al_u3379_o }),
.d({\u_logic/Q2gax6 ,\u_logic/_al_u3376_o }),
.e({open_n151989,\u_logic/vis_pc_o[17] }),
.f({\u_logic/eq1/xor_i0[31]_i1[31]_o_lutinv ,\u_logic/Ujihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUT1("(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b1100111011111110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3346 (
.a({\u_logic/_al_u2506_o ,\u_logic/_al_u2506_o }),
.b({\u_logic/_al_u3309_o ,\u_logic/_al_u3309_o }),
.c({\u_logic/_al_u3296_o ,\u_logic/_al_u3296_o }),
.d({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[22] }),
.mi({open_n152022,\u_logic/Zvgbx6 }),
.fx({open_n152027,\u_logic/eq1/xor_i0[23]_i1[23]_o_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*~D))"),
//.LUTF1("(B)"),
//.LUTG0("(~C*~(~B*~D))"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b0000111100001100),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b0000111100001100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\u_logic/_al_u3348 (
.b({open_n152032,\u_logic/_al_u3299_o }),
.c({open_n152033,\u_logic/Lbbax6 }),
.d({open_n152036,\u_logic/_al_u3308_o }),
.f({open_n152054,\u_logic/Nvkbx6 [11]}));
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(C*B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(C*B))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3350 (
.a({\u_logic/_al_u2510_o ,\u_logic/_al_u2510_o }),
.b({\u_logic/_al_u3309_o ,\u_logic/_al_u3309_o }),
.c({\u_logic/_al_u3303_o ,\u_logic/_al_u3303_o }),
.d({\u_logic/_al_u3296_o ,\u_logic/_al_u3296_o }),
.mi({open_n152072,\u_logic/vis_pc_o[25] }),
.fx({open_n152077,\u_logic/_al_u3350_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3351|u_logic/_al_u3433 (
.a({open_n152080,\u_logic/_al_u2571_o }),
.b({open_n152081,\u_logic/_al_u3432_o }),
.c({\u_logic/_al_u3299_o ,\u_logic/_al_u3376_o }),
.d({\u_logic/_al_u3309_o ,\u_logic/vis_pc_o[23] }),
.f({\u_logic/_al_u3351_o ,\u_logic/Qrihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(A*~(D*~C)))"),
//.LUTF1("(B*~(~D*~C*~A))"),
//.LUTG0("(~B*~(A*~(D*~C)))"),
//.LUTG1("(B*~(~D*~C*~A))"),
.INIT_LUTF0(16'b0001001100010001),
.INIT_LUTF1(16'b1100110011001000),
.INIT_LUTG0(16'b0001001100010001),
.INIT_LUTG1(16'b1100110011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3355|u_logic/_al_u3366 (
.a({\u_logic/_al_u3308_o ,\u_logic/_al_u3308_o }),
.b({\u_logic/_al_u3293_o ,\u_logic/_al_u3293_o }),
.c({\u_logic/_al_u3299_o ,\u_logic/n6149_lutinv }),
.d({\u_logic/n6149_lutinv ,\u_logic/T7bax6 }),
.f({\u_logic/Nvkbx6 [12],\u_logic/_al_u3366_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3356|u_logic/_al_u3353 (
.a({\u_logic/_al_u2456_o ,\u_logic/_al_u2482_o }),
.b({\u_logic/Nvkbx6 [12],\u_logic/_al_u3315_o }),
.c({\u_logic/_al_u3296_o ,\u_logic/_al_u3296_o }),
.d({\u_logic/vis_pc_o[11] ,\u_logic/vis_pc_o[16] }),
.f({\u_logic/Mvkhu6 ,\u_logic/_al_u3353_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3361|u_logic/_al_u3386 (
.a({\u_logic/_al_u2502_o ,\u_logic/_al_u2502_o }),
.b({\u_logic/_al_u3309_o ,\u_logic/_al_u3385_o }),
.c({\u_logic/_al_u3296_o ,\u_logic/_al_u3376_o }),
.d({\u_logic/vis_pc_o[21] ,\u_logic/vis_pc_o[21] }),
.f({\u_logic/_al_u3361_o ,\u_logic/_al_u3386_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19814)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUT1("~(D@(A*~(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011110011),
.INIT_LUT1(16'b1010001001011101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3362|u_logic/Pe9bx6_reg (
.a({\u_logic/_al_u3361_o ,open_n152174}),
.b({\u_logic/_al_u3313_o ,\u_logic/_al_u3296_o }),
.c({\u_logic/_al_u3293_o ,\u_logic/vis_pc_o[5] }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Tjfbx6 ,\u_logic/_al_u2546_o }),
.mi({open_n152185,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3362_o ,\u_logic/Ia1iu6_lutinv }),
.q({open_n152190,\u_logic/Pe9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19814)
// ../rtl/topmodule/cortexm0ds_logic.v(20129)
EG_PHY_MSLICE #(
//.LUT0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100110001010000),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3363|u_logic/Kqhbx6_reg (
.a({\u_logic/_al_u2514_o ,\u_logic/_al_u1174_o }),
.b({\u_logic/_al_u3351_o ,\u_logic/H34iu6 }),
.c({\u_logic/_al_u3296_o ,\u_logic/I8lax6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[26] ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/Zelhu6 ,HWDATA[4]}),
.q({open_n152206,\u_logic/Kqhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20129)
EG_PHY_LSLICE #(
//.LUTF0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*B))"),
//.LUTF1("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*B))"),
//.LUTG0("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*B))"),
//.LUTG1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*B))"),
.INIT_LUTF0(16'b0000000001010001),
.INIT_LUTF1(16'b0000000001010001),
.INIT_LUTG0(16'b1111001101010001),
.INIT_LUTG1(16'b1111001101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3365|u_logic/_al_u3411 (
.a({\u_logic/_al_u2587_o ,\u_logic/_al_u2587_o }),
.b({\u_logic/_al_u3351_o ,\u_logic/_al_u3410_o }),
.c({\u_logic/n6149_lutinv ,\u_logic/n6123_lutinv }),
.d({\u_logic/_al_u3296_o ,\u_logic/_al_u3376_o }),
.e({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[27] }),
.f({\u_logic/Gglhu6 ,\u_logic/Wwihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0@D))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(C*~B*A*~(1@D))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3369|u_logic/_al_u3334 (
.a({open_n152229,\u_logic/_al_u3326_o }),
.b({\u_logic/n6147_lutinv ,\u_logic/eq1/xor_i0[24]_i1[24]_o_lutinv }),
.c({\u_logic/Lbbax6 ,\u_logic/_al_u3331_o }),
.d({\u_logic/_al_u3308_o ,\u_logic/Orkhu6 }),
.e({open_n152232,\u_logic/N3jbx6 }),
.f({\u_logic/Nvkbx6 [13],\u_logic/_al_u3334_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20152)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(D*~(C*~B))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(D*~(C*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b1100111100000000),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b1100111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3371|u_logic/Oyhbx6_reg (
.a({open_n152253,\u_logic/_al_u1168_o }),
.b({\u_logic/_al_u3308_o ,\u_logic/Df4iu6 }),
.c({\u_logic/_al_u3303_o ,\u_logic/I8lax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Nvkbx6 [11],\u_logic/Wvgax6 }),
.f({\u_logic/Nvkbx6 [10],HWDATA[3]}),
.q({open_n152274,\u_logic/Oyhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20152)
// ../rtl/topmodule/cortexm0ds_logic.v(18402)
EG_PHY_LSLICE #(
//.LUTF0("(0@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTF1("(0*~D*C*B*A)"),
//.LUTG0("(1@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG1("(1*~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0011101111111011),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3373|u_logic/H8gax6_reg (
.a({\u_logic/_al_u3357_o ,\u_logic/_al_u2534_o }),
.b({\u_logic/_al_u3364_o ,\u_logic/Nvkbx6 [13]}),
.c({\u_logic/_al_u3368_o ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/eq1/xor_i0[13]_i1[13]_o_lutinv ,\u_logic/vis_pc_o[12] }),
.e({\u_logic/_al_u3372_o ,\u_logic/Oi9ax6 }),
.mi({open_n152276,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3373_o ,\u_logic/eq1/xor_i0[13]_i1[13]_o_lutinv }),
.q({open_n152292,\u_logic/H8gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18402)
// ../rtl/topmodule/cortexm0ds_logic.v(18154)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3376|u_logic/R19ax6_reg (
.a({open_n152293,\u_logic/_al_u3514_o }),
.b({open_n152294,\u_logic/_al_u3515_o }),
.c({\u_logic/Zx8ax6 ,\u_logic/Zt1iu6 }),
.ce(\u_logic/Bs4iu6 ),
.clk(clk_pad),
.d({\u_logic/R19ax6 ,\u_logic/Xwaax6 }),
.mi({open_n152298,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3376_o ,\u_logic/_al_u3516_o }),
.q({open_n152313,\u_logic/R19ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18154)
// ../rtl/topmodule/cortexm0ds_logic.v(17784)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~C)*~(B*~A))"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("~(~(D*~C)*~(B*~A))"),
//.LUTG1("(~C*~B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100111101000100),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0100111101000100),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3381|u_logic/Jvvpw6_reg (
.a({open_n152314,\u_logic/_al_u3629_o }),
.b({\u_logic/Hdbax6 ,\u_logic/_al_u3631_o }),
.c({\u_logic/Tikbx6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3378_o ,\u_logic/Jvvpw6 }),
.sr(RSTn_pad),
.f({\u_logic/n6123_lutinv ,open_n152332}),
.q({open_n152336,\u_logic/Jvvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17784)
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1111001100000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3382|u_logic/_al_u3449 (
.b({open_n152339,\u_logic/_al_u3398_o }),
.c({\u_logic/Dfbax6 ,\u_logic/Hdbax6 }),
.d({\u_logic/n6123_lutinv ,\u_logic/_al_u3385_o }),
.f({\u_logic/_al_u3382_o ,\u_logic/_al_u3449_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~0*D)*~(~B*~A))"),
//.LUTF1("(D@(~C*B*A))"),
//.LUTG0("(C*~(~1*D)*~(~B*~A))"),
//.LUTG1("(D@(~C*B*A))"),
.INIT_LUTF0(16'b0000000011100000),
.INIT_LUTF1(16'b1111011100001000),
.INIT_LUTG0(16'b1110000011100000),
.INIT_LUTG1(16'b1111011100001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3383|u_logic/_al_u3322 (
.a({\u_logic/C01iu6_lutinv ,\u_logic/n6142_lutinv }),
.b({\u_logic/Ntkbx6 [7],\u_logic/_al_u3308_o }),
.c({\u_logic/_al_u3382_o ,\u_logic/_al_u3293_o }),
.d({\u_logic/Jraax6 ,\u_logic/_al_u3296_o }),
.e({open_n152362,\u_logic/vis_pc_o[7] }),
.f({\u_logic/eq0/xor_i0[4]_i1[4]_o_lutinv ,\u_logic/_al_u3322_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3385|u_logic/_al_u3026 (
.a({open_n152383,\u_logic/Iv1iu6 }),
.b({open_n152384,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3379_o ,\u_logic/vis_pc_o[28] }),
.d({\u_logic/_al_u3384_o ,\u_logic/Sx3qw6 }),
.f({\u_logic/_al_u3385_o ,\u_logic/_al_u3026_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~D*~(~C*B))"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000000011110011),
.MODE("LOGIC"))
\u_logic/_al_u3388|u_logic/_al_u3380 (
.b({\u_logic/_al_u3378_o ,open_n152411}),
.c({\u_logic/Tikbx6 ,\u_logic/Zgbax6 }),
.d({\u_logic/n6121_lutinv ,\u_logic/_al_u3379_o }),
.f({\u_logic/_al_u3388_o ,\u_logic/Ntkbx6 [7]}));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(D@(A*~(~C*B)))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(D@(A*~(~C*B)))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0101110110100010),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0101110110100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3389|u_logic/_al_u3367 (
.a({\u_logic/_al_u3386_o ,\u_logic/_al_u2494_o }),
.b({\u_logic/_al_u3388_o ,\u_logic/_al_u3366_o }),
.c({\u_logic/_al_u3379_o ,\u_logic/_al_u3296_o }),
.d({\u_logic/Qlfbx6 ,\u_logic/vis_pc_o[19] }),
.f({\u_logic/eq0/xor_i0[22]_i1[22]_o_lutinv ,\u_logic/Y5lhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b1100000011110011),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b1100000011110011),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3392|u_logic/_al_u3377 (
.b({\u_logic/n6121_lutinv ,\u_logic/_al_u3376_o }),
.c({\u_logic/Zgbax6 ,\u_logic/vis_pc_o[3] }),
.d({\u_logic/_al_u3379_o ,\u_logic/_al_u2615_o }),
.f({\u_logic/_al_u3392_o ,\u_logic/C01iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUT1("~(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
.INIT_LUT0(16'b1100111011111110),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3393 (
.a({\u_logic/_al_u2498_o ,\u_logic/_al_u2498_o }),
.b({\u_logic/_al_u3392_o ,\u_logic/_al_u3392_o }),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.d({\u_logic/vis_pc_o[20] ,\u_logic/vis_pc_o[20] }),
.mi({open_n152494,\u_logic/J6ebx6 }),
.fx({open_n152499,\u_logic/_al_u3393_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(~D*C))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("(B*A*~(~D*C))"),
//.LUTG1("(~D*~(~C*B))"),
.INIT_LUTF0(16'b1000100000001000),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b1000100000001000),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3396|u_logic/_al_u3390 (
.a({open_n152502,\u_logic/Ntkbx6 [7]}),
.b({\u_logic/n6123_lutinv ,\u_logic/n6121_lutinv }),
.c({\u_logic/Vibax6 ,\u_logic/_al_u3376_o }),
.d({\u_logic/Ntkbx6 [11],\u_logic/vis_pc_o[4] }),
.f({\u_logic/_al_u3396_o ,\u_logic/_al_u3390_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18164)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3397|u_logic/Xc9ax6_reg (
.a({\u_logic/_al_u2456_o ,\u_logic/_al_u3401_o }),
.b({\u_logic/_al_u3396_o ,\u_logic/_al_u3412_o }),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3417_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[11] ,\u_logic/_al_u3420_o }),
.mi({open_n152537,\u_logic/N64iu6 }),
.f({\u_logic/Ybihu6 ,\u_logic/_al_u3421_o }),
.q({open_n152542,\u_logic/Xc9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18164)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3398|u_logic/_al_u1140 (
.c({\u_logic/Dfbax6 ,\u_logic/Qk9pw6_lutinv }),
.d({\u_logic/_al_u3378_o ,\u_logic/_al_u1138_o }),
.f({\u_logic/_al_u3398_o ,\u_logic/J71iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(19891)
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3402|u_logic/Nmabx6_reg (
.a({\u_logic/_al_u2494_o ,\u_logic/_al_u2542_o }),
.b({\u_logic/_al_u3385_o ,\u_logic/_al_u3293_o }),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[14] }),
.mi({open_n152570,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3402_o ,\u_logic/Kzkhu6 }),
.q({open_n152586,\u_logic/Nmabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19891)
// ../rtl/topmodule/cortexm0ds_logic.v(18404)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("~(D@(A*~(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1010001001011101),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3403|u_logic/Bcgax6_reg (
.a({\u_logic/_al_u3402_o ,\u_logic/Iv1iu6 }),
.b({\u_logic/_al_u3382_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/_al_u3379_o ,\u_logic/vis_pc_o[30] }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Cndbx6 ,\u_logic/H8gax6 }),
.mi({open_n152597,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3403_o ,\u_logic/_al_u2983_o }),
.q({open_n152602,\u_logic/Bcgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18404)
// ../rtl/topmodule/cortexm0ds_logic.v(18171)
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3405|u_logic/Bq9ax6_reg (
.a({\u_logic/_al_u2482_o ,\u_logic/_al_u2530_o }),
.b({\u_logic/_al_u3404_o ,\u_logic/Nvkbx6 [7]}),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3296_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[16] ,\u_logic/vis_pc_o[6] }),
.mi({open_n152613,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u3405_o ,\u_logic/Yokhu6 }),
.q({open_n152618,\u_logic/Bq9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18171)
// ../rtl/topmodule/cortexm0ds_logic.v(18400)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*~A)"),
//.LUTF1("(A*~(0@(B*~(D*~C))))"),
//.LUTG0("(D*C*~B*~A)"),
//.LUTG1("(A*~(1@(B*~(D*~C))))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000000000000),
.INIT_LUTF1(16'b0010101000100010),
.INIT_LUTG0(16'b0001000000000000),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3406|u_logic/N4gax6_reg (
.a({\u_logic/_al_u3403_o ,\u_logic/eq0/xor_i0[4]_i1[4]_o_lutinv }),
.b({\u_logic/_al_u3405_o ,\u_logic/eq0/xor_i0[22]_i1[22]_o_lutinv }),
.c({\u_logic/_al_u3379_o ,\u_logic/_al_u3391_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Hdbax6 ,\u_logic/_al_u3393_o }),
.e({\u_logic/Nlbbx6 ,open_n152619}),
.mi({open_n152621,\u_logic/Lm1iu6 }),
.f({\u_logic/_al_u3406_o ,\u_logic/_al_u3394_o }),
.q({open_n152637,\u_logic/N4gax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18400)
// ../rtl/topmodule/cortexm0ds_logic.v(17837)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~C)*~(B*~A))"),
//.LUTF1("(B*~(~C*D))"),
//.LUTG0("~(~(D*~C)*~(B*~A))"),
//.LUTG1("(B*~(~C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0100111101000100),
.INIT_LUTF1(16'b1100000011001100),
.INIT_LUTG0(16'b0100111101000100),
.INIT_LUTG1(16'b1100000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3407|u_logic/Pexpw6_reg (
.a({open_n152638,\u_logic/_al_u3635_o }),
.b({\u_logic/Hdbax6 ,\u_logic/_al_u3631_o }),
.c({\u_logic/Tikbx6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3378_o ,\u_logic/Pexpw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3407_o ,open_n152656}),
.q({open_n152660,\u_logic/Pexpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17837)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B)"),
//.LUT1("(D*~(~C*B))"),
.INIT_LUT0(16'b1100000011110011),
.INIT_LUT1(16'b1111001100000000),
.MODE("LOGIC"))
\u_logic/_al_u3408|u_logic/_al_u3327 (
.b({\u_logic/_al_u3398_o ,\u_logic/_al_u3296_o }),
.c({\u_logic/_al_u3407_o ,\u_logic/vis_pc_o[23] }),
.d({\u_logic/_al_u3385_o ,\u_logic/_al_u2571_o }),
.f({\u_logic/_al_u3408_o ,\u_logic/Gv0iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b1100010000000100),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3409|u_logic/_al_u3344 (
.a({\u_logic/_al_u2510_o ,\u_logic/_al_u2486_o }),
.b({\u_logic/_al_u3408_o ,\u_logic/Nvkbx6 [18]}),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3296_o }),
.d({\u_logic/vis_pc_o[25] ,\u_logic/vis_pc_o[17] }),
.f({\u_logic/Guihu6 ,\u_logic/I3lhu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3410|u_logic/_al_u2606 (
.a({open_n152707,\u_logic/_al_u2471_o }),
.b({open_n152708,\u_logic/_al_u2469_o }),
.c({\u_logic/_al_u3398_o ,\u_logic/Oh4iu6 }),
.d({\u_logic/_al_u3385_o ,\u_logic/Qc5bx6 }),
.f({\u_logic/_al_u3410_o ,\u_logic/Ykkiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0@C)*~(D@B))"),
//.LUT1("(A*~(1@C)*~(D@B))"),
.INIT_LUT0(16'b0000100000000010),
.INIT_LUT1(16'b1000000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3412 (
.a({\u_logic/_al_u3406_o ,\u_logic/_al_u3406_o }),
.b({\u_logic/Guihu6 ,\u_logic/Guihu6 }),
.c({\u_logic/Wwihu6 ,\u_logic/Wwihu6 }),
.d({\u_logic/Cccbx6 ,\u_logic/Cccbx6 }),
.mi({open_n152741,\u_logic/Khgax6 }),
.fx({open_n152746,\u_logic/_al_u3412_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3413|u_logic/_al_u3294 (
.c({\u_logic/Dfbax6 ,\u_logic/P9bax6 }),
.d({\u_logic/Ntkbx6 [7],\u_logic/_al_u3293_o }),
.f({\u_logic/Ntkbx6 [3],\u_logic/Nvkbx6 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(B*~(~C*~D))"),
.INIT_LUT0(16'b0011000100000001),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"))
\u_logic/_al_u3415|u_logic/_al_u3446 (
.a({open_n152773,\u_logic/_al_u2478_o }),
.b({\u_logic/_al_u3379_o ,\u_logic/_al_u3445_o }),
.c({\u_logic/n6121_lutinv ,\u_logic/_al_u3376_o }),
.d({\u_logic/_al_u3384_o ,\u_logic/vis_pc_o[15] }),
.f({\u_logic/Ntkbx6 [13],\u_logic/Ehihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18312)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*A*~(0@D))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(C*~B*A*~(1@D))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3416|u_logic/Peeax6_reg (
.a({\u_logic/_al_u2534_o ,\u_logic/_al_u3317_o }),
.b({\u_logic/Ntkbx6 [13],\u_logic/eq1/xor_i0[6]_i1[6]_o_lutinv }),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3323_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[12] ,\u_logic/Cykhu6 }),
.e({open_n152794,\u_logic/Qkabx6 }),
.mi({open_n152796,\u_logic/T94iu6 }),
.f({\u_logic/Gdihu6 ,\u_logic/_al_u3326_o }),
.q({open_n152812,\u_logic/Peeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18312)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3422|u_logic/_al_u3064 (
.a({open_n152813,\u_logic/_al_u407_o }),
.b({\u_logic/_al_u3384_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3407_o ,\u_logic/Nj2qw6 }),
.d({\u_logic/Ntkbx6 [11],\u_logic/Nwbbx6 }),
.f({\u_logic/Ntkbx6 [10],\u_logic/_al_u3064_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18167)
EG_PHY_MSLICE #(
//.LUT0("(D@(~C*B*A))"),
//.LUT1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111011100001000),
.INIT_LUT1(16'b1100010000000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3423|u_logic/Oi9ax6_reg (
.a({\u_logic/_al_u2522_o ,\u_logic/Ia1iu6_lutinv }),
.b({\u_logic/Ntkbx6 [10],\u_logic/Nvkbx6 [7]}),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3313_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[9] ,\u_logic/Tc9bx6 }),
.mi({open_n152844,\u_logic/E54iu6 }),
.f({\u_logic/I9ihu6 ,\u_logic/eq1/xor_i0[6]_i1[6]_o_lutinv }),
.q({open_n152849,\u_logic/Oi9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18167)
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(C*B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(C*B))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3426 (
.a({\u_logic/_al_u2356_o ,\u_logic/_al_u2356_o }),
.b({\u_logic/_al_u3385_o ,\u_logic/_al_u3385_o }),
.c({\u_logic/_al_u3388_o ,\u_logic/_al_u3388_o }),
.d({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.mi({open_n152862,\u_logic/vis_pc_o[29] }),
.fx({open_n152867,\u_logic/Mzihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~B*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~B*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000001100000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3430|u_logic/_al_u3309 (
.b({\u_logic/_al_u3407_o ,open_n152872}),
.c({\u_logic/Dfbax6 ,\u_logic/_al_u3293_o }),
.d({\u_logic/_al_u3384_o ,\u_logic/_al_u3308_o }),
.f({\u_logic/n6103_lutinv ,\u_logic/_al_u3309_o }));
EG_PHY_LSLICE #(
//.LUTF0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0000000000010101),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3432|u_logic/_al_u3314 (
.a({open_n152897,\u_logic/_al_u2356_o }),
.b({\u_logic/_al_u3398_o ,\u_logic/_al_u3309_o }),
.c({\u_logic/n6123_lutinv ,\u_logic/_al_u3313_o }),
.d({\u_logic/_al_u3385_o ,\u_logic/_al_u3296_o }),
.e({open_n152900,\u_logic/vis_pc_o[29] }),
.f({\u_logic/_al_u3432_o ,\u_logic/Uilhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(0@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTF1("(D*~C*B*A)"),
//.LUTG0("~(1@(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG1("(D*~C*B*A)"),
.INIT_LUTF0(16'b1100111011111110),
.INIT_LUTF1(16'b0000100000000000),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0000100000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3436|u_logic/_al_u3450 (
.a({\u_logic/_al_u3429_o ,\u_logic/_al_u2581_o }),
.b({\u_logic/_al_u3434_o ,\u_logic/_al_u3449_o }),
.c({\u_logic/eq0/xor_i0[31]_i1[31]_o_lutinv ,\u_logic/_al_u3376_o }),
.d({\u_logic/_al_u3378_o ,\u_logic/vis_pc_o[24] }),
.e({open_n152923,\u_logic/W4aax6 }),
.f({\u_logic/_al_u3436_o ,\u_logic/_al_u3450_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUT1("(~C*B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0000110000000100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3437 (
.a({\u_logic/_al_u2546_o ,\u_logic/_al_u2546_o }),
.b({\u_logic/Ntkbx6 [7],\u_logic/Ntkbx6 [7]}),
.c({\u_logic/_al_u3388_o ,\u_logic/_al_u3388_o }),
.d({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.mi({open_n152956,\u_logic/vis_pc_o[5] }),
.fx({open_n152961,\u_logic/C4ihu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTF1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.INIT_LUTF0(16'b0011000100000001),
.INIT_LUTF1(16'b0011000100000001),
.INIT_LUTG0(16'b0011000100000001),
.INIT_LUTG1(16'b0011000100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3438|u_logic/_al_u3424 (
.a({\u_logic/_al_u2490_o ,\u_logic/_al_u2514_o }),
.b({\u_logic/_al_u3404_o ,\u_logic/_al_u3410_o }),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.d({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[26] }),
.f({\u_logic/Clihu6 ,\u_logic/Ovihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17828)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*~B))"),
//.LUT1("(~B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111111),
.INIT_LUT1(16'b0011000100000001),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3440|u_logic/Lywpw6_reg (
.a({\u_logic/_al_u2506_o ,open_n152988}),
.b({\u_logic/_al_u3385_o ,\u_logic/_al_u1161_o }),
.c({\u_logic/_al_u3376_o ,\u_logic/Lcqow6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[22] ,\u_logic/A8zow6 }),
.f({\u_logic/Iqihu6 ,HWDATA[18]}),
.q({open_n153005,\u_logic/Lywpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17828)
// ../rtl/topmodule/cortexm0ds_logic.v(19876)
EG_PHY_LSLICE #(
//.LUTF0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTF1("(C*~(~B*D))"),
//.LUTG0("((C*~A)*~(B)*~(D)+(C*~A)*B*~(D)+~((C*~A))*B*D+(C*~A)*B*D)"),
//.LUTG1("(C*~(~B*D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110001010000),
.INIT_LUTF1(16'b1100000011110000),
.INIT_LUTG0(16'b1100110001010000),
.INIT_LUTG1(16'b1100000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3441|u_logic/Z9abx6_reg (
.a({open_n153006,\u_logic/_al_u1187_o }),
.b({\u_logic/_al_u3384_o ,\u_logic/Xi4iu6 }),
.c({\u_logic/_al_u3379_o ,\u_logic/I8lax6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/_al_u3388_o ,\u_logic/Wvgax6 }),
.sr(cpuresetn),
.f({\u_logic/Ntkbx6 [14],HWDATA[6]}),
.q({open_n153026,\u_logic/Z9abx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19876)
// ../rtl/topmodule/cortexm0ds_logic.v(19977)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D@(B*(~A*~(0)*~(C)+~A*0*~(C)+~(~A)*0*C+~A*0*C)))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D@(B*(~A*~(1)*~(C)+~A*1*~(C)+~(~A)*1*C+~A*1*C)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111101100000100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011101111000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3442|u_logic/Fldbx6_reg (
.a({\u_logic/_al_u2538_o ,\u_logic/Zt1iu6 }),
.b({\u_logic/Ntkbx6 [14],\u_logic/Ar1iu6 }),
.c({\u_logic/_al_u3376_o ,\u_logic/Pe9bx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Nmabx6 ,\u_logic/Ua9bx6 }),
.e({\u_logic/vis_pc_o[13] ,open_n153027}),
.mi({open_n153029,\u_logic/B74iu6 }),
.f({\u_logic/eq0/xor_i0[14]_i1[14]_o_lutinv ,\u_logic/_al_u3227_o }),
.q({open_n153045,\u_logic/Fldbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19977)
EG_PHY_MSLICE #(
//.LUT0("((~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D)*~(~C*B))"),
//.LUT1("((~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D)*~(~C*B))"),
.INIT_LUT0(16'b0000000001010001),
.INIT_LUT1(16'b1111001101010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3444 (
.a({\u_logic/_al_u2354_o ,\u_logic/_al_u2354_o }),
.b({\u_logic/_al_u3385_o ,\u_logic/_al_u3385_o }),
.c({\u_logic/n6121_lutinv ,\u_logic/n6121_lutinv }),
.d({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.mi({open_n153058,\u_logic/vis_pc_o[28] }),
.fx({open_n153063,\u_logic/Eyihu6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUT1("(~(C@B)*~(D@A))"),
.INIT_LUT0(16'b1100010000000100),
.INIT_LUT1(16'b1000001001000001),
.MODE("LOGIC"))
\u_logic/_al_u3447|u_logic/_al_u3414 (
.a({\u_logic/Eyihu6 ,\u_logic/_al_u2610_o }),
.b({\u_logic/Ehihu6 ,\u_logic/Ntkbx6 [3]}),
.c({\u_logic/Kcaax6 ,\u_logic/_al_u3376_o }),
.d({\u_logic/Tchbx6 ,\u_logic/vis_pc_o[2] }),
.f({\u_logic/_al_u3447_o ,\u_logic/E0ihu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18191)
EG_PHY_LSLICE #(
//.LUTF0("(0@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTF1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
//.LUTG0("(1@(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C)))"),
//.LUTG1("(B*(~A*~(D)*~(C)+~A*D*~(C)+~(~A)*D*C+~A*D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100010000000100),
.INIT_LUTF1(16'b1100010000000100),
.INIT_LUTG0(16'b0011101111111011),
.INIT_LUTG1(16'b1100010000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3448|u_logic/Jraax6_reg (
.a({\u_logic/_al_u2526_o ,\u_logic/_al_u2620_o }),
.b({\u_logic/Ntkbx6 [11],\u_logic/Ntkbx6 [9]}),
.c({\u_logic/_al_u3376_o ,\u_logic/_al_u3376_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[8] }),
.e({open_n153086,\u_logic/J5jbx6 }),
.mi({open_n153088,\u_logic/H34iu6 }),
.f({\u_logic/Qaihu6 ,\u_logic/eq0/xor_i0[9]_i1[9]_o_lutinv }),
.q({open_n153104,\u_logic/Jraax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18191)
// ../rtl/topmodule/cortexm0ds_logic.v(20106)
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D@B))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000100000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3452|u_logic/Tchbx6_reg (
.a({\u_logic/_al_u3421_o ,\u_logic/_al_u3447_o }),
.b({\u_logic/_al_u3436_o ,\u_logic/Qaihu6 }),
.c({\u_logic/_al_u3443_o ,\u_logic/_al_u3450_o }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/_al_u3451_o ,\u_logic/Ux8bx6 }),
.mi({open_n153115,\u_logic/M94iu6 }),
.f({\u_logic/_al_u3452_o ,\u_logic/_al_u3451_o }),
.q({open_n153120,\u_logic/Tchbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20106)
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("~(D@(~C*B*A))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b0000100011110111),
.MODE("LOGIC"))
\u_logic/_al_u3456|u_logic/_al_u3310 (
.a({\u_logic/Oz0iu6_lutinv ,open_n153121}),
.b({\u_logic/Ntkbx6 [3],\u_logic/T7bax6 }),
.c({\u_logic/_al_u3407_o ,\u_logic/X5bax6 }),
.d({\u_logic/Bvaax6 ,\u_logic/_al_u3292_o }),
.f({\u_logic/_al_u3456_o ,\u_logic/n6147_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(20257)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(D*~(C*B)))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("~(A*~(D*~(C*B)))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101010101),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b0111111101010101),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3459|u_logic/Lmkbx6_reg (
.a({open_n153142,\u_logic/_al_u2801_o }),
.b({\u_logic/R19ax6 ,\u_logic/Scbiu6 }),
.c({\u_logic/Zx8ax6 ,\u_logic/T24iu6 }),
.clk(clk_pad),
.d({\u_logic/Fnpiu6 ,\u_logic/Lmkbx6 }),
.sr(RSTn_pad),
.f({\u_logic/Qipiu6_lutinv ,open_n153160}),
.q({open_n153164,\u_logic/Lmkbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20257)
// ../rtl/topmodule/cortexm0ds_logic.v(18821)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u345|u_logic/Bxpax6_reg (
.a({\u_logic/_al_u341_o ,\u_logic/Panow6_lutinv }),
.b({\u_logic/_al_u342_o ,\u_logic/V6now6_lutinv }),
.c({\u_logic/_al_u343_o ,\u_logic/vis_r2_o[4] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u344_o ,\u_logic/vis_r3_o[4] }),
.mi({open_n153168,\u_logic/Ef8iu6 }),
.f({\u_logic/F60iu6 ,\u_logic/_al_u337_o }),
.q({open_n153184,\u_logic/vis_r2_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18821)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u3460|u_logic/_al_u3341 (
.a({\u_logic/_al_u3376_o ,\u_logic/_al_u3296_o }),
.b({\u_logic/J0iax6 ,\u_logic/J0iax6 }),
.c({\u_logic/L6lax6 ,\u_logic/L6lax6 }),
.d({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u3460_o ,\u_logic/_al_u3341_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18693)
EG_PHY_LSLICE #(
//.LUTF0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTF1("(~D*~(C*~B*~A))"),
//.LUTG0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUTG1("(~D*~(C*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001101000000),
.INIT_LUTF1(16'b0000000011101111),
.INIT_LUTG0(16'b0111001101000000),
.INIT_LUTG1(16'b0000000011101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3461|u_logic/L8kax6_reg (
.a({\u_logic/_al_u3335_o ,\u_logic/Wz4iu6 }),
.b({\u_logic/L18iu6 ,\u_logic/n4330 }),
.c({\u_logic/Qipiu6_lutinv ,HRDATA[22]}),
.clk(clk_pad),
.d({\u_logic/_al_u3460_o ,\u_logic/L8kax6 }),
.f({\u_logic/Vhpiu6 ,open_n153223}),
.q({open_n153227,\u_logic/L8kax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18693)
// ../rtl/topmodule/cortexm0ds_logic.v(18208)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001101110111),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1100110010001111),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3462|u_logic/L2bax6_reg (
.a({\u_logic/_al_u3452_o ,\u_logic/Hz0iu6 }),
.b({\u_logic/_al_u3454_o ,\u_logic/_al_u3453_o }),
.c({\u_logic/_al_u3458_o ,\u_logic/_al_u1138_o }),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.d({\u_logic/Vhpiu6 ,\u_logic/_al_u3376_o }),
.e({open_n153228,\u_logic/Xwaax6 }),
.mi({open_n153230,\u_logic/O34iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3462_o ,\u_logic/_al_u3454_o }),
.q({open_n153245,\u_logic/L2bax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18208)
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3465 (
.a({\u_logic/_al_u2917_o ,\u_logic/_al_u2917_o }),
.b({\u_logic/_al_u3464_o ,\u_logic/_al_u3464_o }),
.c({\u_logic/Iqzhu6_lutinv ,\u_logic/Iqzhu6_lutinv }),
.d({\u_logic/Gpqpw6 ,\u_logic/Gpqpw6 }),
.mi({open_n153258,\u_logic/Nmfax6 }),
.fx({open_n153263,\u_logic/Oa4iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~C*~(~0*~B)))"),
//.LUTF1("(~B*A*~(D*C))"),
//.LUTG0("(~A*~(D*~C*~(~1*~B)))"),
//.LUTG1("(~B*A*~(D*C))"),
.INIT_LUTF0(16'b0101000101010101),
.INIT_LUTF1(16'b0000001000100010),
.INIT_LUTG0(16'b0101000001010101),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3478|u_logic/_al_u3335 (
.a({\u_logic/_al_u2801_o ,\u_logic/_al_u2710_o }),
.b({\u_logic/_al_u1065_o ,\u_logic/_al_u2963_o }),
.c({\u_logic/_al_u394_o ,\u_logic/_al_u2964_o }),
.d({\u_logic/Vzupw6 ,\u_logic/S18iu6 }),
.e({open_n153268,\u_logic/_al_u1138_o }),
.f({\u_logic/_al_u3478_o ,\u_logic/_al_u3335_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20156)
EG_PHY_LSLICE #(
//.LUTF0("(A*C*~((~D*B))*~(0)+A*~(C)*(~D*B)*~(0)+A*C*(~D*B)*~(0)+~(A)*~(C)*~((~D*B))*0+A*~(C)*~((~D*B))*0+~(A)*C*~((~D*B))*0+A*C*~((~D*B))*0+A*~(C)*(~D*B)*0+A*C*(~D*B)*0)"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(A*C*~((~D*B))*~(1)+A*~(C)*(~D*B)*~(1)+A*C*(~D*B)*~(1)+~(A)*~(C)*~((~D*B))*1+A*~(C)*~((~D*B))*1+~(A)*C*~((~D*B))*1+A*C*~((~D*B))*1+A*~(C)*(~D*B)*1+A*C*(~D*B)*1)"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010000010101000),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b1111111110111011),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3481|u_logic/F4ibx6_reg (
.a({\u_logic/K0qiu6_lutinv ,\u_logic/_al_u3374_o }),
.b({\u_logic/_al_u3480_o ,\u_logic/Eg7iu6 }),
.c({\u_logic/Ve7iu6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/H4bax6 ,\u_logic/Dg2qw6 }),
.e({open_n153290,\u_logic/F4ibx6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3481_o ,open_n153305}),
.q({open_n153309,\u_logic/F4ibx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20156)
// ../rtl/topmodule/cortexm0ds_logic.v(18277)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3482|u_logic/C4dax6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/_al_u3155_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/_al_u3157_o }),
.c({\u_logic/Efdax6 ,\u_logic/Uosiu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Ljcax6 ,\u_logic/_al_u3160_o }),
.mi({open_n153320,\u_logic/J44iu6 }),
.f({\u_logic/_al_u3482_o ,\u_logic/_al_u3161_o }),
.q({open_n153325,\u_logic/C4dax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18277)
// ../rtl/topmodule/cortexm0ds_logic.v(18340)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3485|u_logic/Eafax6_reg (
.a({\u_logic/Yc7iu6 ,open_n153326}),
.b({\u_logic/Jf7iu6 ,\u_logic/Sbfax6 }),
.c({\u_logic/Eafax6 ,\u_logic/Vz8ax6 }),
.ce(\u_logic/n524 ),
.clk(clk_pad),
.d({\u_logic/Tikbx6 ,\u_logic/Gr2qw6 }),
.mi({open_n153337,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/Howiu6 ,\u_logic/_al_u3378_o }),
.q({open_n153341,\u_logic/Eafax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18340)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3486 (
.a({\u_logic/Howiu6 ,\u_logic/Howiu6 }),
.b({\u_logic/Sg7iu6 ,\u_logic/Sg7iu6 }),
.c({\u_logic/Ws4iu6_lutinv ,\u_logic/Ws4iu6_lutinv }),
.d({\u_logic/Pkkbx6 ,\u_logic/Pkkbx6 }),
.mi({open_n153354,\u_logic/Zx8ax6 }),
.fx({open_n153359,\u_logic/_al_u3486_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3488|u_logic/_al_u3245 (
.a({\u_logic/Xs1iu6 ,\u_logic/_al_u3244_o }),
.b({\u_logic/Dw1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Q6fax6 ,\u_logic/Cs1iu6 }),
.d({\u_logic/Xaeax6 ,\u_logic/Xdcax6 }),
.e({open_n153364,\u_logic/Xr9ax6 }),
.f({\u_logic/_al_u3488_o ,\u_logic/_al_u3245_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~(0*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~D*~C*B*~(1*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0000000000001100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3489|u_logic/_al_u3500 (
.a({\u_logic/_al_u3484_o ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u3486_o ,\u_logic/_al_u3499_o }),
.c({\u_logic/_al_u3487_o ,\u_logic/_al_u3232_o }),
.d({\u_logic/_al_u3488_o ,\u_logic/_al_u3203_o }),
.e({open_n153387,HRDATA[4]}),
.f({\u_logic/_al_u3489_o ,\u_logic/_al_u3500_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18931)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u348|u_logic/Ozvax6_reg (
.a({\u_logic/X7now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r5_o[3] ,\u_logic/vis_r2_o[4] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[3] ,\u_logic/vis_r0_o[4] }),
.mi({open_n153411,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u348_o ,\u_logic/St1pw6 }),
.q({open_n153427,\u_logic/vis_r7_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18931)
// ../rtl/topmodule/cortexm0ds_logic.v(19254)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3490|u_logic/Pv0bx6_reg (
.a({\u_logic/_al_u1937_o ,HWDATA[25]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/Pv0bx6 }),
.clk(clk_pad),
.d({\u_logic/U31bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3490_o ,open_n153445}),
.q({open_n153449,\u_logic/Pv0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19254)
// ../rtl/topmodule/cortexm0ds_logic.v(19062)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3492|u_logic/I2zax6_reg (
.a({\u_logic/_al_u3491_o ,\u_logic/_al_u3520_o }),
.b({\u_logic/Y5eiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/F0eow6 ,\u_logic/M6eiu6 }),
.ce(\u_logic/n1199 ),
.clk(clk_pad),
.d({\u_logic/C3wpw6 ,\u_logic/Oarpw6 }),
.e({\u_logic/Zszax6 ,\u_logic/Wnxax6 }),
.mi({open_n153451,\u_logic/G3eiu6 }),
.sr(cpuresetn),
.f({\u_logic/M1xiu6 ,\u_logic/_al_u3521_o }),
.q({open_n153466,\u_logic/I2zax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19062)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(A*~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D))"),
//.LUT1("(C*~B*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0010001000001010),
.INIT_LUT1(16'b0001000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3495|FM_HW/FM_Demodulation/reg1_b4 (
.a({\u_logic/St1iu6 ,\u_logic/_al_u3266_o }),
.b({\u_logic/_al_u3207_o ,\u_logic/Q3qiu6 }),
.c({\u_logic/_al_u3266_o ,\u_logic/Fmqiu6 }),
.ce(\FM_HW/FM_Demodulation/mux6_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({HRDATA[0],\u_logic/Di3qw6 }),
.mi({open_n153477,\FM_HW/FM_Demodulation/QdataN_1 [4]}),
.f({\u_logic/_al_u3495_o ,\u_logic/Vvpiu6_lutinv }),
.q({open_n153482,\FM_HW/FM_Demodulation/QdataN [4]})); // ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*D*C*B))"),
//.LUT1("(A*~(1*D*C*B))"),
.INIT_LUT0(16'b1010101010101010),
.INIT_LUT1(16'b0010101010101010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3496 (
.a({\u_logic/Wo1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Yw1iu6 ,\u_logic/Yw1iu6 }),
.c({\u_logic/_al_u3489_o ,\u_logic/_al_u3489_o }),
.d({\u_logic/_al_u3494_o ,\u_logic/_al_u3494_o }),
.mi({open_n153495,\u_logic/_al_u3495_o }),
.fx({open_n153500,\u_logic/_al_u3496_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3497|FMDATA_Interface/reg0_b12 (
.a({\u_logic/Qwpiu6_lutinv ,open_n153503}),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u2538_o }),
.c({\u_logic/H3lpw6 ,\u_logic/Yvabx6 }),
.ce(\FMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Ksgax6 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3497_o ,HADDR[14]}),
.q({open_n153523,FMDATA_WADDR[12]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM_FM_Data.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*(~A*~(0)*~(D)+~A*0*~(D)+~(~A)*0*D+~A*0*D))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(C*B*(~A*~(1)*~(D)+~A*1*~(D)+~(~A)*1*D+~A*1*D))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1100000001000000),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3499|u_logic/_al_u3338 (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u2605_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/Nvkbx6 [7]}),
.c({\u_logic/Jraax6 ,\u_logic/n6147_lutinv }),
.d({\u_logic/Wtxax6 ,\u_logic/_al_u3296_o }),
.e({open_n153526,\u_logic/vis_pc_o[4] }),
.f({\u_logic/_al_u3499_o ,\u_logic/Imkhu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17639)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u349|u_logic/A2spw6_reg (
.a({\u_logic/C7now6_lutinv ,\u_logic/N9now6_lutinv }),
.b({\u_logic/U9now6_lutinv ,\u_logic/Panow6_lutinv }),
.c({\u_logic/vis_r0_o[3] ,\u_logic/vis_r1_o[3] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[3] ,\u_logic/vis_r3_o[3] }),
.mi({open_n153550,\u_logic/Sokiu6 }),
.f({\u_logic/_al_u349_o ,\u_logic/_al_u347_o }),
.q({open_n153566,\u_logic/vis_r1_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17639)
// ../rtl/topmodule/cortexm0ds_logic.v(19810)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B*~(D*C)))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010001000100010),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3501|u_logic/B79bx6_reg (
.a({\u_logic/Vr1iu6 ,\u_logic/Wo1iu6 }),
.b({\u_logic/Dw1iu6 ,\u_logic/_al_u3161_o }),
.c({\u_logic/Mbdax6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Y2fax6 ,\u_logic/vis_pc_o[10] }),
.mi({open_n153577,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3501_o ,\u_logic/_al_u3162_o }),
.q({open_n153582,\u_logic/B79bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19810)
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3502 (
.a({\u_logic/_al_u3501_o ,\u_logic/_al_u3501_o }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Jf7iu6 ,\u_logic/Jf7iu6 }),
.d({\u_logic/Tt9ax6 ,\u_logic/Tt9ax6 }),
.mi({open_n153595,\u_logic/Vibax6 }),
.fx({open_n153600,\u_logic/_al_u3502_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3505|u_logic/_al_u4255 (
.a({\u_logic/_al_u3500_o ,\u_logic/_al_u4252_o }),
.b({\u_logic/_al_u3502_o ,\u_logic/_al_u4254_o }),
.c({\u_logic/_al_u3503_o ,\u_logic/St1iu6 }),
.d({\u_logic/_al_u3504_o ,HRDATA[16]}),
.f({\u_logic/_al_u3505_o ,\u_logic/_al_u4255_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20045)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3506|u_logic/D7gbx6_reg (
.a({\u_logic/F0eow6 ,open_n153623}),
.b({\u_logic/_al_u1937_o ,open_n153624}),
.c({\u_logic/vis_ipsr_o[4] ,\u_logic/C1wpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/Ikhbx6 ,\u_logic/Pjyiu6 }),
.mi({open_n153635,HWDATA[22]}),
.f({\u_logic/Hrgow6 ,\u_logic/Kkyiu6 }),
.q({open_n153640,\u_logic/D7gbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20045)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3507 (
.a({\u_logic/Hrgow6 ,\u_logic/Hrgow6 }),
.b({\u_logic/Fpgiu6 ,\u_logic/Fpgiu6 }),
.c({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.d({\u_logic/Johbx6 ,\u_logic/Johbx6 }),
.mi({open_n153653,\u_logic/Kqhbx6 }),
.fx({open_n153658,\u_logic/_al_u3507_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3508 (
.a({\u_logic/_al_u3507_o ,\u_logic/_al_u3507_o }),
.b({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Gihbx6 ,\u_logic/Gihbx6 }),
.mi({open_n153673,\u_logic/Imhbx6 }),
.fx({open_n153678,\u_logic/Yzqiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19722)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u350|u_logic/Nq5bx6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Dmqow6 }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r4_o[3] ,\u_logic/vis_r0_o[1] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[3] ,\u_logic/vis_r2_o[1] }),
.mi({open_n153684,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u350_o ,\u_logic/Hmzow6 }),
.q({open_n153700,\u_logic/vis_r3_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(19722)
// ../rtl/topmodule/cortexm0ds_logic.v(18100)
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*A))"),
//.LUT1("(C*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0100110001011111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3512|u_logic/Bk7ax6_reg (
.a({open_n153701,\u_logic/Bo1iu6 }),
.b({open_n153702,\u_logic/D7xiu6_lutinv }),
.c({\u_logic/L9bbx6 ,\u_logic/Pg3qw6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/D7xiu6_lutinv ,\u_logic/V53qw6 }),
.mi({open_n153713,\u_logic/Li7ax6 }),
.f({\u_logic/_al_u3512_o ,\u_logic/_al_u3510_o }),
.q({open_n153718,\u_logic/Bk7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18100)
// ../rtl/topmodule/cortexm0ds_logic.v(18001)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3515|u_logic/Gr2qw6_reg (
.a({\u_logic/Eg7iu6 ,\u_logic/Fgpiu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/L2bax6 ,\u_logic/I1lpw6 }),
.ce(\u_logic/Tu4iu6 ),
.clk(clk_pad),
.d({\u_logic/Nu5bx6 ,\u_logic/Lmkbx6 }),
.mi({open_n153722,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3515_o ,\u_logic/_al_u3480_o }),
.q({open_n153737,\u_logic/Gr2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18001)
// ../rtl/topmodule/cortexm0ds_logic.v(18196)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3519|u_logic/Tyaax6_reg (
.a({\u_logic/_al_u3518_o ,open_n153738}),
.b({\u_logic/Vvpiu6_lutinv ,open_n153739}),
.c({\u_logic/Iv1iu6 ,\u_logic/Hdbax6 }),
.ce(\u_logic/n531 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[0] ,\u_logic/Jf7iu6 }),
.mi({open_n153750,\u_logic/T24iu6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3519_o ,\u_logic/_al_u3517_o }),
.q({open_n153754,\u_logic/Tyaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18196)
// ../rtl/topmodule/cortexm0ds_logic.v(20121)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3522|u_logic/Ikhbx6_reg (
.a({\u_logic/F0eow6 ,HWDATA[4]}),
.b({\u_logic/M0eow6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Aa2bx6 ,\u_logic/Ikhbx6 }),
.clk(clk_pad),
.d({\u_logic/Cxzax6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3522_o ,open_n153772}),
.q({open_n153776,\u_logic/Ikhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20121)
// ../rtl/topmodule/cortexm0ds_logic.v(20222)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~C*~B))"),
//.LUT1("(B*A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1010101110101010),
.INIT_LUT1(16'b0000100010001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3523|u_logic/T2kbx6_reg (
.a({\u_logic/_al_u3521_o ,\u_logic/_al_u730_o }),
.b({\u_logic/_al_u3522_o ,\u_logic/_al_u732_o }),
.c({\u_logic/Y5eiu6 ,\u_logic/_al_u734_o }),
.clk(clk_pad),
.d({\u_logic/Avzax6 ,\u_logic/T2kbx6 }),
.sr(cpuresetn),
.f({\u_logic/Ovpiu6 ,open_n153790}),
.q({open_n153794,\u_logic/T2kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20222)
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~B)*~(C*A))"),
//.LUT1("(~(~C*~B)*~(D*A))"),
.INIT_LUT0(16'b0101111101001100),
.INIT_LUT1(16'b0101010011111100),
.MODE("LOGIC"))
\u_logic/_al_u3534|u_logic/_al_u3559 (
.a({\u_logic/_al_u2518_o ,\u_logic/_al_u2482_o }),
.b({\u_logic/_al_u2534_o ,\u_logic/_al_u2534_o }),
.c({\u_logic/I0dax6 ,\u_logic/Knbbx6 }),
.d({\u_logic/Y5dax6 ,\u_logic/P4cax6 }),
.f({\u_logic/_al_u3534_o ,\u_logic/_al_u3559_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0101010011111100),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3536|u_logic/_al_u3563 (
.a({\u_logic/_al_u3531_o ,\u_logic/_al_u2502_o }),
.b({\u_logic/_al_u3533_o ,\u_logic/_al_u2620_o }),
.c({\u_logic/_al_u3534_o ,\u_logic/F7jbx6 }),
.d({\u_logic/_al_u3535_o ,\u_logic/Nnfbx6 }),
.f({\u_logic/_al_u3536_o ,\u_logic/_al_u3563_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19980)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(~C*~B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1111110010101000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3537|u_logic/Wqdbx6_reg (
.a({\u_logic/_al_u2498_o ,\u_logic/Vr1iu6 }),
.b({\u_logic/_al_u2510_o ,\u_logic/Dw1iu6 }),
.c({\u_logic/Qjcbx6 ,\u_logic/K5hbx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Xdebx6 ,\u_logic/Q1hbx6 }),
.mi({open_n153845,\u_logic/B74iu6 }),
.f({\u_logic/_al_u3537_o ,\u_logic/_al_u4424_o }),
.q({open_n153850,\u_logic/Wqdbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19980)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@C)*~(~D*~B))"),
//.LUTF1("(A*~(D*C)*~(~0*~B))"),
//.LUTG0("(~A*(1@C)*~(~D*~B))"),
//.LUTG1("(A*~(D*C)*~(~1*~B))"),
.INIT_LUTF0(16'b0101000001000000),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0000010100000100),
.INIT_LUTG1(16'b0000101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3538|u_logic/_al_u3533 (
.a({\u_logic/_al_u3537_o ,\u_logic/_al_u3532_o }),
.b({\u_logic/_al_u2518_o ,\u_logic/_al_u2482_o }),
.c({\u_logic/_al_u2542_o ,\u_logic/_al_u2494_o }),
.d({\u_logic/Lycax6 ,\u_logic/Btbbx6 }),
.e({\u_logic/Y5dax6 ,\u_logic/Qudbx6 }),
.f({\u_logic/_al_u3538_o ,\u_logic/_al_u3533_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*~A))"),
//.LUTF1("(~(~C*~B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(~C*~A))"),
//.LUTG1("(~(~C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0011001011111010),
.INIT_LUTF1(16'b0101010011111100),
.INIT_LUTG0(16'b0011001011111010),
.INIT_LUTG1(16'b0101010011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3539|u_logic/_al_u3608 (
.a({\u_logic/_al_u2486_o ,\u_logic/_al_u2498_o }),
.b({\u_logic/_al_u2542_o ,\u_logic/_al_u2518_o }),
.c({\u_logic/Lycax6 ,\u_logic/Acebx6 }),
.d({\u_logic/Rucax6 ,\u_logic/R1eax6 }),
.f({\u_logic/_al_u3539_o ,\u_logic/_al_u3608_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18976)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u353|u_logic/S7yax6_reg (
.a({\u_logic/N9now6_lutinv ,\u_logic/Cpqow6 }),
.b({\u_logic/C7now6_lutinv ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r6_o[5] ,\u_logic/vis_r0_o[6] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[5] ,\u_logic/vis_r3_o[6] }),
.mi({open_n153900,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u353_o ,\u_logic/_al_u476_o }),
.q({open_n153916,\u_logic/vis_r3_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18976)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(~C*~A))"),
//.LUT1("(B*A*(D@C))"),
.INIT_LUT0(16'b0011001011111010),
.INIT_LUT1(16'b0000100010000000),
.MODE("LOGIC"))
\u_logic/_al_u3540|u_logic/_al_u3535 (
.a({\u_logic/_al_u3538_o ,\u_logic/_al_u2514_o }),
.b({\u_logic/_al_u3539_o ,\u_logic/_al_u2546_o }),
.c({\u_logic/_al_u2530_o ,\u_logic/T2dbx6 }),
.d({\u_logic/U7dax6 ,\u_logic/Zl9bx6 }),
.f({\u_logic/_al_u3540_o ,\u_logic/_al_u3535_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18124)
EG_PHY_LSLICE #(
//.LUTF0("(C*(0@B)*~(~D*~A))"),
//.LUTF1("(D*~(~0*~B)*(C@A))"),
//.LUTG0("(C*(1@B)*~(~D*~A))"),
//.LUTG1("(D*~(~1*~B)*(C@A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b0100100000000000),
.INIT_LUTG0(16'b0011000000100000),
.INIT_LUTG1(16'b0101101000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3541|u_logic/Kl8ax6_reg (
.a({\u_logic/_al_u2522_o ,\u_logic/_al_u2610_o }),
.b({\u_logic/_al_u2605_o ,\u_logic/_al_u2615_o }),
.c({\u_logic/C4dax6 ,\u_logic/Q6fax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Efdax6 ,\u_logic/U4fax6 }),
.e({\u_logic/Q9dax6 ,\u_logic/Y2fax6 }),
.mi({open_n153938,\u_logic/E54iu6 }),
.f({\u_logic/_al_u3541_o ,\u_logic/_al_u3595_o }),
.q({open_n153954,\u_logic/Kl8ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18124)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTG0("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3543 (
.c({open_n153959,\u_logic/Xqcax6 }),
.d({open_n153962,\u_logic/_al_u2571_o }),
.f({open_n153980,\u_logic/_al_u3543_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19893)
EG_PHY_LSLICE #(
//.LUTF0("(D*(C@B)*~(~0*~A))"),
//.LUTF1("(~A*~(0*C)*(D@B))"),
//.LUTG0("(D*(C@B)*~(~1*~A))"),
//.LUTG1("(~A*~(1*C)*(D@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010100000000000),
.INIT_LUTF1(16'b0001000101000100),
.INIT_LUTG0(16'b0011110000000000),
.INIT_LUTG1(16'b0000000100000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3544|u_logic/Hqabx6_reg (
.a({\u_logic/_al_u3543_o ,\u_logic/_al_u2456_o }),
.b({\u_logic/_al_u2506_o ,\u_logic/_al_u2514_o }),
.c({\u_logic/_al_u2605_o ,\u_logic/W0dbx6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/K5hbx6 ,\u_logic/Xaeax6 }),
.e({\u_logic/Q9dax6 ,\u_logic/Yxdax6 }),
.mi({open_n153987,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3544_o ,\u_logic/_al_u3621_o }),
.q({open_n154003,\u_logic/Hqabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19893)
// ../rtl/topmodule/cortexm0ds_logic.v(19989)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3547|u_logic/G8ebx6_reg (
.a({\u_logic/_al_u2534_o ,\u_logic/Vr1iu6 }),
.b({\u_logic/_al_u2571_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/I0dax6 ,\u_logic/G8ebx6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Xqcax6 ,\u_logic/Xdebx6 }),
.mi({open_n154014,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3547_o ,\u_logic/Ajuiu6 }),
.q({open_n154019,\u_logic/G8ebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19989)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(~D*~A))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(~(~C*~B)*~(~D*~A))"),
//.LUTG1("((D@B)*(C@A))"),
.INIT_LUTF0(16'b1111110010101000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b1111110010101000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3548|u_logic/_al_u3626 (
.a({\u_logic/_al_u2502_o ,\u_logic/_al_u2494_o }),
.b({\u_logic/_al_u2587_o ,\u_logic/_al_u2502_o }),
.c({\u_logic/Etfbx6 ,\u_logic/Hrfbx6 }),
.d({\u_logic/Yogax6 ,\u_logic/Tsdbx6 }),
.f({\u_logic/_al_u3548_o ,\u_logic/_al_u3626_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D@C)*~(0*B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~A*(D@C)*~(1*B))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0000010101010000),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0000000100010000),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3549|u_logic/_al_u3568 (
.a({\u_logic/_al_u3547_o ,\u_logic/_al_u3567_o }),
.b({\u_logic/_al_u3548_o ,\u_logic/_al_u2538_o }),
.c({\u_logic/_al_u2581_o ,\u_logic/_al_u2581_o }),
.d({\u_logic/Apcax6 ,\u_logic/Htbax6 }),
.e({open_n154046,\u_logic/Koabx6 }),
.f({\u_logic/_al_u3549_o ,\u_logic/_al_u3568_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18930)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u354|u_logic/Pxvax6_reg (
.a({\u_logic/V6now6_lutinv ,\u_logic/Voqow6 }),
.b({\u_logic/Wanow6_lutinv ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r7_o[5] ,\u_logic/vis_r1_o[6] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[5] ,\u_logic/vis_r7_o[6] }),
.mi({open_n154077,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u354_o ,\u_logic/_al_u477_o }),
.q({open_n154082,\u_logic/vis_r7_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18930)
// ../rtl/topmodule/cortexm0ds_logic.v(18300)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*(D@C))"),
//.LUTF1("(0*D*C*B*A)"),
//.LUTG0("(B*A*(D@C))"),
//.LUTG1("(1*D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0000100010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3550|u_logic/R1eax6_reg (
.a({\u_logic/_al_u3528_o ,\u_logic/_al_u3603_o }),
.b({\u_logic/_al_u3536_o ,\u_logic/_al_u3604_o }),
.c({\u_logic/_al_u3540_o ,\u_logic/_al_u2610_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u3546_o ,\u_logic/B9eax6 }),
.e({\u_logic/_al_u3549_o ,open_n154083}),
.mi({open_n154085,\u_logic/Pl4iu6 }),
.f({\u_logic/_al_u3550_o ,\u_logic/_al_u3605_o }),
.q({open_n154101,\u_logic/R1eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18300)
// ../rtl/topmodule/cortexm0ds_logic.v(19816)
EG_PHY_MSLICE #(
//.LUT0("(B*A*(D@C))"),
//.LUT1("(~(~D*~B)*~(~C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000100010000000),
.INIT_LUT1(16'b1111101011001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3551|u_logic/Hi9bx6_reg (
.a({\u_logic/_al_u2482_o ,\u_logic/_al_u3529_o }),
.b({\u_logic/_al_u2546_o ,\u_logic/_al_u3530_o }),
.c({\u_logic/Knbbx6 ,\u_logic/_al_u2615_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Lg9bx6 ,\u_logic/Mbdax6 }),
.mi({open_n154112,\u_logic/Xi4iu6 }),
.f({\u_logic/_al_u3551_o ,\u_logic/_al_u3531_o }),
.q({open_n154117,\u_logic/Hi9bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19816)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(~D*~A))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("(~(C*B)*~(~D*~A))"),
//.LUTG1("(B*A*(D@C))"),
.INIT_LUTF0(16'b0011111100101010),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b0011111100101010),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3553|u_logic/_al_u3625 (
.a({\u_logic/_al_u3551_o ,\u_logic/_al_u2518_o }),
.b({\u_logic/_al_u3552_o ,\u_logic/_al_u2615_o }),
.c({\u_logic/_al_u2510_o ,\u_logic/F7eax6 }),
.d({\u_logic/Zdcbx6 ,\u_logic/R1eax6 }),
.f({\u_logic/_al_u3553_o ,\u_logic/_al_u3625_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18254)
EG_PHY_LSLICE #(
//.LUTF0("((C@B)*~(~D*~A))"),
//.LUTF1("(B*(C@D))"),
//.LUTG0("((C@B)*~(~D*~A))"),
//.LUTG1("(B*(C@D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011110000101000),
.INIT_LUTF1(16'b0000110011000000),
.INIT_LUTG0(16'b0011110000101000),
.INIT_LUTG1(16'b0000110011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3554|u_logic/M6cax6_reg (
.a({open_n154142,\u_logic/_al_u2546_o }),
.b({\u_logic/_al_u3553_o ,\u_logic/_al_u2610_o }),
.c({\u_logic/Opbax6 ,\u_logic/Iddax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/_al_u2757_o ,\u_logic/Zl9bx6 }),
.mi({open_n154146,\u_logic/X44iu6 }),
.f({\u_logic/_al_u3554_o ,\u_logic/_al_u3529_o }),
.q({open_n154162,\u_logic/M6cax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18254)
// ../rtl/topmodule/cortexm0ds_logic.v(18318)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(~D*~A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0011111100101010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0011111100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3557|u_logic/Xpeax6_reg (
.a({\u_logic/_al_u2538_o ,open_n154163}),
.b({\u_logic/_al_u2620_o ,open_n154164}),
.c({\u_logic/F7jbx6 ,\u_logic/Va7ax6 }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Koabx6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3557_o ,\u_logic/S54iu6 }),
.q({open_n154185,\u_logic/Xpeax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18318)
// ../rtl/topmodule/cortexm0ds_logic.v(19717)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u355|u_logic/Og5bx6_reg (
.a({\u_logic/U9now6_lutinv ,\u_logic/V6now6_lutinv }),
.b({\u_logic/X7now6_lutinv ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r0_o[5] ,\u_logic/vis_r2_o[7] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[5] ,\u_logic/vis_r4_o[7] }),
.mi({open_n154196,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u355_o ,\u_logic/_al_u366_o }),
.q({open_n154201,\u_logic/vis_r3_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(19717)
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0*D))"),
//.LUT1("(C*B*A*~(1*D))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3560 (
.a({\u_logic/_al_u3556_o ,\u_logic/_al_u3556_o }),
.b({\u_logic/_al_u3558_o ,\u_logic/_al_u3558_o }),
.c({\u_logic/_al_u3559_o ,\u_logic/_al_u3559_o }),
.d({\u_logic/_al_u2486_o ,\u_logic/_al_u2486_o }),
.mi({open_n154214,\u_logic/Yybax6 }),
.fx({open_n154219,\u_logic/_al_u3560_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19964)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(D@C)*~(0*B))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(~A*(D@C)*~(1*B))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000010101010000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000000100010000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3561|u_logic/Cxcbx6_reg (
.a({\u_logic/_al_u2456_o ,\u_logic/_al_u3590_o }),
.b({\u_logic/_al_u2494_o ,\u_logic/_al_u2482_o }),
.c({\u_logic/M6cax6 ,\u_logic/_al_u2605_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Zodbx6 ,\u_logic/C1fax6 }),
.e({open_n154222,\u_logic/Hpbbx6 }),
.mi({open_n154224,\u_logic/Y84iu6 }),
.f({\u_logic/_al_u3561_o ,\u_logic/_al_u3591_o }),
.q({open_n154240,\u_logic/Cxcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19964)
EG_PHY_MSLICE #(
//.LUT0("(A*(D@C)*(0@B))"),
//.LUT1("(A*(D@C)*(1@B))"),
.INIT_LUT0(16'b0000100010000000),
.INIT_LUT1(16'b0000001000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3562 (
.a({\u_logic/_al_u3561_o ,\u_logic/_al_u3561_o }),
.b({\u_logic/_al_u2506_o ,\u_logic/_al_u2506_o }),
.c({\u_logic/_al_u2615_o ,\u_logic/_al_u2615_o }),
.d({\u_logic/Tfcax6 ,\u_logic/Tfcax6 }),
.mi({open_n154253,\u_logic/Tzgbx6 }),
.fx({open_n154258,\u_logic/_al_u3562_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19892)
EG_PHY_MSLICE #(
//.LUT0("((C@B)*(D@A))"),
//.LUT1("(~(C*B)*~(~D*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010000101000),
.INIT_LUT1(16'b0011111100101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3565|u_logic/Koabx6_reg (
.a({\u_logic/_al_u2486_o ,\u_logic/_al_u2526_o }),
.b({\u_logic/_al_u2546_o ,\u_logic/_al_u2587_o }),
.c({\u_logic/Lg9bx6 ,\u_logic/Hjgax6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Yybax6 ,\u_logic/Rz8bx6 }),
.mi({open_n154271,\u_logic/L54iu6 }),
.f({\u_logic/_al_u3565_o ,\u_logic/_al_u3571_o }),
.q({open_n154276,\u_logic/Koabx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19892)
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*(0@D))"),
//.LUT1("(C*B*A*(1@D))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3566 (
.a({\u_logic/_al_u3562_o ,\u_logic/_al_u3562_o }),
.b({\u_logic/_al_u3564_o ,\u_logic/_al_u3564_o }),
.c({\u_logic/_al_u3565_o ,\u_logic/_al_u3565_o }),
.d({\u_logic/_al_u2542_o ,\u_logic/_al_u2542_o }),
.mi({open_n154289,\u_logic/S2cax6 }),
.fx({open_n154294,\u_logic/_al_u3566_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3567|u_logic/_al_u3602 (
.a({open_n154297,\u_logic/_al_u2494_o }),
.b({open_n154298,\u_logic/_al_u2502_o }),
.c({\u_logic/P4cax6 ,\u_logic/Hrfbx6 }),
.d({\u_logic/_al_u2534_o ,\u_logic/Tsdbx6 }),
.f({\u_logic/_al_u3567_o ,\u_logic/_al_u3602_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17545)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u356|u_logic/Jvppw6_reg (
.a({\u_logic/Panow6_lutinv ,\u_logic/E8now6_lutinv }),
.b({\u_logic/E8now6_lutinv ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r3_o[5] ,\u_logic/vis_r7_o[6] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[5] ,\u_logic/vis_r4_o[6] }),
.mi({open_n154322,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u356_o ,\u_logic/_al_u317_o }),
.q({open_n154338,\u_logic/vis_r1_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17545)
// ../rtl/topmodule/cortexm0ds_logic.v(19938)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(~C*~A))"),
//.LUT1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001011111010),
.INIT_LUT1(16'b0001001001001000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3570|u_logic/Btbbx6_reg (
.a({\u_logic/_al_u2514_o ,\u_logic/_al_u2486_o }),
.b({\u_logic/_al_u2518_o ,\u_logic/_al_u2498_o }),
.c({\u_logic/Cxcbx6 ,\u_logic/Rucax6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Facax6 ,\u_logic/Xdebx6 }),
.mi({open_n154349,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3570_o ,\u_logic/_al_u3545_o }),
.q({open_n154354,\u_logic/Btbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19938)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(~C*~A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(~C*~A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0011001011111010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0011001011111010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3572|u_logic/_al_u3583 (
.a({\u_logic/_al_u3568_o ,\u_logic/_al_u2456_o }),
.b({\u_logic/_al_u3569_o ,\u_logic/_al_u2514_o }),
.c({\u_logic/_al_u3570_o ,\u_logic/Rteax6 }),
.d({\u_logic/_al_u3571_o ,\u_logic/Zycbx6 }),
.f({\u_logic/_al_u3572_o ,\u_logic/_al_u3583_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3573|u_logic/_al_u3593 (
.a({\u_logic/_al_u3554_o ,open_n154379}),
.b({\u_logic/_al_u3560_o ,open_n154380}),
.c({\u_logic/_al_u3566_o ,\u_logic/B9jbx6 }),
.d({\u_logic/_al_u3572_o ,\u_logic/_al_u2620_o }),
.f({\u_logic/_al_u3573_o ,\u_logic/_al_u3593_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u3575|u_logic/_al_u3588 (
.c({\u_logic/Mgeax6 ,\u_logic/Daebx6 }),
.d({\u_logic/_al_u2581_o ,\u_logic/_al_u2498_o }),
.f({\u_logic/_al_u3575_o ,\u_logic/_al_u3588_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@C)*~(~D*~B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~A*(1@C)*~(~D*~B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0101000001000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000010100000100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3579|u_logic/_al_u3578 (
.a({open_n154425,\u_logic/_al_u3577_o }),
.b({open_n154426,\u_logic/_al_u2486_o }),
.c({\u_logic/Elgax6 ,\u_logic/_al_u2502_o }),
.d({\u_logic/_al_u2587_o ,\u_logic/Dmeax6 }),
.e({open_n154429,\u_logic/Kpfbx6 }),
.f({\u_logic/_al_u3579_o ,\u_logic/_al_u3578_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19990)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*(D@C))"),
//.LUTF1("(~A*~(D*C)*(0@B))"),
//.LUTG0("(B*A*(D@C))"),
//.LUTG1("(~A*~(D*C)*(1@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010000000),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000100010000000),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3580|u_logic/Daebx6_reg (
.a({\u_logic/_al_u3579_o ,\u_logic/_al_u3613_o }),
.b({\u_logic/_al_u2534_o ,\u_logic/_al_u3614_o }),
.c({\u_logic/_al_u2581_o ,\u_logic/_al_u2571_o }),
.ce(\u_logic/n527 ),
.clk(clk_pad),
.d({\u_logic/Mgeax6 ,\u_logic/Qmdax6 }),
.e({\u_logic/Ureax6 ,open_n154450}),
.mi({open_n154452,\u_logic/I74iu6 }),
.f({\u_logic/_al_u3580_o ,\u_logic/_al_u3615_o }),
.q({open_n154468,\u_logic/Daebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19990)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(0@C)*~(D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~A*(1@C)*~(D*B))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001000001010000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000100000101),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3582|u_logic/_al_u3576 (
.a({\u_logic/_al_u3576_o ,\u_logic/_al_u3575_o }),
.b({\u_logic/_al_u3578_o ,\u_logic/_al_u2486_o }),
.c({\u_logic/_al_u3580_o ,\u_logic/_al_u2542_o }),
.d({\u_logic/_al_u3581_o ,\u_logic/Dmeax6 }),
.e({open_n154471,\u_logic/Xpeax6 }),
.f({\u_logic/_al_u3582_o ,\u_logic/_al_u3576_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(~C*~B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0101010011111100),
.MODE("LOGIC"))
\u_logic/_al_u3584|u_logic/_al_u3186 (
.a({\u_logic/_al_u2456_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u2482_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/Hpbbx6 ,\u_logic/Facax6 }),
.d({\u_logic/Rteax6 ,\u_logic/R1eax6 }),
.f({\u_logic/_al_u3584_o ,\u_logic/_al_u3186_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3587|u_logic/_al_u3995 (
.a({\u_logic/_al_u3583_o ,\u_logic/_al_u2502_o }),
.b({\u_logic/_al_u3584_o ,\u_logic/_al_u2506_o }),
.c({\u_logic/_al_u3585_o ,\u_logic/_al_u2510_o }),
.d({\u_logic/_al_u3586_o ,\u_logic/_al_u2514_o }),
.f({\u_logic/_al_u3587_o ,\u_logic/_al_u3995_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(D@C)*~(0*B))"),
//.LUT1("(~A*(D@C)*~(1*B))"),
.INIT_LUT0(16'b0000010101010000),
.INIT_LUT1(16'b0000000100010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3589 (
.a({\u_logic/_al_u3588_o ,\u_logic/_al_u3588_o }),
.b({\u_logic/_al_u2494_o ,\u_logic/_al_u2494_o }),
.c({\u_logic/_al_u2546_o ,\u_logic/_al_u2546_o }),
.d({\u_logic/Hi9bx6 ,\u_logic/Hi9bx6 }),
.mi({open_n154548,\u_logic/Wqdbx6 }),
.fx({open_n154553,\u_logic/_al_u3589_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(C@B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*(C@B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011110000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011110000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3590|u_logic/_al_u3564 (
.b({open_n154558,\u_logic/_al_u2498_o }),
.c({\u_logic/Wqdbx6 ,\u_logic/G8ebx6 }),
.d({\u_logic/_al_u2494_o ,\u_logic/_al_u3563_o }),
.f({\u_logic/_al_u3590_o ,\u_logic/_al_u3564_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3592 (
.a({open_n154583,\u_logic/_al_u3582_o }),
.b({open_n154584,\u_logic/_al_u3587_o }),
.c({open_n154585,\u_logic/_al_u3589_o }),
.d({open_n154588,\u_logic/_al_u3591_o }),
.f({open_n154602,\u_logic/_al_u3592_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20018)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~A*~(D*C)*(0@B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~A*~(D*C)*(1@B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000000100010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3594|u_logic/Etfbx6_reg (
.a({\u_logic/_al_u3593_o ,\u_logic/_al_u2482_o }),
.b({\u_logic/_al_u2510_o ,\u_logic/_al_u2510_o }),
.c({\u_logic/_al_u2518_o ,\u_logic/Btbbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Kxeax6 ,\u_logic/Qjcbx6 }),
.e({\u_logic/Wfcbx6 ,open_n154608}),
.mi({open_n154610,\u_logic/P74iu6 }),
.f({\u_logic/_al_u3594_o ,\u_logic/_al_u3530_o }),
.q({open_n154626,\u_logic/Etfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20018)
// ../rtl/topmodule/cortexm0ds_logic.v(19808)
EG_PHY_LSLICE #(
//.LUTF0("((C@B)*(D@A))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("((C@B)*(D@A))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010000101000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0001010000101000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3596|u_logic/J39bx6_reg (
.a({\u_logic/_al_u2490_o ,\u_logic/_al_u2478_o }),
.b({\u_logic/_al_u2538_o ,\u_logic/_al_u2610_o }),
.c({\u_logic/Gkeax6 ,\u_logic/Phcax6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Hqabx6 ,\u_logic/V0cax6 }),
.mi({open_n154630,\u_logic/Q44iu6 }),
.f({\u_logic/_al_u3596_o ,\u_logic/_al_u3569_o }),
.q({open_n154646,\u_logic/J39bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19808)
// ../rtl/topmodule/cortexm0ds_logic.v(19935)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("((C@B)*(D@A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("((C@B)*(D@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010000101000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010000101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3597|u_logic/Knbbx6_reg (
.a({\u_logic/_al_u2506_o ,\u_logic/_al_u3229_o }),
.b({\u_logic/_al_u2530_o ,\u_logic/Iv1iu6 }),
.c({\u_logic/Gzeax6 ,\u_logic/Xs1iu6 }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/Q1hbx6 ,\u_logic/Dk9bx6 }),
.e({open_n154647,\u_logic/vis_pc_o[5] }),
.mi({open_n154649,\u_logic/G64iu6 }),
.f({\u_logic/_al_u3597_o ,\u_logic/_al_u3230_o }),
.q({open_n154665,\u_logic/Knbbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19935)
// ../rtl/topmodule/cortexm0ds_logic.v(18249)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("((D@B)*(C@A))"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("((D@B)*(C@A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0001001001001000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0001001001001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3599|u_logic/Bxbax6_reg (
.a({\u_logic/_al_u2478_o ,\u_logic/Yw1iu6 }),
.b({\u_logic/_al_u2526_o ,\u_logic/_al_u3065_o }),
.c({\u_logic/Aoeax6 ,\u_logic/_al_u3067_o }),
.ce(\u_logic/n528 ),
.clk(clk_pad),
.d({\u_logic/N19bx6 ,\u_logic/St1iu6 }),
.e({open_n154666,HRDATA[25]}),
.mi({open_n154668,\u_logic/U64iu6 }),
.f({\u_logic/_al_u3599_o ,\u_logic/_al_u3068_o }),
.q({open_n154684,\u_logic/Bxbax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18249)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*B))"),
//.LUTF1("(B*A*(D@C))"),
//.LUTG0("~(~D*~(C*B))"),
//.LUTG1("(B*A*(D@C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111000000),
.INIT_LUTF1(16'b0000100010000000),
.INIT_LUTG0(16'b1111111111000000),
.INIT_LUTG1(16'b0000100010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3600|FM_Display/reg9_b2 (
.a({\u_logic/_al_u3598_o ,open_n154685}),
.b({\u_logic/_al_u3599_o ,\u_logic/Ud4iu6 }),
.c({\u_logic/_al_u2522_o ,\u_logic/Wvgax6 }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/Oveax6 ,\u_logic/_al_u1162_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3600_o ,HWDATA[2]}),
.q({open_n154705,\FM_Display/channel_NO [2]})); // ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(~D*~A))"),
//.LUTF1("(D*(C@B))"),
//.LUTG0("(~(C*B)*~(~D*~A))"),
//.LUTG1("(D*(C@B))"),
.INIT_LUTF0(16'b0011111100101010),
.INIT_LUTF1(16'b0011110000000000),
.INIT_LUTG0(16'b0011111100101010),
.INIT_LUTG1(16'b0011110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3603|u_logic/_al_u3585 (
.a({open_n154706,\u_logic/_al_u2518_o }),
.b({\u_logic/_al_u2530_o ,\u_logic/_al_u2620_o }),
.c({\u_logic/N3eax6 ,\u_logic/B9jbx6 }),
.d({\u_logic/_al_u3602_o ,\u_logic/Kxeax6 }),
.f({\u_logic/_al_u3603_o ,\u_logic/_al_u3585_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~B)*~(C*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(~D*~B)*~(C*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0101111101001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0101111101001100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3606|u_logic/_al_u3609 (
.a({open_n154731,\u_logic/_al_u2498_o }),
.b({open_n154732,\u_logic/_al_u2534_o }),
.c({\u_logic/Hsdax6 ,\u_logic/Acebx6 }),
.d({\u_logic/_al_u2478_o ,\u_logic/Bwdax6 }),
.f({\u_logic/_al_u3606_o ,\u_logic/_al_u3609_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3610|u_logic/_al_u3532 (
.a({\u_logic/_al_u3605_o ,open_n154757}),
.b({\u_logic/_al_u3607_o ,open_n154758}),
.c({\u_logic/_al_u3608_o ,\u_logic/T2dbx6 }),
.d({\u_logic/_al_u3609_o ,\u_logic/_al_u2514_o }),
.f({\u_logic/_al_u3610_o ,\u_logic/_al_u3532_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18044)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("((C@B)*(D@A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("((C@B)*(D@A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010000101000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010000101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3611|u_logic/No3qw6_reg (
.a({\u_logic/_al_u2490_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u2542_o ,\u_logic/Cs1iu6 }),
.c({\u_logic/Eudax6 ,\u_logic/F7eax6 }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/Nodax6 ,\u_logic/Tfcax6 }),
.mi({open_n154786,\u_logic/D84iu6 }),
.f({\u_logic/_al_u3611_o ,\u_logic/_al_u3504_o }),
.q({open_n154802,\u_logic/No3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18044)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u3616|u_logic/_al_u3577 (
.c({\u_logic/Tkdax6 ,\u_logic/Daebx6 }),
.d({\u_logic/_al_u2581_o ,\u_logic/_al_u2498_o }),
.f({\u_logic/_al_u3616_o ,\u_logic/_al_u3577_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(~D*~A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(~D*~A))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011111100101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011111100101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3619|u_logic/_al_u4253 (
.a({\u_logic/_al_u2538_o ,\u_logic/Xs1iu6 }),
.b({\u_logic/_al_u2587_o ,\u_logic/Ar1iu6 }),
.c({\u_logic/Bngax6 ,\u_logic/Chwpw6 }),
.d({\u_logic/Esabx6 ,\u_logic/Hsdax6 }),
.f({\u_logic/_al_u3619_o ,\u_logic/_al_u4253_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0101010011111100),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3620|u_logic/_al_u3618 (
.a({\u_logic/_al_u3615_o ,\u_logic/_al_u2581_o }),
.b({\u_logic/_al_u3617_o ,\u_logic/_al_u2587_o }),
.c({\u_logic/_al_u3618_o ,\u_logic/Bngax6 }),
.d({\u_logic/_al_u3619_o ,\u_logic/Tkdax6 }),
.f({\u_logic/_al_u3620_o ,\u_logic/_al_u3618_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19804)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3623|u_logic/Xv8bx6_reg (
.c({\u_logic/Yxdax6 ,\u_logic/Xx6bx6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/_al_u2456_o ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3623_o ,\u_logic/Q44iu6 }),
.q({open_n154891,\u_logic/Xv8bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19804)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(~D*~C)*(0@B))"),
//.LUT1("(~A*~(~D*~C)*(1@B))"),
.INIT_LUT0(16'b0100010001000000),
.INIT_LUT1(16'b0001000100010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3624 (
.a({\u_logic/_al_u3623_o ,\u_logic/_al_u3623_o }),
.b({\u_logic/_al_u2526_o ,\u_logic/_al_u2526_o }),
.c({\u_logic/_al_u2615_o ,\u_logic/_al_u2615_o }),
.d({\u_logic/F7eax6 ,\u_logic/F7eax6 }),
.mi({open_n154904,\u_logic/J39bx6 }),
.fx({open_n154909,\u_logic/_al_u3624_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3627|u_logic/_al_u3558 (
.a({\u_logic/_al_u3622_o ,open_n154912}),
.b({\u_logic/_al_u3624_o ,\u_logic/_al_u2530_o }),
.c({\u_logic/_al_u3625_o ,\u_logic/Bccax6 }),
.d({\u_logic/_al_u3626_o ,\u_logic/_al_u3557_o }),
.f({\u_logic/_al_u3627_o ,\u_logic/_al_u3558_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3630 (
.a({\u_logic/_al_u2354_o ,\u_logic/_al_u2354_o }),
.b({\u_logic/_al_u2356_o ,\u_logic/_al_u2356_o }),
.c({\u_logic/L18iu6 ,\u_logic/L18iu6 }),
.d({\u_logic/Gr2qw6 ,\u_logic/Gr2qw6 }),
.mi({open_n154945,\u_logic/H4bax6 }),
.fx({open_n154950,\u_logic/_al_u3630_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(B*A*~(D*C)))"),
//.LUT1("(1*~(B*A*~(D*C)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111011101110111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3638 (
.a({\u_logic/Xiaju6 ,\u_logic/Xiaju6 }),
.b({\u_logic/Ejaju6_lutinv ,\u_logic/Ejaju6_lutinv }),
.c({\u_logic/N3ziu6 ,\u_logic/N3ziu6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Ae0iu6_lutinv }),
.mi({open_n154965,\u_logic/Vgjpw6 }),
.fx({open_n154970,\u_logic/Mt4ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+~(B)*~(C)*~(D)*0+B*~(C)*~(D)*0+~(B)*C*~(D)*0+~(B)*~(C)*D*0+B*~(C)*D*0))"),
//.LUT1("(~A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+~(B)*~(C)*~(D)*1+B*~(C)*~(D)*1+~(B)*C*~(D)*1+~(B)*~(C)*D*1+B*~(C)*D*1))"),
.INIT_LUT0(16'b0000000000010101),
.INIT_LUT1(16'b0000010100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3639 (
.a({\u_logic/Mt4ju6 ,\u_logic/Mt4ju6 }),
.b({\u_logic/_al_u688_o ,\u_logic/_al_u688_o }),
.c({\u_logic/_al_u1091_o ,\u_logic/_al_u1091_o }),
.d({\u_logic/_al_u1153_o ,\u_logic/_al_u1153_o }),
.mi({open_n154985,\u_logic/Yvjpw6 }),
.fx({open_n154990,\u_logic/Wc5ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~C*B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(1*~(~D*~C*B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1111111111111011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3640|u_logic/_al_u978 (
.a({open_n154993,\u_logic/_al_u972_o }),
.b({\u_logic/Nkaju6_lutinv ,\u_logic/Lu0iu6 }),
.c({\u_logic/Vgjpw6 ,\u_logic/_al_u976_o }),
.d({\u_logic/Qyniu6_lutinv ,\u_logic/_al_u977_o }),
.e({open_n154996,\u_logic/Vgjpw6 }),
.f({\u_logic/Ys4ju6 ,\u_logic/Dqfhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~B*~(~D*A))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~B*~(~D*A))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000001100000001),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000001100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3643|u_logic/_al_u671 (
.a({\u_logic/_al_u670_o ,open_n155017}),
.b({\u_logic/_al_u1445_o ,open_n155018}),
.c({\u_logic/_al_u1097_o ,\u_logic/Xxupw6 }),
.d({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u3643_o ,\u_logic/Btoiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~B*~(~A*~(C)*~(D)+~A*C*~(D)+~(~A)*C*D+~A*C*D)))"),
//.LUT1("(1*~(~B*~(~A*~(C)*~(D)+~A*C*~(D)+~(~A)*C*D+~A*C*D)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111110011011101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3644 (
.a({\u_logic/_al_u3643_o ,\u_logic/_al_u3643_o }),
.b({\u_logic/Pt2ju6 ,\u_logic/Pt2ju6 }),
.c({\u_logic/Difiu6 ,\u_logic/Difiu6 }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.mi({open_n155055,\u_logic/Vgjpw6 }),
.fx({open_n155060,\u_logic/By4ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20162)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*~(0*~(B*~A))))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~(C*~(1*~(B*~A))))"),
//.LUTG1("(~D*~B*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000010111111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3646|u_logic/X5ibx6_reg (
.a({\u_logic/By4ju6 ,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u4688_o }),
.c({\u_logic/Nxkbx6 [8],\u_logic/_al_u4789_o }),
.clk(clk_pad),
.d({\u_logic/mult0_0_0_7 ,\u_logic/_al_u4790_o }),
.e({open_n155064,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3646_o ,open_n155079}),
.q({open_n155083,\u_logic/vis_apsr_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(20162)
EG_PHY_MSLICE #(
//.LUT0("~(B*~((~D*A))*~(C)+B*(~D*A)*~(C)+~(B)*(~D*A)*C+B*(~D*A)*C)"),
//.LUT1("(D*B*~(~C*~A))"),
.INIT_LUT0(16'b1111001101010011),
.INIT_LUT1(16'b1100100000000000),
.MODE("LOGIC"))
\u_logic/_al_u3647|u_logic/_al_u971 (
.a({\u_logic/Owoiu6 ,\u_logic/T23ju6_lutinv }),
.b({\u_logic/Yljiu6 ,\u_logic/Hirpw6 }),
.c({\u_logic/Hirpw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Vgjpw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/_al_u3647_o ,\u_logic/_al_u971_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3648|u_logic/_al_u3674 (
.b({\u_logic/_al_u3646_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u3647_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1194_o ,\u_logic/E2epw6 }),
.f({\u_logic/_al_u3648_o ,\u_logic/Fk6ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3649 (
.a({\u_logic/Dq6ju6_lutinv ,\u_logic/Dq6ju6_lutinv }),
.b({\u_logic/S2epw6 ,\u_logic/S2epw6 }),
.c({\u_logic/_al_u3648_o ,\u_logic/_al_u3648_o }),
.d({\u_logic/_al_u935_o ,\u_logic/_al_u935_o }),
.mi({open_n155142,\u_logic/Ys4ju6 }),
.fx({open_n155147,\u_logic/_al_u3649_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*(C@B))"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000111100),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3654|u_logic/_al_u3807 (
.a({\u_logic/By4ju6 ,open_n155150}),
.b({\u_logic/_al_u3645_o ,\u_logic/Y1qow6 }),
.c({\u_logic/Nxkbx6 [24],\u_logic/Hirpw6 }),
.d({\u_logic/n159 [5],\u_logic/_al_u3786_o }),
.f({\u_logic/_al_u3654_o ,\u_logic/_al_u3807_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3655|u_logic/_al_u3730 (
.b({\u_logic/_al_u3654_o ,\u_logic/By4ju6 }),
.c({\u_logic/_al_u3647_o ,\u_logic/Nxkbx6 [29]}),
.d({\u_logic/_al_u1343_o ,\u_logic/_al_u3729_o }),
.f({\u_logic/_al_u3655_o ,\u_logic/Kgoiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3656 (
.a({\u_logic/Vf5ju6_lutinv ,\u_logic/Vf5ju6_lutinv }),
.b({\u_logic/Qbfpw6 [23],\u_logic/Qbfpw6 [23]}),
.c({\u_logic/_al_u3655_o ,\u_logic/_al_u3655_o }),
.d({\u_logic/_al_u822_o ,\u_logic/_al_u822_o }),
.mi({open_n155205,\u_logic/Ys4ju6 }),
.fx({open_n155210,\u_logic/Evkiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011110110011),
.INIT_LUT1(16'b1101010110010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3657 (
.a({\u_logic/Q1epw6 ,\u_logic/Q1epw6 }),
.b({\u_logic/_al_u752_o ,\u_logic/_al_u752_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.mi({open_n155225,\u_logic/Ys4ju6 }),
.fx({open_n155230,\u_logic/_al_u3657_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011111010101),
.INIT_LUT1(16'b1011001110010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3660 (
.a({\u_logic/_al_u787_o ,\u_logic/_al_u787_o }),
.b({\u_logic/N3epw6 ,\u_logic/N3epw6 }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.mi({open_n155245,\u_logic/Ys4ju6 }),
.fx({open_n155250,\u_logic/_al_u3660_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3662|u_logic/_al_u3650 (
.a({\u_logic/_al_u3660_o ,\u_logic/J1epw6 }),
.b({\u_logic/_al_u1306_o ,\u_logic/_al_u745_o }),
.c({\u_logic/_al_u3661_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u3647_o ,\u_logic/Cg5ju6_lutinv }),
.e({open_n155255,\u_logic/Ys4ju6 }),
.f({\u_logic/X7miu6 ,\u_logic/_al_u3650_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3665|u_logic/_al_u3765 (
.a({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u3645_o }),
.c(\u_logic/Nxkbx6 [22:21]),
.d(\u_logic/n159 [3:2]),
.f({\u_logic/_al_u3665_o ,\u_logic/_al_u3765_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(C*~A*~(D*~B))"),
//.LUTG0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(C*~A*~(D*~B))"),
.INIT_LUTF0(16'b0000100001001100),
.INIT_LUTF1(16'b0100000001010000),
.INIT_LUTG0(16'b0000100001001100),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3666|u_logic/_al_u3664 (
.a({\u_logic/_al_u3664_o ,\u_logic/I4epw6 }),
.b({\u_logic/_al_u1328_o ,\u_logic/_al_u808_o }),
.c({\u_logic/_al_u3665_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u3647_o ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/_al_u3666_o ,\u_logic/_al_u3664_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17902)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(A*~(D*~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b1010001010101010),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3667|u_logic/Zczpw6_reg (
.a({\u_logic/_al_u3666_o ,open_n155324}),
.b({\u_logic/I4epw6 ,\u_logic/_al_u4681_o }),
.c({\u_logic/_al_u808_o ,\u_logic/_al_u3743_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Ys4ju6 ,\u_logic/_al_u4662_o }),
.f({\u_logic/Azliu6 ,\u_logic/X1liu6 }),
.q({open_n155341,\u_logic/vis_psp_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17902)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b1111011111110011),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b1101010110010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3668|u_logic/_al_u3679 (
.a({\u_logic/Qbfpw6 [3],\u_logic/Qbfpw6 [5]}),
.b({\u_logic/_al_u914_o ,\u_logic/_al_u921_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.e({\u_logic/Ys4ju6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/Zv5ju6_lutinv ,\u_logic/K56ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(~C*A)))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*~(~B*~(~C*A)))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1100111000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100111000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3669|u_logic/_al_u1578 (
.a({\u_logic/_al_u156_o ,\u_logic/_al_u194_o }),
.b({\u_logic/D31ju6 ,\u_logic/Hirpw6 }),
.c({\u_logic/Vgjpw6 ,\u_logic/T1vpw6 }),
.d({\u_logic/Vzupw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/T05ju6 ,\u_logic/_al_u1578_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3676|u_logic/_al_u3661 (
.a({open_n155388,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3675_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/Nxkbx6 [19]}),
.d({\u_logic/_al_u1187_o ,\u_logic/n159 [0]}),
.f({\u_logic/_al_u3676_o ,\u_logic/_al_u3661_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0011000011111100),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3678|u_logic/_al_u906 (
.a({\u_logic/_al_u3663_o ,open_n155413}),
.b({\u_logic/Azliu6 ,\u_logic/_al_u672_o }),
.c({\u_logic/Dkkiu6 ,\u_logic/Xrxax6 }),
.d({\u_logic/_al_u3677_o ,\u_logic/_al_u905_o }),
.f({\u_logic/_al_u3678_o ,\u_logic/Gh0iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3680|u_logic/_al_u3686 (
.a({\u_logic/Pk4ju6 ,\u_logic/Wa7ju6_lutinv }),
.b({\u_logic/_al_u3645_o ,\u_logic/By4ju6 }),
.c({\u_logic/vis_ipsr_o[5] ,\u_logic/Pk4ju6 }),
.d({\u_logic/mult0_0_0_5 ,\u_logic/vis_ipsr_o[1] }),
.e({open_n155436,\u_logic/Nxkbx6 [2]}),
.f({\u_logic/I46ju6 ,\u_logic/_al_u3686_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D*~B))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b1000000010100000),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3682|u_logic/_al_u3673 (
.a({open_n155457,\u_logic/Zv5ju6_lutinv }),
.b({\u_logic/By4ju6 ,\u_logic/_al_u1168_o }),
.c({\u_logic/Nxkbx6 [6],\u_logic/_al_u3672_o }),
.d({\u_logic/_al_u3681_o ,\u_logic/_al_u3647_o }),
.f({\u_logic/Lokiu6 ,\u_logic/Dkkiu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b1111011110110011),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b1101010110010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3683|u_logic/_al_u3781 (
.a(\u_logic/Qbfpw6 [1:0]),
.b({\u_logic/_al_u697_o ,\u_logic/Go0iu6_lutinv }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.e({\u_logic/Ys4ju6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/Tc7ju6_lutinv ,\u_logic/H15ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3684|u_logic/_al_u3670 (
.b({open_n155502,\u_logic/Sqkax6 }),
.c({\u_logic/Ubypw6 ,\u_logic/Ubypw6 }),
.d({\u_logic/T05ju6 ,\u_logic/T05ju6 }),
.f({\u_logic/_al_u3684_o ,\u_logic/Pk4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*D))"),
//.LUTF1("(~D*~(C*B*A))"),
//.LUTG0("(~C*~(B*D))"),
//.LUTG1("(~D*~(C*B*A))"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0000000001111111),
.INIT_LUTG0(16'b0000001100001111),
.INIT_LUTG1(16'b0000000001111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3685|u_logic/_al_u3754 (
.a({\u_logic/_al_u3684_o ,open_n155527}),
.b({\u_logic/vis_control_o ,\u_logic/vis_control_o }),
.c({\u_logic/Rskax6 ,\u_logic/mult0_0_0_2 }),
.d({\u_logic/mult0_0_0_1 ,\u_logic/_al_u3645_o }),
.f({\u_logic/Wa7ju6_lutinv ,\u_logic/_al_u3754_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*A*~(D*~B))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*A*~(D*~B))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1000000010100000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1000000010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3687|u_logic/_al_u364 (
.a({\u_logic/Tc7ju6_lutinv ,open_n155552}),
.b({\u_logic/_al_u1200_o ,open_n155553}),
.c({\u_logic/_al_u3686_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3647_o ,\u_logic/B40iu6 }),
.f({\u_logic/Bpliu6 ,\u_logic/Mifpw6 [8]}));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(0*C)*~(D*~A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(B*~(1*C)*~(D*~A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b1000100011001100),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000100000001100),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3689|u_logic/_al_u3746 (
.a({\u_logic/By4ju6 ,\u_logic/_al_u1392_o }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u3745_o }),
.c({\u_logic/Nxkbx6 [27],\u_logic/By4ju6 }),
.d({\u_logic/n159 [8],\u_logic/_al_u3647_o }),
.e({open_n155580,\u_logic/Nxkbx6 [31]}),
.f({\u_logic/_al_u3689_o ,\u_logic/_al_u3746_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0001010100010000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0011111100111010),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3690|u_logic/_al_u3816 (
.a({open_n155601,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3689_o ,\u_logic/_al_u3815_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/_al_u1370_o ,\u_logic/D50iu6 }),
.e({open_n155604,\u_logic/F60iu6 }),
.f({\u_logic/_al_u3690_o ,\u_logic/_al_u3816_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3695|u_logic/_al_u3773 (
.a({\u_logic/Lokiu6 ,open_n155625}),
.b({\u_logic/Bpliu6 ,\u_logic/_al_u3772_o }),
.c({\u_logic/_al_u3691_o ,\u_logic/_al_u3647_o }),
.d({\u_logic/_al_u3694_o ,\u_logic/_al_u1289_o }),
.f({\u_logic/_al_u3695_o ,\u_logic/_al_u3773_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3697|u_logic/_al_u370 (
.a({\u_logic/By4ju6 ,open_n155650}),
.b({\u_logic/_al_u3645_o ,open_n155651}),
.c({\u_logic/Nxkbx6 [17],\u_logic/Xuzhu6 }),
.d({\u_logic/mult0_0_0_16 ,\u_logic/I40iu6 }),
.f({\u_logic/_al_u3697_o ,\u_logic/Mifpw6 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3698|u_logic/_al_u3702 (
.b({\u_logic/_al_u3697_o ,\u_logic/_al_u3701_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/_al_u3647_o }),
.d({\u_logic/_al_u1414_o ,\u_logic/_al_u1297_o }),
.f({\u_logic/_al_u3698_o ,\u_logic/_al_u3702_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b1010000011110000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b1010000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3703|u_logic/_al_u3724 (
.a({\u_logic/Oi9ju6_lutinv ,\u_logic/Z5aju6_lutinv }),
.b({\u_logic/G3epw6 ,\u_logic/P4epw6 }),
.c({\u_logic/_al_u3702_o ,\u_logic/_al_u3723_o }),
.d({\u_logic/_al_u780_o ,\u_logic/_al_u815_o }),
.e({\u_logic/Ys4ju6 ,\u_logic/Ys4ju6 }),
.f({\u_logic/Wamiu6 ,\u_logic/Uvliu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17631)
EG_PHY_LSLICE #(
//.LUTF0("~(D*B*~(C*~A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(D*B*~(C*~A))"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001111111111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0111001111111111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3705|u_logic/Zvrpw6_reg (
.a({open_n155716,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u3704_o ,\u_logic/_al_u4627_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/n3708 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/Ka8ju6 ,\u_logic/_al_u3649_o }),
.f({\u_logic/_al_u3705_o ,\u_logic/Drkiu6 }),
.q({open_n155737,\u_logic/vis_r8_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17631)
// ../rtl/topmodule/cortexm0ds_logic.v(19802)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(B*~(D*~C*A))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(B*~(D*~C*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1100010011001100),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1100010011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3706|u_logic/Zr8bx6_reg (
.a({\u_logic/Qbfpw6 [10],open_n155738}),
.b({\u_logic/_al_u3705_o ,\u_logic/_al_u4578_o }),
.c({\u_logic/_al_u942_o ,\u_logic/_al_u3712_o }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/Ys4ju6 ,\u_logic/_al_u4556_o }),
.f({\u_logic/_al_u3706_o ,\u_logic/Vrmiu6 }),
.q({open_n155759,\u_logic/vis_msp_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(19802)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000100001001100),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u3708|u_logic/_al_u3707 (
.a({\u_logic/Vdmiu6 ,\u_logic/Qbfpw6 [10]}),
.b({\u_logic/Wamiu6 ,\u_logic/_al_u942_o }),
.c({\u_logic/_al_u3706_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/I98ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/_al_u3708_o ,\u_logic/I98ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*~(0)*~(A)+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*0*~(A)+~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))*0*A+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*0*A)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*~(1)*~(A)+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*1*~(A)+~(~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))*1*A+~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)*1*A)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b1111111010111010),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3710|u_logic/_al_u3914 (
.a({\u_logic/By4ju6 ,\u_logic/Myfow6 }),
.b({\u_logic/_al_u3645_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Nxkbx6 [12],\u_logic/_al_u3903_o }),
.d({\u_logic/mult0_0_0_11 ,\u_logic/_al_u3840_o }),
.e({open_n155782,\u_logic/_al_u3892_o }),
.f({\u_logic/_al_u3710_o ,\u_logic/_al_u3914_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0000100001001100),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3711|u_logic/_al_u3759 (
.a({open_n155803,\u_logic/Q5phu6 }),
.b({\u_logic/_al_u3710_o ,\u_logic/_al_u885_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u1258_o ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/_al_u3711_o ,\u_logic/Z08ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3712 (
.a({\u_logic/Ro8ju6_lutinv ,\u_logic/Ro8ju6_lutinv }),
.b({\u_logic/C1epw6 ,\u_logic/C1epw6 }),
.c({\u_logic/_al_u3711_o ,\u_logic/_al_u3711_o }),
.d({\u_logic/_al_u949_o ,\u_logic/_al_u949_o }),
.mi({open_n155836,\u_logic/Ys4ju6 }),
.fx({open_n155841,\u_logic/_al_u3712_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
.INIT_LUTF0(16'b0001010100010000),
.INIT_LUTF1(16'b0000100001001100),
.INIT_LUTG0(16'b0011111100111010),
.INIT_LUTG1(16'b0000100001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3713|u_logic/_al_u3918 (
.a({\u_logic/Qbfpw6 [25],\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u836_o ,\u_logic/_al_u3917_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u3786_o }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Dc0iu6 }),
.e({open_n155846,\u_logic/Y50iu6 }),
.f({\u_logic/Yh8ju6_lutinv ,\u_logic/Nweow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3714|u_logic/_al_u280 (
.a({\u_logic/By4ju6 ,open_n155867}),
.b({\u_logic/_al_u3645_o ,open_n155868}),
.c({\u_logic/Nxkbx6 [26],\u_logic/Xuzhu6 }),
.d({\u_logic/n159 [7],\u_logic/V70iu6 }),
.f({\u_logic/_al_u3714_o ,\u_logic/Mifpw6 [24]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3715|u_logic/_al_u3736 (
.a({open_n155893,\u_logic/Qbfpw6 [29]}),
.b({\u_logic/_al_u3714_o ,\u_logic/_al_u864_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u1359_o ,\u_logic/Cg5ju6_lutinv }),
.e({open_n155896,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3715_o ,\u_logic/L87ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17217)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3717|u_logic/Uqipw6_reg (
.b({\u_logic/Wc5ju6_lutinv ,\u_logic/_al_u4688_o }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/Kgoiu6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/W4epw6 ,\u_logic/_al_u4662_o }),
.f({\u_logic/Js7ju6_lutinv ,\u_logic/Gfniu6 }),
.q({open_n155939,\u_logic/vis_r11_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17217)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3719|u_logic/_al_u3735 (
.a({open_n155940,\u_logic/_al_u3678_o }),
.b({\u_logic/_al_u3718_o ,\u_logic/_al_u3695_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/_al_u3725_o }),
.d({\u_logic/Cz7ju6 ,\u_logic/Kgoiu6 }),
.e({open_n155943,\u_logic/Kkkiu6 }),
.f({\u_logic/_al_u3719_o ,\u_logic/_al_u3735_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3720 (
.a({\u_logic/Js7ju6_lutinv ,\u_logic/Js7ju6_lutinv }),
.b({\u_logic/W4epw6 ,\u_logic/W4epw6 }),
.c({\u_logic/_al_u3719_o ,\u_logic/_al_u3719_o }),
.d({\u_logic/_al_u928_o ,\u_logic/_al_u928_o }),
.mi({open_n155976,\u_logic/Ys4ju6 }),
.fx({open_n155981,\u_logic/_al_u3720_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17906)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3723|u_logic/Ykzpw6_reg (
.b({\u_logic/_al_u3722_o ,\u_logic/_al_u4685_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/K5liu6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u1337_o ,\u_logic/_al_u4662_o }),
.f({\u_logic/_al_u3723_o ,\u_logic/K39iu6 }),
.q({open_n156006,\u_logic/vis_msp_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(17906)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3725 (
.a({\u_logic/_al_u3708_o ,\u_logic/_al_u3708_o }),
.b({\u_logic/_al_u3712_o ,\u_logic/_al_u3712_o }),
.c({\u_logic/_al_u3716_o ,\u_logic/_al_u3716_o }),
.d({\u_logic/_al_u3720_o ,\u_logic/_al_u3720_o }),
.mi({open_n156019,\u_logic/Uvliu6 }),
.fx({open_n156024,\u_logic/_al_u3725_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011110110011),
.INIT_LUT1(16'b1101010110010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3726 (
.a({\u_logic/Qbfpw6 [28],\u_logic/Qbfpw6 [28]}),
.b({\u_logic/_al_u857_o ,\u_logic/_al_u857_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.mi({open_n156039,\u_logic/Ys4ju6 }),
.fx({open_n156044,\u_logic/Lm7ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3728|u_logic/_al_u1630 (
.a({\u_logic/I55ju6 ,open_n156047}),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u1451_o }),
.c({\u_logic/vis_apsr_o[0] ,\u_logic/R9mpw6 }),
.d({\u_logic/n159 [10],\u_logic/_al_u1449_o }),
.f({\u_logic/Ok7ju6 ,\u_logic/_al_u1630_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*A*~(D*C))"),
//.LUT1("(C*A*~(D*~B))"),
.INIT_LUT0(16'b0000100010001000),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"))
\u_logic/_al_u3729|u_logic/_al_u3751 (
.a({\u_logic/Lm7ju6_lutinv ,\u_logic/W55ju6_lutinv }),
.b({\u_logic/_al_u1384_o ,\u_logic/_al_u3750_o }),
.c({\u_logic/Ok7ju6 ,\u_logic/By4ju6 }),
.d({\u_logic/_al_u3647_o ,\u_logic/Nxkbx6 [32]}),
.f({\u_logic/_al_u3729_o ,\u_logic/Bbliu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011110110011),
.INIT_LUT1(16'b1101010110010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3731 (
.a({\u_logic/Qbfpw6 [4],\u_logic/Qbfpw6 [4]}),
.b({\u_logic/_al_u899_o ,\u_logic/_al_u899_o }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.mi({open_n156104,\u_logic/Ys4ju6 }),
.fx({open_n156109,\u_logic/Kl4ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3733|u_logic/_al_u220 (
.b({\u_logic/Uj4ju6 ,open_n156114}),
.c({\u_logic/_al_u3647_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u1174_o ,\u_logic/Na0iu6 }),
.f({\u_logic/_al_u3733_o ,\u_logic/Mifpw6 [15]}));
EG_PHY_MSLICE #(
//.LUT0("~(C@(D*~(B*~A)))"),
//.LUT1("(C*A*~(D*~B))"),
.INIT_LUT0(16'b1011010000001111),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"))
\u_logic/_al_u3738|u_logic/_al_u1654 (
.a({\u_logic/L87ju6_lutinv ,\u_logic/_al_u1343_o }),
.b({\u_logic/_al_u1406_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/J77ju6 ,\u_logic/_al_u1447_o }),
.d({\u_logic/_al_u3647_o ,\u_logic/_al_u1653_o }),
.f({\u_logic/_al_u3738_o ,\u_logic/Qbfpw6 [23]}));
EG_PHY_LSLICE #(
//.LUTF0("~(C@(D*~(B*~A)))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(C@(D*~(B*~A)))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b1011010000001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1011010000001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3739|u_logic/_al_u1652 (
.a({open_n156159,\u_logic/_al_u1337_o }),
.b({\u_logic/By4ju6 ,\u_logic/_al_u1442_o }),
.c({\u_logic/Nxkbx6 [30],\u_logic/_al_u1447_o }),
.d({\u_logic/_al_u3738_o ,\u_logic/_al_u1651_o }),
.f({\u_logic/_al_u3739_o ,\u_logic/P4epw6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3742|u_logic/_al_u3740 (
.b({\u_logic/_al_u3741_o ,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u3647_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u1376_o ,\u_logic/Qbfpw6 [27]}),
.f({\u_logic/_al_u3742_o ,\u_logic/Y47ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~D*~B*~(C*A))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"))
\u_logic/_al_u3745|u_logic/_al_u3737 (
.a({\u_logic/I55ju6 ,\u_logic/I55ju6 }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/vis_apsr_o[2] ,\u_logic/vis_apsr_o[1] }),
.d(\u_logic/n159 [12:11]),
.f({\u_logic/_al_u3745_o ,\u_logic/J77ju6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3747 (
.a({\u_logic/_al_u3744_o ,\u_logic/_al_u3744_o }),
.b({\u_logic/Qbfpw6 [30],\u_logic/Qbfpw6 [30]}),
.c({\u_logic/_al_u3746_o ,\u_logic/_al_u3746_o }),
.d({\u_logic/_al_u871_o ,\u_logic/_al_u871_o }),
.mi({open_n156242,\u_logic/Ys4ju6 }),
.fx({open_n156247,\u_logic/K5liu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111011110110011),
.INIT_LUT1(16'b1101010110010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3748 (
.a({\u_logic/D5epw6 ,\u_logic/D5epw6 }),
.b({\u_logic/To2ju6_lutinv ,\u_logic/To2ju6_lutinv }),
.c({\u_logic/Wc5ju6_lutinv ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/Cg5ju6_lutinv ,\u_logic/Cg5ju6_lutinv }),
.mi({open_n156262,\u_logic/Ys4ju6 }),
.fx({open_n156267,\u_logic/W55ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3749|u_logic/_al_u3792 (
.a({\u_logic/I55ju6 ,\u_logic/Nwzhu6 }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u410_o }),
.c({\u_logic/vis_apsr_o[3] ,\u_logic/_al_u1095_o }),
.d({\u_logic/n159 [13],\u_logic/Ubypw6 }),
.f({\u_logic/N45ju6 ,\u_logic/_al_u3792_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*D*C*B*A)"),
//.LUT1("(~1*D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3752 (
.a({\u_logic/_al_u3739_o ,\u_logic/_al_u3739_o }),
.b({\u_logic/_al_u3743_o ,\u_logic/_al_u3743_o }),
.c({\u_logic/K5liu6 ,\u_logic/K5liu6 }),
.d({\u_logic/Bbliu6 ,\u_logic/Bbliu6 }),
.mi({open_n156306,\u_logic/Fpnpw6 }),
.fx({open_n156311,\u_logic/_al_u3752_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*~(D*A))"),
//.LUTF1("(D)"),
//.LUTG0("(~C*B*~(D*A))"),
.INIT_LUTF0(16'b0000010000001100),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0000010000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.MODE("LOGIC"))
\u_logic/_al_u3756 (
.a({open_n156314,\u_logic/Pk4ju6 }),
.b({open_n156315,\u_logic/_al_u3754_o }),
.c({open_n156316,\u_logic/Rk5ju6 }),
.d({open_n156319,\u_logic/vis_ipsr_o[2] }),
.f({open_n156337,\u_logic/_al_u3756_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~D*~C*~B*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~1*~(~D*~C*~B*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b1111111111111101),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3757|u_logic/_al_u3924 (
.a({open_n156343,\u_logic/_al_u3915_o }),
.b({\u_logic/By4ju6 ,\u_logic/_al_u3919_o }),
.c({\u_logic/Nxkbx6 [3],\u_logic/_al_u3921_o }),
.d({\u_logic/_al_u3756_o ,\u_logic/_al_u3923_o }),
.e({open_n156346,\u_logic/_al_u3799_o }),
.f({\u_logic/_al_u3757_o ,\u_logic/_al_u3924_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(C*A*~(D*~B))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"))
\u_logic/_al_u3758|u_logic/_al_u3718 (
.a({\u_logic/Am5ju6_lutinv ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u1161_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u3757_o ,\u_logic/Nxkbx6 [9]}),
.d({\u_logic/_al_u3647_o ,\u_logic/mult0_0_0_8 }),
.f({\u_logic/Cgkiu6 ,\u_logic/_al_u3718_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17235)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(~D*~B*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b0000000000010011),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3760|u_logic/Bfjpw6_reg (
.a({\u_logic/By4ju6 ,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u4685_o }),
.c({\u_logic/Nxkbx6 [10],\u_logic/_al_u4712_o }),
.ce(\u_logic/Y5liu6 ),
.clk(clk_pad),
.d({\u_logic/mult0_0_0_9 ,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3760_o ,open_n156399}),
.q({open_n156403,\u_logic/vis_apsr_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(17235)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*B))"),
//.LUTF1("(C*~A*~(D*~B))"),
//.LUTG0("(A*~(D*~C*B))"),
//.LUTG1("(C*~A*~(D*~B))"),
.INIT_LUTF0(16'b1010001010101010),
.INIT_LUTF1(16'b0100000001010000),
.INIT_LUTG0(16'b1010001010101010),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3761|u_logic/_al_u3762 (
.a({\u_logic/Z08ju6_lutinv ,\u_logic/_al_u3761_o }),
.b({\u_logic/I28ju6 ,\u_logic/Q5phu6 }),
.c({\u_logic/_al_u3760_o ,\u_logic/_al_u885_o }),
.d({\u_logic/_al_u3647_o ,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3761_o ,\u_logic/_al_u3762_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D*~B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000010100000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3763|u_logic/_al_u3681 (
.a({open_n156428,\u_logic/K56ju6_lutinv }),
.b({\u_logic/Cgkiu6 ,\u_logic/_al_u1180_o }),
.c({\u_logic/_al_u3762_o ,\u_logic/I46ju6 }),
.d({\u_logic/_al_u3752_o ,\u_logic/_al_u3647_o }),
.f({\u_logic/_al_u3763_o ,\u_logic/_al_u3681_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(C*~A*~(D*~B))"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(C*~A*~(D*~B))"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0100000001010000),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0100000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3766|u_logic/_al_u3694 (
.a({\u_logic/_al_u3764_o ,\u_logic/Rx6ju6_lutinv }),
.b({\u_logic/_al_u1321_o ,\u_logic/_al_u1351_o }),
.c({\u_logic/_al_u3765_o ,\u_logic/Ww6ju6 }),
.d({\u_logic/_al_u3647_o ,\u_logic/_al_u3647_o }),
.f({\u_logic/_al_u3766_o ,\u_logic/_al_u3694_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3768|u_logic/_al_u3780 (
.a({open_n156473,\u_logic/Er9ju6_lutinv }),
.b({\u_logic/Wc5ju6_lutinv ,\u_logic/U3epw6 }),
.c({\u_logic/Cg5ju6_lutinv ,\u_logic/_al_u3779_o }),
.d({\u_logic/X1epw6 ,\u_logic/_al_u794_o }),
.e({open_n156476,\u_logic/Ys4ju6 }),
.f({\u_logic/C59ju6_lutinv ,\u_logic/Y4miu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~D*~B*~(C*A))"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~D*~B*~(C*A))"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b0000000000010011),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b0000000000010011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3769|u_logic/_al_u3753 (
.a({\u_logic/By4ju6 ,\u_logic/Qbfpw6 [2]}),
.b({\u_logic/_al_u3645_o ,\u_logic/Gh0iu6_lutinv }),
.c({\u_logic/Nxkbx6 [15],\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/mult0_0_0_14 ,\u_logic/Cg5ju6_lutinv }),
.e({open_n156499,\u_logic/Ys4ju6 }),
.f({\u_logic/_al_u3769_o ,\u_logic/Am5ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(C@(D*~(B*~A)))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(C@(D*~(B*~A)))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1011010000001111),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1011010000001111),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3770|u_logic/_al_u1633 (
.a({open_n156520,\u_logic/_al_u1274_o }),
.b({\u_logic/_al_u3769_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/_al_u1447_o }),
.d({\u_logic/_al_u1281_o ,\u_logic/_al_u1632_o }),
.f({\u_logic/_al_u3770_o ,\u_logic/Q1epw6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUT1("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
.INIT_LUT0(16'b1010000011110000),
.INIT_LUT1(16'b1010000000110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3771 (
.a({\u_logic/C59ju6_lutinv ,\u_logic/C59ju6_lutinv }),
.b({\u_logic/X1epw6 ,\u_logic/X1epw6 }),
.c({\u_logic/_al_u3770_o ,\u_logic/_al_u3770_o }),
.d({\u_logic/_al_u759_o ,\u_logic/_al_u759_o }),
.mi({open_n156557,\u_logic/Ys4ju6 }),
.fx({open_n156562,\u_logic/_al_u3771_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17677)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(~D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3776|u_logic/Zbtpw6_reg (
.a({\u_logic/Z1miu6 ,open_n156565}),
.b({\u_logic/_al_u3771_o ,\u_logic/_al_u4675_o }),
.c({\u_logic/_al_u3774_o ,\u_logic/_al_u3716_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3775_o ,\u_logic/_al_u4662_o }),
.f({\u_logic/_al_u3776_o ,\u_logic/Hsliu6 }),
.q({open_n156582,\u_logic/vis_psp_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(17677)
EG_PHY_MSLICE #(
//.LUT0("~(C@(D*~(B*~A)))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b1011010000001111),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u3779|u_logic/_al_u1631 (
.a({open_n156583,\u_logic/_al_u1266_o }),
.b({\u_logic/_al_u3778_o ,\u_logic/_al_u1442_o }),
.c({\u_logic/_al_u3647_o ,\u_logic/_al_u1447_o }),
.d({\u_logic/_al_u1314_o ,\u_logic/_al_u1630_o }),
.f({\u_logic/_al_u3779_o ,\u_logic/J1epw6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~B*~(D*~C*A))"),
//.LUT1("(~1*~B*~(D*~C*A))"),
.INIT_LUT0(16'b0011000100110011),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3782 (
.a({\u_logic/_al_u3684_o ,\u_logic/_al_u3684_o }),
.b({\u_logic/_al_u3645_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/Rskax6 ,\u_logic/Rskax6 }),
.d({\u_logic/vis_primask_o ,\u_logic/vis_primask_o }),
.mi({open_n156616,\u_logic/mult0_0_0_0 }),
.fx({open_n156621,\u_logic/_al_u3782_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3783 (
.a({\u_logic/_al_u3782_o ,\u_logic/_al_u3782_o }),
.b({\u_logic/By4ju6 ,\u_logic/By4ju6 }),
.c({\u_logic/Pk4ju6 ,\u_logic/Pk4ju6 }),
.d({\u_logic/vis_ipsr_o[0] ,\u_logic/vis_ipsr_o[0] }),
.mi({open_n156636,\u_logic/Nxkbx6 [1]}),
.fx({open_n156641,\u_logic/_al_u3783_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUT1("(C*A*~(D*~B))"),
.INIT_LUT0(16'b0000000101000101),
.INIT_LUT1(16'b1000000010100000),
.MODE("LOGIC"))
\u_logic/_al_u3784|u_logic/_al_u3910 (
.a({\u_logic/H15ju6_lutinv ,\u_logic/_al_u3907_o }),
.b({\u_logic/_al_u1135_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/_al_u3783_o ,\u_logic/_al_u3909_o }),
.d({\u_logic/_al_u3647_o ,\u_logic/_al_u3818_o }),
.f({\u_logic/Ibliu6 ,\u_logic/_al_u3910_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3785 (
.a({\u_logic/_al_u3735_o ,\u_logic/_al_u3735_o }),
.b({\u_logic/_al_u3763_o ,\u_logic/_al_u3763_o }),
.c({\u_logic/_al_u3776_o ,\u_logic/_al_u3776_o }),
.d({\u_logic/Y4miu6 ,\u_logic/Y4miu6 }),
.mi({open_n156676,\u_logic/Ibliu6 }),
.fx({open_n156681,\u_logic/_al_u3785_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3789|u_logic/_al_u3787 (
.a({open_n156684,\u_logic/O00iu6 }),
.b({\u_logic/Y1qow6 ,\u_logic/_al_u410_o }),
.c({\u_logic/_al_u3788_o ,\u_logic/_al_u1095_o }),
.d({\u_logic/_al_u3786_o ,\u_logic/R9mpw6 }),
.f({\u_logic/Kupow6_lutinv ,\u_logic/Y1qow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(B*~A))"),
//.LUTF1("(~(D*C)*~(B*~A))"),
//.LUTG0("(~(D*C)*~(B*~A))"),
//.LUTG1("(~(D*C)*~(B*~A))"),
.INIT_LUTF0(16'b0000101110111011),
.INIT_LUTF1(16'b0000101110111011),
.INIT_LUTG0(16'b0000101110111011),
.INIT_LUTG1(16'b0000101110111011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3790|u_logic/_al_u3788 (
.a({\u_logic/Uwzhu6 ,\u_logic/Pxzhu6 }),
.b({\u_logic/_al_u410_o ,\u_logic/_al_u410_o }),
.c({\u_logic/_al_u1095_o ,\u_logic/_al_u1095_o }),
.d({\u_logic/U1kpw6 ,\u_logic/Rskax6 }),
.f({\u_logic/_al_u3790_o ,\u_logic/_al_u3788_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(B@(C*~D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("~(B@(C*~D))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0011001111000011),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0011001111000011),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3791|u_logic/_al_u3794 (
.b({\u_logic/_al_u3790_o ,\u_logic/_al_u3790_o }),
.c({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Kupow6_lutinv ,\u_logic/Kupow6_lutinv }),
.f({\u_logic/_al_u3791_o ,\u_logic/F93ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("~(C@D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("~(C@D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3793|u_logic/_al_u3727 (
.b({open_n156761,\u_logic/Rskax6 }),
.c({\u_logic/_al_u3792_o ,\u_logic/Ubypw6 }),
.d({\u_logic/_al_u3791_o ,\u_logic/T05ju6 }),
.f({\u_logic/_al_u3793_o ,\u_logic/I55ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3795|u_logic/_al_u3750 (
.b({\u_logic/Svzhu6 ,\u_logic/N45ju6 }),
.c({\u_logic/_al_u410_o ,\u_logic/_al_u3647_o }),
.d({\u_logic/Zvzhu6 ,\u_logic/_al_u1398_o }),
.f({\u_logic/_al_u3795_o ,\u_logic/_al_u3750_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~C)*~(0*~A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(B*~(D*~C)*~(1*~A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3796|u_logic/_al_u1732 (
.a({\u_logic/R9mpw6 ,\u_logic/Mpniu6 }),
.b({\u_logic/Rskax6 ,\u_logic/_al_u1727_o }),
.c({\u_logic/Sqkax6 ,\u_logic/_al_u1731_o }),
.d({\u_logic/Ubypw6 ,\u_logic/Lgkax6 }),
.e({open_n156814,\u_logic/Wxjpw6 }),
.f({\u_logic/_al_u3796_o ,\u_logic/_al_u1732_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~A*~(D*~B))"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0000010000000101),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3798 (
.a({open_n156835,\u_logic/_al_u3795_o }),
.b({open_n156836,\u_logic/Gwzhu6 }),
.c({open_n156837,\u_logic/_al_u3797_o }),
.d({open_n156840,\u_logic/_al_u410_o }),
.f({open_n156854,\u_logic/_al_u3798_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3800|u_logic/_al_u3802 (
.a({open_n156860,\u_logic/_al_u3793_o }),
.b({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.c({\u_logic/_al_u3799_o ,\u_logic/_al_u3799_o }),
.d({\u_logic/_al_u3793_o ,\u_logic/Df3ju6 }),
.f({\u_logic/_al_u3800_o ,\u_logic/_al_u3802_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*D))"),
//.LUTF1("(~D*~B*~(C@A))"),
//.LUTG0("(~B*~(C*D))"),
//.LUTG1("(~D*~B*~(C@A))"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b0000000000100001),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b0000000000100001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3803|u_logic/_al_u3938 (
.a({\u_logic/_al_u3791_o ,open_n156885}),
.b({\u_logic/_al_u3799_o ,\u_logic/Hirpw6 }),
.c({\u_logic/_al_u3792_o ,\u_logic/Ufopw6 }),
.d({\u_logic/Df3ju6 ,\u_logic/P73ju6 }),
.f({\u_logic/_al_u3803_o ,\u_logic/_al_u3938_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3804|u_logic/_al_u3799 (
.b({\u_logic/_al_u3792_o ,open_n156912}),
.c({\u_logic/_al_u3790_o ,\u_logic/Ufopw6 }),
.d({\u_logic/Kupow6_lutinv ,\u_logic/_al_u3798_o }),
.f({\u_logic/N7pow6 ,\u_logic/_al_u3799_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTF1("(~C*~B*~(~D*A))"),
//.LUTG0("(B*(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTG1("(~C*~B*~(~D*A))"),
.INIT_LUTF0(16'b1000110000000100),
.INIT_LUTF1(16'b0000001100000001),
.INIT_LUTG0(16'b1000110000000100),
.INIT_LUTG1(16'b0000001100000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3806|u_logic/_al_u3926 (
.a({\u_logic/_al_u3800_o ,\u_logic/V5oow6 }),
.b({\u_logic/_al_u3802_o ,\u_logic/_al_u3800_o }),
.c({\u_logic/_al_u3803_o ,\u_logic/_al_u3900_o }),
.d({\u_logic/R3how6_lutinv ,\u_logic/_al_u3892_o }),
.f({\u_logic/_al_u3806_o ,\u_logic/Yf3ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18878)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUT1("(C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111100),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3810|u_logic/F2tax6_reg (
.b({open_n156959,\u_logic/_al_u672_o }),
.c({\u_logic/Pb0iu6 ,\u_logic/Pbbbx6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/_al_u779_o }),
.mi({open_n156970,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u3810_o ,\u_logic/_al_u780_o }),
.q({open_n156975,\u_logic/vis_r12_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18878)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(A*~(D*~C*~B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1010100010101010),
.MODE("LOGIC"))
\u_logic/_al_u3811|u_logic/_al_u552 (
.a({\u_logic/Hvjow6 ,open_n156976}),
.b({\u_logic/_al_u3809_o ,open_n156977}),
.c({\u_logic/_al_u3810_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3786_o ,\u_logic/Fzzhu6 }),
.f({\u_logic/_al_u3811_o ,\u_logic/Tgfpw6 [24]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18885)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3812|u_logic/Egtax6_reg (
.b({\u_logic/Ib0iu6 ,open_n157000}),
.c({\u_logic/Wb0iu6 ,\u_logic/U30iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.mi({open_n157004,\u_logic/E1miu6 }),
.f({\u_logic/_al_u3812_o ,\u_logic/_al_u3809_o }),
.q({open_n157020,\u_logic/vis_r12_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18885)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0100010101000000),
.INIT_LUT1(16'b1100111111001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3813 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3812_o ,\u_logic/_al_u3812_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/Bb0iu6 ,\u_logic/Bb0iu6 }),
.mi({open_n157033,\u_logic/Pb0iu6 }),
.fx({open_n157038,\u_logic/_al_u3813_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3814|u_logic/_al_u4768 (
.a({open_n157041,\u_logic/_al_u4635_o }),
.b({open_n157042,\u_logic/W48ow6 }),
.c({\u_logic/_al_u3813_o ,\u_logic/Egziu6 }),
.d({\u_logic/_al_u3811_o ,\u_logic/Nxkbx6 [8]}),
.f({\u_logic/Ov3ju6_lutinv ,\u_logic/_al_u4768_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"))
\u_logic/_al_u3815|u_logic/_al_u3917 (
.b({\u_logic/E90iu6 ,\u_logic/M60iu6 }),
.c({\u_logic/K50iu6 ,\u_logic/R50iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3815_o ,\u_logic/_al_u3917_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u3817|u_logic/_al_u3902 (
.b({\u_logic/D50iu6 ,\u_logic/_al_u303_o }),
.c({\u_logic/F60iu6 ,\u_logic/Y50iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3817_o ,\u_logic/_al_u3902_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3819|u_logic/_al_u3879 (
.b({\u_logic/K50iu6 ,\u_logic/A70iu6 }),
.c({\u_logic/W40iu6 ,\u_logic/M60iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3819_o ,\u_logic/_al_u3879_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19775)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u381|u_logic/Ck7bx6_reg (
.a({\u_logic/_al_u377_o ,\u_logic/C7now6_lutinv }),
.b({\u_logic/_al_u378_o ,\u_logic/V6now6_lutinv }),
.c({\u_logic/A6now6 ,\u_logic/vis_r2_o[11] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u380_o ,\u_logic/vis_r6_o[11] }),
.mi({open_n157136,\u_logic/Vrmiu6 }),
.f({\u_logic/Pb0iu6 ,\u_logic/A6now6 }),
.q({open_n157152,\u_logic/vis_r5_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19775)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0001010100010000),
.INIT_LUT1(16'b0011111100111010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3820 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3819_o ,\u_logic/_al_u3819_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/P40iu6 ,\u_logic/P40iu6 }),
.mi({open_n157165,\u_logic/D50iu6 }),
.fx({open_n157170,\u_logic/_al_u3820_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u3821|u_logic/_al_u3871 (
.b({\u_logic/P40iu6 ,\u_logic/H70iu6 }),
.c({\u_logic/D50iu6 ,\u_logic/_al_u303_o }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3821_o ,\u_logic/_al_u3871_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1100101001000000),
.INIT_LUT1(16'b1100111101000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3822 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3821_o ,\u_logic/_al_u3821_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/W40iu6 ,\u_logic/W40iu6 }),
.mi({open_n157207,\u_logic/I40iu6 }),
.fx({open_n157212,\u_logic/_al_u3822_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18752)
EG_PHY_LSLICE #(
//.LUTF0("~(D*B*~(C*~A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("~(D*B*~(C*~A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001111111111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0111001111111111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3823|u_logic/X3max6_reg (
.a({\u_logic/_al_u3816_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u3818_o ,\u_logic/_al_u4631_o }),
.c({\u_logic/_al_u3820_o ,\u_logic/n3708 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u3822_o ,\u_logic/Bpliu6 }),
.f({\u_logic/Tu3ju6 ,\u_logic/Uoliu6 }),
.q({open_n157235,\u_logic/vis_r10_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18752)
// ../rtl/topmodule/cortexm0ds_logic.v(20180)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3826|u_logic/Qxibx6_reg (
.a({open_n157236,\u_logic/_al_u1205_o }),
.b({\u_logic/B40iu6 ,\u_logic/Evzhu6 }),
.c({\u_logic/Wb0iu6 ,\u_logic/_al_u1206_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/Dfqow6 }),
.e({open_n157237,\u_logic/Kn1qw6 }),
.mi({open_n157239,\u_logic/K3niu6 }),
.f({\u_logic/_al_u3826_o ,\u_logic/I28ju6 }),
.q({open_n157255,\u_logic/vis_r14_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(20180)
// ../rtl/topmodule/cortexm0ds_logic.v(17952)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("~(B*~((0*D*A))*~(C)+B*(0*D*A)*~(C)+~(B)*(0*D*A)*C+B*(0*D*A)*C)"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("~(B*~((1*D*A))*~(C)+B*(1*D*A)*~(C)+~(B)*(1*D*A)*C+B*(1*D*A)*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b1111001111110011),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0101001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3830|u_logic/D12qw6_reg (
.a({\u_logic/Ov3ju6_lutinv ,\u_logic/_al_u4662_o }),
.b({\u_logic/Tu3ju6 ,\u_logic/_al_u4688_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u4736_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3827_o ,\u_logic/Zgziu6_lutinv }),
.e({\u_logic/_al_u3829_o ,open_n157257}),
.sr(cpuresetn),
.f({\u_logic/_al_u3830_o ,open_n157272}),
.q({open_n157276,\u_logic/vis_pc_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(17952)
// ../rtl/topmodule/cortexm0ds_logic.v(17854)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3831|u_logic/Huxpw6_reg (
.a({open_n157277,\u_logic/V70iu6 }),
.b({\u_logic/Bb0iu6 ,\u_logic/_al_u825_o }),
.c({\u_logic/Pb0iu6 ,\u_logic/_al_u826_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/Yz5pw6 }),
.mi({open_n157288,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u3831_o ,\u_logic/_al_u828_o }),
.q({open_n157293,\u_logic/vis_psp_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17854)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b1100101001000000),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b1100111101000101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3832|u_logic/_al_u3854 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3831_o ,\u_logic/_al_u3853_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/Ib0iu6 ,\u_logic/Na0iu6 }),
.e({\u_logic/Ua0iu6 ,\u_logic/Z90iu6 }),
.f({\u_logic/_al_u3832_o ,\u_logic/Id4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3833|u_logic/_al_u3852 (
.b({\u_logic/_al_u3832_o ,\u_logic/_al_u3849_o }),
.c({\u_logic/_al_u3829_o ,\u_logic/_al_u3851_o }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/_al_u3833_o ,\u_logic/We3ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3835 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3834_o ,\u_logic/_al_u3834_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/P40iu6 ,\u_logic/P40iu6 }),
.mi({open_n157354,\u_logic/B40iu6 }),
.fx({open_n157359,\u_logic/Mu3ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3836|u_logic/_al_u3874 (
.a({open_n157362,\u_logic/_al_u3807_o }),
.b({\u_logic/P40iu6 ,\u_logic/_al_u3873_o }),
.c({\u_logic/B40iu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/Y1qow6 ,\u_logic/V70iu6 }),
.e({open_n157365,\u_logic/H70iu6 }),
.f({\u_logic/_al_u3836_o ,\u_logic/C34ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17901)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b0001010100010000),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b0011111100111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3837|u_logic/Zazpw6_reg (
.a({\u_logic/_al_u3807_o ,open_n157386}),
.b({\u_logic/_al_u3836_o ,\u_logic/_al_u4678_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3691_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/U30iu6 ,\u_logic/_al_u4662_o }),
.e({\u_logic/I40iu6 ,open_n157387}),
.f({\u_logic/Yt3ju6 ,\u_logic/Fzkiu6 }),
.q({open_n157406,\u_logic/vis_psp_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17901)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3841|u_logic/_al_u3704 (
.a({open_n157407,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3840_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u3822_o ,\u_logic/Nxkbx6 [11]}),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/mult0_0_0_10 }),
.f({\u_logic/_al_u3841_o ,\u_logic/_al_u3704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~A*~(D*C*~B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~A*~(D*C*~B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0100010101010101),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0100010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3842|u_logic/_al_u3838 (
.a({\u_logic/_al_u3806_o ,open_n157432}),
.b({\u_logic/_al_u3830_o ,\u_logic/Mu3ju6 }),
.c({\u_logic/_al_u3838_o ,\u_logic/Yt3ju6 }),
.d({\u_logic/_al_u3841_o ,\u_logic/_al_u3833_o }),
.f({\u_logic/_al_u3842_o ,\u_logic/_al_u3838_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18480)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3843|u_logic/Cchax6_reg (
.a({open_n157457,\u_logic/_al_u4454_o }),
.b({open_n157458,\u_logic/R4miu6 }),
.c({\u_logic/_al_u3832_o ,\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u4650_o }),
.e({open_n157460,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3843_o ,open_n157475}),
.q({open_n157479,\u_logic/vis_pc_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18480)
// ../rtl/topmodule/cortexm0ds_logic.v(19801)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011000011111100),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3844|u_logic/Zp8bx6_reg (
.b({\u_logic/Ib0iu6 ,\u_logic/_al_u672_o }),
.c({\u_logic/Ua0iu6 ,\u_logic/N61qw6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/_al_u927_o }),
.mi({open_n157492,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u3844_o ,\u_logic/_al_u928_o }),
.q({open_n157497,\u_logic/vis_r14_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19801)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3845 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3844_o ,\u_logic/_al_u3844_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/Bb0iu6 ,\u_logic/Bb0iu6 }),
.mi({open_n157510,\u_logic/Na0iu6 }),
.fx({open_n157515,\u_logic/_al_u3845_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3846|u_logic/_al_u3857 (
.a({open_n157518,\u_logic/_al_u3847_o }),
.b({\u_logic/_al_u3843_o ,\u_logic/We3ju6_lutinv }),
.c({\u_logic/_al_u3845_o ,\u_logic/Id4ju6 }),
.d({\u_logic/Ov3ju6_lutinv ,\u_logic/Uc4ju6 }),
.f({\u_logic/_al_u3846_o ,\u_logic/_al_u3857_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18462)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3847|u_logic/L6hax6_reg (
.a({open_n157543,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u3845_o ,\u_logic/_al_u4671_o }),
.c({\u_logic/_al_u3827_o ,\u_logic/_al_u4777_o }),
.clk(clk_pad),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3847_o ,open_n157557}),
.q({open_n157561,\u_logic/vis_pc_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18462)
// ../rtl/topmodule/cortexm0ds_logic.v(19771)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3848|u_logic/Cc7bx6_reg (
.a({open_n157562,\u_logic/_al_u1295_o }),
.b({\u_logic/Na0iu6 ,\u_logic/J10iu6 }),
.c({\u_logic/Z90iu6 ,\u_logic/Pqzow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/Dfqow6 }),
.e({open_n157563,\u_logic/Pbbbx6 }),
.mi({open_n157565,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u3848_o ,\u_logic/_al_u1297_o }),
.q({open_n157581,\u_logic/vis_r9_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19771)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3849 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3848_o ,\u_logic/_al_u3848_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/Ga0iu6 ,\u_logic/Ga0iu6 }),
.mi({open_n157594,\u_logic/S90iu6 }),
.fx({open_n157599,\u_logic/_al_u3849_o }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"))
\u_logic/_al_u3853|u_logic/_al_u3864 (
.b({\u_logic/Ua0iu6 ,\u_logic/Ga0iu6 }),
.c({\u_logic/Ga0iu6 ,\u_logic/S90iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3853_o ,\u_logic/_al_u3864_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(D)*~(A)+~(~C*~B)*D*~(A)+~(~(~C*~B))*D*A+~(~C*~B)*D*A)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(~C*~B)*~(D)*~(A)+~(~C*~B)*D*~(A)+~(~(~C*~B))*D*A+~(~C*~B)*D*A)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111111001010100),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b1111111001010100),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3855|u_logic/_al_u3808 (
.a({open_n157624,\u_logic/_al_u3807_o }),
.b({\u_logic/Bb0iu6 ,\u_logic/_al_u3786_o }),
.c({\u_logic/Na0iu6 ,\u_logic/Ib0iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Wb0iu6 }),
.f({\u_logic/_al_u3855_o ,\u_logic/Hvjow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3856 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3855_o ,\u_logic/_al_u3855_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/Ua0iu6 ,\u_logic/Ua0iu6 }),
.mi({open_n157661,\u_logic/Ga0iu6 }),
.fx({open_n157666,\u_logic/Uc4ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18866)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3858|u_logic/Oesax6_reg (
.a({open_n157669,\u_logic/Ahqow6 }),
.b({\u_logic/S90iu6 ,\u_logic/Hhqow6 }),
.c({\u_logic/X80iu6 ,\u_logic/vis_r14_o[9] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/vis_r12_o[9] }),
.mi({open_n157673,\u_logic/K3niu6 }),
.f({\u_logic/_al_u3858_o ,\u_logic/_al_u1206_o }),
.q({open_n157689,\u_logic/vis_r8_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18866)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0011101000010000),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0011111100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3859|u_logic/_al_u3865 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3858_o ,\u_logic/_al_u3864_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/L90iu6 ,\u_logic/Z90iu6 }),
.e({\u_logic/Q80iu6 ,\u_logic/L90iu6 }),
.f({\u_logic/_al_u3859_o ,\u_logic/_al_u3865_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u385|u_logic/_al_u397 (
.a({\u_logic/_al_u156_o ,open_n157712}),
.b({\u_logic/Nbkiu6_lutinv ,open_n157713}),
.c({\u_logic/L88iu6_lutinv ,\u_logic/P5vpw6 }),
.d({\u_logic/Oikax6 ,\u_logic/Frziu6_lutinv }),
.f({\u_logic/_al_u385_o ,\u_logic/_al_u397_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20182)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3860|u_logic/O1jbx6_reg (
.a({open_n157738,\u_logic/U30iu6 }),
.b({\u_logic/Z90iu6 ,\u_logic/_al_u881_o }),
.c({\u_logic/L90iu6 ,\u_logic/_al_u882_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/_al_u883_o }),
.mi({open_n157742,\u_logic/K3niu6 }),
.f({\u_logic/_al_u3860_o ,\u_logic/_al_u884_o }),
.q({open_n157758,\u_logic/vis_psp_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(20182)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3861 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3860_o ,\u_logic/_al_u3860_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/S90iu6 ,\u_logic/S90iu6 }),
.mi({open_n157771,\u_logic/X80iu6 }),
.fx({open_n157776,\u_logic/_al_u3861_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3862|u_logic/_al_u4777 (
.a({open_n157779,\u_logic/_al_u4635_o }),
.b({open_n157780,\u_logic/_al_u4776_o }),
.c({\u_logic/_al_u3861_o ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/_al_u3859_o ,\u_logic/Zsfpw6 [23]}),
.f({\u_logic/Q34ju6_lutinv ,\u_logic/_al_u4777_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3863|u_logic/_al_u4776 (
.a({open_n157801,\u_logic/Egziu6 }),
.b({open_n157802,\u_logic/_al_u4633_o }),
.c({\u_logic/_al_u3849_o ,\u_logic/vis_pc_o[23] }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Nxkbx6 [25]}),
.f({\u_logic/_al_u3863_o ,\u_logic/_al_u4776_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(0*D*C)))"),
//.LUT1("(B*~(~A*~(1*D*C)))"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1100100010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3866 (
.a({\u_logic/_al_u3846_o ,\u_logic/_al_u3846_o }),
.b({\u_logic/_al_u3857_o ,\u_logic/_al_u3857_o }),
.c({\u_logic/Q34ju6_lutinv ,\u_logic/Q34ju6_lutinv }),
.d({\u_logic/_al_u3863_o ,\u_logic/_al_u3863_o }),
.mi({open_n157839,\u_logic/_al_u3865_o }),
.fx({open_n157844,\u_logic/_al_u3866_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3870 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3869_o ,\u_logic/_al_u3869_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/O70iu6 ,\u_logic/O70iu6 }),
.mi({open_n157859,\u_logic/A70iu6 }),
.fx({open_n157864,\u_logic/_al_u3870_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3872 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3871_o ,\u_logic/_al_u3871_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/A70iu6 ,\u_logic/A70iu6 }),
.mi({open_n157879,\u_logic/M60iu6 }),
.fx({open_n157884,\u_logic/_al_u3872_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18826)
EG_PHY_MSLICE #(
//.LUT0("~(D*B*~(C*~A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001111111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3875|u_logic/Z6qax6_reg (
.a({\u_logic/_al_u3868_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u3870_o ,\u_logic/_al_u4614_o }),
.c({\u_logic/_al_u3872_o ,\u_logic/n3708 }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/C34ju6 ,\u_logic/Dkkiu6 }),
.f({\u_logic/T14ju6 ,\u_logic/Jgkiu6 }),
.q({open_n157903,\u_logic/vis_r2_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18826)
// ../rtl/topmodule/cortexm0ds_logic.v(17958)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("~((0*D*B)*~(A)*~(C)+(0*D*B)*A*~(C)+~((0*D*B))*A*C+(0*D*B)*A*C)"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("~((1*D*B)*~(A)*~(C)+(1*D*B)*A*~(C)+~((1*D*B))*A*C+(1*D*B)*A*C)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0101111101011111),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0101001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3878|u_logic/A32qw6_reg (
.a({\u_logic/T14ju6 ,\u_logic/_al_u4662_o }),
.b({\u_logic/Q34ju6_lutinv ,\u_logic/_al_u4685_o }),
.c({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u4733_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3851_o ,\u_logic/Zgziu6_lutinv }),
.e({\u_logic/_al_u3877_o ,open_n157905}),
.sr(cpuresetn),
.f({\u_logic/_al_u3878_o ,open_n157920}),
.q({open_n157924,\u_logic/vis_pc_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17958)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~(~B*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~C*~(~B*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000001101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000001101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u387|u_logic/_al_u1996 (
.a({open_n157925,\u_logic/_al_u1994_o }),
.b({open_n157926,\u_logic/_al_u1995_o }),
.c({\u_logic/Ssjax6 ,\u_logic/_al_u1087_o }),
.d({\u_logic/_al_u386_o ,\u_logic/Sojax6 }),
.f({\u_logic/A95iu6_lutinv ,\u_logic/_al_u1996_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3880 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3879_o ,\u_logic/_al_u3879_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/_al_u303_o ,\u_logic/_al_u303_o }),
.mi({open_n157963,\u_logic/Y50iu6 }),
.fx({open_n157968,\u_logic/Csnow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3881|u_logic/_al_u3658 (
.a({open_n157971,\u_logic/By4ju6 }),
.b({\u_logic/Csnow6 ,\u_logic/_al_u3645_o }),
.c({\u_logic/C34ju6 ,\u_logic/Nxkbx6 [14]}),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/mult0_0_0_13 }),
.f({\u_logic/Ha3ju6_lutinv ,\u_logic/_al_u3658_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18765)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b0011101000010000),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b0011111100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3884|u_logic/Vtmax6_reg (
.a({\u_logic/_al_u3807_o ,open_n157996}),
.b({\u_logic/_al_u3883_o ,\u_logic/_al_u4581_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3720_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/J80iu6 ,\u_logic/_al_u4556_o }),
.e({\u_logic/V70iu6 ,open_n157997}),
.f({\u_logic/F14ju6 ,\u_logic/X6niu6 }),
.q({open_n158016,\u_logic/vis_r10_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18765)
// ../rtl/topmodule/cortexm0ds_logic.v(18770)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTF1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011000011111100),
.INIT_LUTF1(16'b0011001100001111),
.INIT_LUTG0(16'b0011000011111100),
.INIT_LUTG1(16'b0011001100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3885|u_logic/S3nax6_reg (
.b({\u_logic/J80iu6 ,\u_logic/_al_u672_o }),
.c({\u_logic/V70iu6 ,\u_logic/Ibqpw6 }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/Y1qow6 ,\u_logic/_al_u856_o }),
.mi({open_n158022,\u_logic/D39iu6 }),
.f({\u_logic/_al_u3885_o ,\u_logic/_al_u857_o }),
.q({open_n158038,\u_logic/vis_r14_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18770)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3886 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3885_o ,\u_logic/_al_u3885_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/C80iu6 ,\u_logic/C80iu6 }),
.mi({open_n158051,\u_logic/O70iu6 }),
.fx({open_n158056,\u_logic/R04ju6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(20228)
EG_PHY_MSLICE #(
//.LUT0("~(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1110101011000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3887|u_logic/S4kbx6_reg (
.a({\u_logic/Ha3ju6_lutinv ,\u_logic/A3iiu6 }),
.b({\u_logic/Uk3ju6_lutinv ,\u_logic/_al_u2297_o }),
.c({\u_logic/F14ju6 ,\u_logic/Go0iu6_lutinv }),
.ce(\u_logic/n1481 ),
.clk(clk_pad),
.d({\u_logic/R04ju6 ,\u_logic/Nxkbx6 [1]}),
.sr(cpuresetn),
.f({\u_logic/_al_u3887_o ,\u_logic/Ay8iu6 }),
.q({open_n158074,\u_logic/S4kbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20228)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b0000010000010101),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\u_logic/_al_u3889|u_logic/_al_u3912 (
.a({open_n158075,\u_logic/_al_u3911_o }),
.b({\u_logic/_al_u3887_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Iz3ju6_lutinv ,\u_logic/_al_u3909_o }),
.d({\u_logic/_al_u3878_o ,\u_logic/_al_u3872_o }),
.f({\u_logic/_al_u3889_o ,\u_logic/_al_u3912_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~D*~(B*~(C*A))))"),
//.LUTF1("(~D*~B*~(~C*A))"),
//.LUTG0("(1*~(~D*~(B*~(C*A))))"),
//.LUTG1("(~D*~B*~(~C*A))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000110001),
.INIT_LUTG0(16'b1111111101001100),
.INIT_LUTG1(16'b0000000000110001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3891|u_logic/_al_u4606 (
.a({\u_logic/_al_u3800_o ,\u_logic/_al_u3793_o }),
.b({\u_logic/_al_u3803_o ,\u_logic/R3how6_lutinv }),
.c({\u_logic/R3how6_lutinv ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/_al_u3890_o ,\u_logic/_al_u3799_o }),
.e({open_n158098,\u_logic/Tucow6_lutinv }),
.f({\u_logic/_al_u3891_o ,\u_logic/n3708 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~C)*~(A)*~(B)+~(D*~C)*A*~(B)+~(~(D*~C))*A*B+~(D*~C)*A*B)"),
//.LUT1("(D*~A*~(~C*~B))"),
.INIT_LUT0(16'b1011100010111011),
.INIT_LUT1(16'b0101010000000000),
.MODE("LOGIC"))
\u_logic/_al_u3892|u_logic/_al_u4453 (
.a({\u_logic/R50iu6 ,\u_logic/_al_u3803_o }),
.b({\u_logic/F3aiu6 ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/Pt2ju6 ,\u_logic/_al_u4452_o }),
.d({\u_logic/Fpnpw6 ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u3892_o ,\u_logic/_al_u4453_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*D*~C)*~(~B*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~(1*D*~C)*~(~B*A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1101110111011101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1101000011011101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3893|u_logic/_al_u3927 (
.a({open_n158139,\u_logic/_al_u3802_o }),
.b({open_n158140,\u_logic/_al_u3833_o }),
.c({\u_logic/Fpnpw6 ,\u_logic/Ha3ju6_lutinv }),
.d({\u_logic/_al_u3892_o ,\u_logic/_al_u3803_o }),
.e({open_n158143,\u_logic/F93ju6_lutinv }),
.f({\u_logic/_al_u3893_o ,\u_logic/_al_u3927_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(D*(~(A)*~(B)*~(C)+A*~(B)*~(C)+~(A)*B*~(C)+A*~(B)*C+~(A)*B*C))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b0110011100000000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0110011100000000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3897|u_logic/_al_u3896 (
.a({open_n158164,\u_logic/V2kow6_lutinv }),
.b({\u_logic/_al_u3824_o ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/Df3ju6 ,\u_logic/_al_u3824_o }),
.d({\u_logic/Queow6 ,\u_logic/Ufopw6 }),
.f({\u_logic/V5oow6 ,\u_logic/Queow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3900|u_logic/_al_u3824 (
.b({\u_logic/_al_u3820_o ,open_n158191}),
.c({\u_logic/_al_u3899_o ,\u_logic/Y1qow6 }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3786_o }),
.f({\u_logic/_al_u3900_o ,\u_logic/_al_u3824_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000111111001100),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000111111001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3901|u_logic/_al_u4450 (
.b({\u_logic/_al_u3900_o ,open_n158218}),
.c({\u_logic/_al_u3892_o ,\u_logic/_al_u3799_o }),
.d({\u_logic/V5oow6 ,\u_logic/_al_u3793_o }),
.f({\u_logic/Mg3ju6_lutinv ,\u_logic/Jfmow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0011101000010000),
.INIT_LUT1(16'b0011111100010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3903 (
.a({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u3902_o ,\u_logic/_al_u3902_o }),
.c({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/M60iu6 ,\u_logic/M60iu6 }),
.mi({open_n158255,\u_logic/R50iu6 }),
.fx({open_n158260,\u_logic/_al_u3903_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\u_logic/_al_u3904|u_logic/_al_u3651 (
.a({open_n158263,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3903_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u3870_o ,\u_logic/Nxkbx6 [13]}),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/mult0_0_0_12 }),
.f({\u_logic/Nk3ju6_lutinv ,\u_logic/_al_u3651_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~D*~C*B*A)"),
//.LUTF1("~(~A*~(C)*~((D*B))+~A*C*~((D*B))+~(~A)*C*(D*B)+~A*C*(D*B))"),
//.LUTG0("(1*~D*~C*B*A)"),
//.LUTG1("~(~A*~(C)*~((D*B))+~A*C*~((D*B))+~(~A)*C*(D*B)+~A*C*(D*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0010111010101010),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b0010111010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3905|u_logic/_al_u3915 (
.a({\u_logic/Nk3ju6_lutinv ,\u_logic/Mg3ju6_lutinv }),
.b({\u_logic/V2kow6_lutinv ,\u_logic/_al_u3905_o }),
.c({\u_logic/_al_u3892_o ,\u_logic/_al_u3910_o }),
.d({\u_logic/Ufopw6 ,\u_logic/_al_u3912_o }),
.e({open_n158286,\u_logic/_al_u3914_o }),
.f({\u_logic/_al_u3905_o ,\u_logic/_al_u3915_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3908|u_logic/_al_u3898 (
.b({\u_logic/Dc0iu6 ,\u_logic/E90iu6 }),
.c({\u_logic/Y50iu6 ,\u_logic/R50iu6 }),
.d({\u_logic/Y1qow6 ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u3908_o ,\u_logic/_al_u3898_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u390|u_logic/_al_u391 (
.b({open_n158335,\u_logic/Oikax6 }),
.c({\u_logic/Lgkax6 ,\u_logic/Rkkax6 }),
.d({\u_logic/Iekax6 ,\u_logic/_al_u390_o }),
.f({\u_logic/_al_u390_o ,\u_logic/_al_u391_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(A@(~C*~B)))"),
//.LUT1("(C*(B@D))"),
.INIT_LUT0(16'b0101011000000000),
.INIT_LUT1(16'b0011000011000000),
.MODE("LOGIC"))
\u_logic/_al_u3913|u_logic/_al_u3907 (
.a({open_n158356,\u_logic/V2kow6_lutinv }),
.b({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3906_o }),
.c({\u_logic/Ufopw6 ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/V2kow6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/Myfow6 ,\u_logic/_al_u3907_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(A@(~B*~(~D*~C))))"),
//.LUTF1("(0*(A@(~D*~C*B)))"),
//.LUTG0("(1*~(A@(~B*~(~D*~C))))"),
//.LUTG1("(1*(A@(~D*~C*B)))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b0110011001100101),
.INIT_LUTG1(16'b1010101010100110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3916|u_logic/_al_u3920 (
.a({\u_logic/V2kow6_lutinv ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/_al_u3807_o ,\u_logic/_al_u3807_o }),
.d({\u_logic/_al_u3786_o ,\u_logic/_al_u3786_o }),
.e({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3916_o ,\u_logic/_al_u3920_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000010000010101),
.MODE("LOGIC"))
\u_logic/_al_u3919|u_logic/_al_u328 (
.a({\u_logic/_al_u3916_o ,open_n158399}),
.b({\u_logic/Hv3ju6_lutinv ,open_n158400}),
.c({\u_logic/Nweow6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u3868_o ,\u_logic/U30iu6 }),
.f({\u_logic/_al_u3919_o ,\u_logic/Mifpw6 [9]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~A*~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000000101000101),
.MODE("LOGIC"))
\u_logic/_al_u3921|u_logic/_al_u3675 (
.a({\u_logic/_al_u3920_o ,\u_logic/By4ju6 }),
.b({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3645_o }),
.c({\u_logic/Nweow6 ,\u_logic/Nxkbx6 [7]}),
.d({\u_logic/_al_u3816_o ,\u_logic/mult0_0_0_6 }),
.f({\u_logic/_al_u3921_o ,\u_logic/_al_u3675_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17472)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D*~(B*~A)))"),
//.LUT1("(D*(A@(~C*B)))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111100001111),
.INIT_LUT1(16'b1010011000000000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3922|u_logic/Arnpw6_reg (
.a({\u_logic/V2kow6_lutinv ,\u_logic/_al_u4662_o }),
.b({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u4691_o }),
.c({\u_logic/_al_u3824_o ,\u_logic/_al_u4715_o }),
.ce(\u_logic/Y5liu6 ),
.clk(clk_pad),
.d({\u_logic/Ufopw6 ,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3922_o ,open_n158453}),
.q({open_n158457,\u_logic/vis_apsr_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(17472)
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~A*~(D*~(C)*~(B)+D*C*~(B)+~(D)*C*B+D*C*B))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000010000010101),
.MODE("LOGIC"))
\u_logic/_al_u3923|u_logic/_al_u3772 (
.a({\u_logic/_al_u3922_o ,\u_logic/By4ju6 }),
.b({\u_logic/Hv3ju6_lutinv ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u3899_o ,\u_logic/Nxkbx6 [16]}),
.d({\u_logic/Csnow6 ,\u_logic/mult0_0_0_15 }),
.f({\u_logic/_al_u3923_o ,\u_logic/_al_u3772_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*~(C)*~(D)*~(0)+A*~(C)*~(D)*~(0)+~(A)*C*~(D)*~(0)+A*~(C)*D*~(0)+A*~(C)*~(D)*0+A*C*~(D)*0+A*~(C)*D*0+A*C*D*0))"),
//.LUTF1("~(~(~A*~(~C*B))*~(0)*~(D)+~(~A*~(~C*B))*0*~(D)+~(~(~A*~(~C*B)))*0*D+~(~A*~(~C*B))*0*D)"),
//.LUTG0("(B*(~(A)*~(C)*~(D)*~(1)+A*~(C)*~(D)*~(1)+~(A)*C*~(D)*~(1)+A*~(C)*D*~(1)+A*~(C)*~(D)*1+A*C*~(D)*1+A*~(C)*D*1+A*C*D*1))"),
//.LUTG1("~(~(~A*~(~C*B))*~(1)*~(D)+~(~A*~(~C*B))*1*~(D)+~(~(~A*~(~C*B)))*1*D+~(~A*~(~C*B))*1*D)"),
.INIT_LUTF0(16'b0000100001001100),
.INIT_LUTF1(16'b1111111101010001),
.INIT_LUTG0(16'b1000100010001000),
.INIT_LUTG1(16'b0000000001010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3925|u_logic/_al_u3939 (
.a({\u_logic/_al_u3785_o ,\u_logic/_al_u3937_o }),
.b({\u_logic/_al_u3894_o ,\u_logic/_al_u3938_o }),
.c({\u_logic/_al_u3924_o ,\u_logic/_al_u3900_o }),
.d({\u_logic/Fhoiu6 ,\u_logic/We3ju6_lutinv }),
.e({\u_logic/vis_apsr_o[2] ,\u_logic/F93ju6_lutinv }),
.f({\u_logic/Dm3ju6_lutinv ,\u_logic/_al_u3939_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(B*~(0)*~((C*A))+B*0*~((C*A))+~(B)*0*(C*A)+B*0*(C*A)))"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(~D*~(B*~(1)*~((C*A))+B*1*~((C*A))+~(B)*1*(C*A)+B*1*(C*A)))"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000000010110011),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3928|u_logic/_al_u3888 (
.a({open_n158500,\u_logic/_al_u3793_o }),
.b({\u_logic/_al_u3803_o ,\u_logic/R3how6_lutinv }),
.c({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/We3ju6_lutinv ,\u_logic/_al_u3799_o }),
.e({open_n158503,\u_logic/Df3ju6 }),
.f({\u_logic/_al_u3928_o ,\u_logic/Iz3ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*~(0*~(~B*~A))))"),
//.LUT1("(D*~(~C*~(1*~(~B*~A))))"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111111000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3929 (
.a({\u_logic/_al_u3793_o ,\u_logic/_al_u3793_o }),
.b({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.c({\u_logic/_al_u3799_o ,\u_logic/_al_u3799_o }),
.d({\u_logic/_al_u3892_o ,\u_logic/_al_u3892_o }),
.mi({open_n158536,\u_logic/Df3ju6 }),
.fx({open_n158541,\u_logic/_al_u3929_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C)*~(0*~B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*~C)*~(1*~B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.INIT_LUTF0(16'b1010000010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u392|u_logic/_al_u1834 (
.a({\u_logic/_al_u385_o ,\u_logic/_al_u1833_o }),
.b({\u_logic/A95iu6_lutinv ,\u_logic/Yb8iu6 }),
.c({\u_logic/L45iu6_lutinv ,\u_logic/Hd8iu6_lutinv }),
.d({\u_logic/_al_u391_o ,\u_logic/N4kax6 }),
.e({\u_logic/Irmpw6 ,\u_logic/S7mpw6 }),
.f({\u_logic/_al_u392_o ,\u_logic/_al_u1834_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~B*~(~C*A)))"),
//.LUT1("(~B*~(~C*D))"),
.INIT_LUT0(16'b1100111000000000),
.INIT_LUT1(16'b0011000000110011),
.MODE("LOGIC"))
\u_logic/_al_u3930|u_logic/_al_u3935 (
.a({open_n158566,\u_logic/N7pow6 }),
.b({\u_logic/_al_u3798_o ,\u_logic/_al_u3798_o }),
.c({\u_logic/_al_u3795_o ,\u_logic/_al_u3795_o }),
.d({\u_logic/N7pow6 ,\u_logic/Hirpw6 }),
.f({\u_logic/P73ju6 ,\u_logic/_al_u3935_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*~C*B*~A))"),
//.LUT1("(1*~(~D*~C*B*~A))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111111111011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3931 (
.a({\u_logic/Yf3ju6_lutinv ,\u_logic/Yf3ju6_lutinv }),
.b({\u_logic/_al_u3927_o ,\u_logic/_al_u3927_o }),
.c({\u_logic/_al_u3928_o ,\u_logic/_al_u3928_o }),
.d({\u_logic/_al_u3929_o ,\u_logic/_al_u3929_o }),
.mi({open_n158599,\u_logic/P73ju6 }),
.fx({open_n158604,\u_logic/_al_u3931_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~C*~A*~(~D*~B))"),
//.LUTF1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG0("(1*~C*~A*~(~D*~B))"),
//.LUTG1("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b1010110011110000),
.INIT_LUTG0(16'b0000010100000100),
.INIT_LUTG1(16'b1010110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3933|u_logic/_al_u3894 (
.a({\u_logic/Nk3ju6_lutinv ,\u_logic/_al_u3842_o }),
.b({\u_logic/_al_u3847_o ,\u_logic/_al_u3866_o }),
.c({\u_logic/_al_u3932_o ,\u_logic/_al_u3889_o }),
.d({\u_logic/F93ju6_lutinv ,\u_logic/_al_u3891_o }),
.e({open_n158609,\u_logic/_al_u3893_o }),
.f({\u_logic/_al_u3933_o ,\u_logic/_al_u3894_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3934|u_logic/_al_u334 (
.c({\u_logic/_al_u3798_o ,\u_logic/Xuzhu6 }),
.d({\u_logic/N7pow6 ,\u_logic/R50iu6 }),
.f({\u_logic/_al_u3934_o ,\u_logic/Mifpw6 [31]}));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTF1("(~B*~(C*~D))"),
//.LUTG0("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG1("(~B*~(C*~D))"),
.INIT_LUTF0(16'b0000001111110011),
.INIT_LUTF1(16'b0011001100000011),
.INIT_LUTG0(16'b0000001111110011),
.INIT_LUTG1(16'b0011001100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3936|u_logic/_al_u4714 (
.b({\u_logic/_al_u3934_o ,\u_logic/Bbliu6 }),
.c({\u_logic/_al_u3935_o ,\u_logic/Fpnpw6 }),
.d({\u_logic/_al_u3933_o ,\u_logic/Ru2ju6 }),
.f({\u_logic/_al_u3936_o ,\u_logic/_al_u4714_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A@(C*B)))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUTG0("(D*(A@(C*B)))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
.INIT_LUTF0(16'b0110101000000000),
.INIT_LUTF1(16'b0011010100001111),
.INIT_LUTG0(16'b0110101000000000),
.INIT_LUTG1(16'b0011010100001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3937|u_logic/_al_u3911 (
.a({\u_logic/_al_u3833_o ,\u_logic/V2kow6_lutinv }),
.b({\u_logic/Ha3ju6_lutinv ,\u_logic/_al_u3906_o }),
.c({\u_logic/_al_u3793_o ,\u_logic/Hv3ju6_lutinv }),
.d({\u_logic/F93ju6_lutinv ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3937_o ,\u_logic/_al_u3911_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(B*~(~C*D))"),
//.LUTG0("(C*~D)"),
//.LUTG1("(B*~(~C*D))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1100000011001100),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1100000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3940|u_logic/_al_u3805 (
.b({\u_logic/Fpnpw6 ,open_n158710}),
.c({\u_logic/vis_apsr_o[1] ,\u_logic/Hirpw6 }),
.d({\u_logic/_al_u3934_o ,\u_logic/N7pow6 }),
.f({\u_logic/_al_u3940_o ,\u_logic/R3how6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u3941|u_logic/_al_u346 (
.c({\u_logic/Nxkbx6 [33],\u_logic/Xuzhu6 }),
.d({\u_logic/Fpnpw6 ,\u_logic/F60iu6 }),
.f({\u_logic/_al_u3941_o ,\u_logic/Mifpw6 [2]}));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(D*~(~C*B*~A)))"),
//.LUT1("(~1*~(D*~(~C*B*~A)))"),
.INIT_LUT0(16'b0000010011111111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3942 (
.a({\u_logic/_al_u3931_o ,\u_logic/_al_u3931_o }),
.b({\u_logic/_al_u3936_o ,\u_logic/_al_u3936_o }),
.c({\u_logic/_al_u3939_o ,\u_logic/_al_u3939_o }),
.d({\u_logic/_al_u3940_o ,\u_logic/_al_u3940_o }),
.mi({open_n158771,\u_logic/_al_u3941_o }),
.fx({open_n158776,\u_logic/_al_u3942_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(~D*~C*B*~A)"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3943|u_logic/_al_u1588 (
.a({\u_logic/Yf3ju6_lutinv ,open_n158779}),
.b({\u_logic/_al_u3927_o ,\u_logic/Ng8iu6 }),
.c({\u_logic/_al_u3928_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u3929_o ,\u_logic/Ug8iu6_lutinv }),
.f({\u_logic/Ru2ju6 ,\u_logic/Zf8iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*~B*~(D*A))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*~B*~(D*A))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000100000011),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3944|u_logic/_al_u1451 (
.a({\u_logic/Fhoiu6 ,open_n158804}),
.b({\u_logic/_al_u1817_o ,\u_logic/Sy2ju6 }),
.c({\u_logic/_al_u2336_o ,\u_logic/Vgjpw6 }),
.d({\u_logic/vis_apsr_o[3] ,\u_logic/_al_u194_o }),
.f({\u_logic/_al_u3944_o ,\u_logic/_al_u1451_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~B*~(C*A))"),
//.LUTF1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTG0("(~D*~B*~(C*A))"),
//.LUTG1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*B*~(C)+D*~(B)*C+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0000000000010011),
.INIT_LUTF1(16'b1111001111001111),
.INIT_LUTG0(16'b0000000000010011),
.INIT_LUTG1(16'b1111001111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3946|u_logic/_al_u3741 (
.a({open_n158829,\u_logic/By4ju6 }),
.b({\u_logic/D5epw6 ,\u_logic/_al_u3645_o }),
.c({\u_logic/Nxkbx6 [32],\u_logic/Nxkbx6 [28]}),
.d({\u_logic/Idfpw6 [31],\u_logic/n159 [9]}),
.f({\u_logic/_al_u3946_o ,\u_logic/_al_u3741_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*~A))"),
//.LUT1("(~C*A*~(D*B))"),
.INIT_LUT0(16'b0010101000111111),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"))
\u_logic/_al_u3949|u_logic/_al_u1576 (
.a({\u_logic/Im2ju6 ,\u_logic/_al_u1575_o }),
.b({\u_logic/_al_u3947_o ,\u_logic/_al_u410_o }),
.c({\u_logic/_al_u3948_o ,\u_logic/_al_u1091_o }),
.d({\u_logic/T1vpw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/P9niu6 ,\u_logic/Fhoiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*~(~A*~(D)*~(B)+~A*D*~(B)+~(~A)*D*B+~A*D*B))"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0010000011100000),
.MODE("LOGIC"))
\u_logic/_al_u3951|u_logic/_al_u3641 (
.a({\u_logic/_al_u3946_o ,open_n158874}),
.b({\u_logic/P9niu6 ,open_n158875}),
.c({\u_logic/_al_u3950_o ,\u_logic/Ys4ju6 }),
.d({\u_logic/vis_apsr_o[0] ,\u_logic/Mt4ju6 }),
.f({\u_logic/Qj2ju6 ,\u_logic/Cg5ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~0*(D@C)*~(B*A))"),
//.LUT1("(~1*(D@C)*~(B*A))"),
.INIT_LUT0(16'b0000011101110000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3952 (
.a({\u_logic/Ng8iu6 ,\u_logic/Ng8iu6 }),
.b({\u_logic/vis_apsr_o[1] ,\u_logic/vis_apsr_o[1] }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n158908,\u_logic/Ssjax6 }),
.fx({open_n158913,\u_logic/_al_u3952_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~D*~(B*~(A)*~(0)+B*A*~(0)+~(B)*A*0+B*A*0)))"),
//.LUTF1("(~(C@B)*~(D*~(~0*~A)))"),
//.LUTG0("(C*~(~D*~(B*~(A)*~(1)+B*A*~(1)+~(B)*A*1+B*A*1)))"),
//.LUTG1("(~(C@B)*~(D*~(~1*~A)))"),
.INIT_LUTF0(16'b1111000011000000),
.INIT_LUTF1(16'b0100000111000011),
.INIT_LUTG0(16'b1111000010100000),
.INIT_LUTG1(16'b0000000011000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3953|u_logic/_al_u3945 (
.a({\u_logic/_al_u3942_o ,\u_logic/Ru2ju6 }),
.b({\u_logic/_al_u3945_o ,\u_logic/Bbliu6 }),
.c({\u_logic/Qj2ju6 ,\u_logic/_al_u3944_o }),
.d({\u_logic/_al_u3952_o ,\u_logic/Fhoiu6 }),
.e({\u_logic/Ng8iu6 ,\u_logic/Fpnpw6 }),
.f({\u_logic/_al_u3953_o ,\u_logic/_al_u3945_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*(A*~(D)*~(0)+~(A)*D*~(0)+~(A)*D*0)))"),
//.LUT1("(B*~(~C*(A*~(D)*~(1)+~(A)*D*~(1)+~(A)*D*1)))"),
.INIT_LUT0(16'b1100100011000100),
.INIT_LUT1(16'b1100100011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3954 (
.a({\u_logic/Dm3ju6_lutinv ,\u_logic/Dm3ju6_lutinv }),
.b({\u_logic/_al_u3953_o ,\u_logic/_al_u3953_o }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Sojax6 ,\u_logic/Sojax6 }),
.mi({open_n158950,\u_logic/Ssjax6 }),
.fx({open_n158955,\u_logic/_al_u3954_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3956|u_logic/_al_u1767 (
.b({\u_logic/_al_u1774_o ,\u_logic/Dxvpw6 }),
.c({\u_logic/W4jax6 ,\u_logic/Sojax6 }),
.d({\u_logic/_al_u1824_o ,\u_logic/_al_u386_o }),
.f({\u_logic/_al_u3956_o ,\u_logic/_al_u1767_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*((D*B)*~(A)*~(0)+(D*B)*A*~(0)+~((D*B))*A*0+(D*B)*A*0))"),
//.LUTF1("(~D*~(~C*~(B*A)))"),
//.LUTG0("(~C*((D*B)*~(A)*~(1)+(D*B)*A*~(1)+~((D*B))*A*1+(D*B)*A*1))"),
//.LUTG1("(~D*~(~C*~(B*A)))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0000000011111000),
.INIT_LUTG0(16'b0000101000001010),
.INIT_LUTG1(16'b0000000011111000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3957|u_logic/_al_u1777 (
.a({\u_logic/Cwiiu6 ,\u_logic/_al_u1776_o }),
.b({\u_logic/_al_u2336_o ,\u_logic/Vviiu6 }),
.c({\u_logic/_al_u2458_o ,\u_logic/P0kax6 }),
.d({\u_logic/P0kax6 ,\u_logic/Rwjax6 }),
.e({open_n158986,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u3957_o ,\u_logic/_al_u1777_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17240)
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~C)*~(B*~A))"),
//.LUT1("(~(D*B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011101110110000),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3959|u_logic/Vgjpw6_reg (
.a({\u_logic/_al_u956_o ,\u_logic/_al_u4022_o }),
.b({\u_logic/Pthiu6 ,\u_logic/_al_u4036_o }),
.c({\u_logic/_al_u1155_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/Xxupw6 ,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u3959_o ,open_n159021}),
.q({open_n159025,\u_logic/Vgjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17240)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~B*~A*~(D*~C))"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0001000000010001),
.MODE("LOGIC"))
\u_logic/_al_u3960|u_logic/_al_u2668 (
.a({\u_logic/_al_u3956_o ,\u_logic/_al_u1221_o }),
.b({\u_logic/_al_u3958_o ,\u_logic/Wa0ju6 }),
.c({\u_logic/_al_u3959_o ,\u_logic/_al_u153_o }),
.d({\u_logic/U98iu6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u3960_o ,\u_logic/_al_u2668_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*(A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
//.LUT1("(1*(A*~(B)*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111101000010010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3961 (
.a({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.b({\u_logic/Hirpw6 ,\u_logic/Hirpw6 }),
.c({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/T1vpw6 }),
.mi({open_n159058,\u_logic/Vzupw6 }),
.fx({open_n159063,\u_logic/_al_u3961_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*~A)"),
//.LUTF1("(~(C*B)*~(~D*A))"),
//.LUTG0("(~1*~D*~C*~B*~A)"),
//.LUTG1("(~(C*B)*~(~D*A))"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0011111100010101),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0011111100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3964|u_logic/_al_u1799 (
.a({\u_logic/Yo1ju6 ,\u_logic/_al_u1794_o }),
.b({\u_logic/_al_u190_o ,\u_logic/_al_u1796_o }),
.c({\u_logic/_al_u394_o ,\u_logic/_al_u1797_o }),
.d({\u_logic/Ssjax6 ,\u_logic/_al_u1798_o }),
.e({open_n159068,\u_logic/Ujjiu6 }),
.f({\u_logic/_al_u3964_o ,\u_logic/_al_u1799_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*A)"),
//.LUT1("(~D*C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"))
\u_logic/_al_u3966|u_logic/_al_u1102 (
.a({\u_logic/_al_u3960_o ,\u_logic/_al_u398_o }),
.b({\u_logic/_al_u3963_o ,\u_logic/Ya1ju6_lutinv }),
.c({\u_logic/_al_u3964_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/_al_u3965_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u3966_o ,\u_logic/Nu9ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~C*~(~D*B*A))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~C*~(~D*B*A))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0000111100000111),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0000111100000111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3968|u_logic/_al_u1757 (
.a({\u_logic/_al_u1684_o ,open_n159109}),
.b({\u_logic/Xc2ju6_lutinv ,\u_logic/Aujpw6 }),
.c({\u_logic/_al_u1463_o ,\u_logic/Vygax6 }),
.d({\u_logic/Vygax6 ,\u_logic/Mfjiu6 }),
.f({\u_logic/Ab2ju6 ,\u_logic/_al_u1757_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17268)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(B*~A))"),
//.LUTF1("(A*~(C*~(~D*B)))"),
//.LUTG0("(~(~D*~C)*~(B*~A))"),
//.LUTG1("(A*~(C*~(~D*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101110110000),
.INIT_LUTF1(16'b0000101010001010),
.INIT_LUTG0(16'b1011101110110000),
.INIT_LUTG1(16'b0000101010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3971|u_logic/Aujpw6_reg (
.a({\u_logic/_al_u3966_o ,\u_logic/_al_u2736_o }),
.b({\u_logic/Ta2ju6_lutinv ,\u_logic/_al_u2751_o }),
.c({\u_logic/Qe8iu6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u3970_o ,\u_logic/Aujpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u3971_o ,open_n159151}),
.q({open_n159155,\u_logic/Aujpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17268)
// ../rtl/topmodule/cortexm0ds_logic.v(17262)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*~D)"),
//.LUTF1("(0*~(D*C*~B*~A))"),
//.LUTG0("~(C*~B*~D)"),
//.LUTG1("(1*~(D*C*~B*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111001111),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111111111001111),
.INIT_LUTG1(16'b1110111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3976|u_logic/Isjpw6_reg (
.a({\u_logic/_al_u3462_o ,open_n159156}),
.b({\u_logic/_al_u3374_o ,\u_logic/_al_u3374_o }),
.c({\u_logic/_al_u3478_o ,\u_logic/_al_u3478_o }),
.ce(\u_logic/Kt4iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3975_o ,\u_logic/_al_u3462_o }),
.e({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,open_n159157}),
.sr(RSTn_pad),
.f({\u_logic/Kt4iu6 ,open_n159172}),
.q({open_n159176,\u_logic/Isjpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17262)
// ../rtl/topmodule/cortexm0ds_logic.v(18194)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(~C*~(D)*~(A)+~C*D*~(A)+~(~C)*D*A+~C*D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0100000011001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0100000011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3978|u_logic/Xwaax6_reg (
.a({\u_logic/n5754 ,open_n159177}),
.b({HADDR[10],open_n159178}),
.c({\u_logic/_al_u2620_o ,\u_logic/M8ipw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Yf1qw6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u3978_o ,\u_logic/O34iu6 }),
.q({open_n159199,\u_logic/Xwaax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18194)
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*A*~(0*~D))"),
//.LUTF1("(A*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
//.LUTG0("(~C*B*A*~(1*~D))"),
//.LUTG1("(A*~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
.INIT_LUTF0(16'b0000100000001000),
.INIT_LUTF1(16'b0010000010101000),
.INIT_LUTG0(16'b0000100000000000),
.INIT_LUTG1(16'b0010000010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u3980|u_logic/_al_u3991 (
.a({\u_logic/_al_u3978_o ,\u_logic/_al_u3978_o }),
.b({\u_logic/n5754 ,\u_logic/_al_u3989_o }),
.c({\u_logic/_al_u2530_o ,\u_logic/_al_u3990_o }),
.d({\u_logic/_al_u3979_o ,HADDR[2]}),
.e({open_n159202,HADDR[3]}),
.f({\u_logic/_al_u3980_o ,\u_logic/_al_u3991_o }));
// ../rtl/AHBsubordinate/AHBlite_UART.v(32)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~((D*A))+~B*C*~((D*A))+~(~B)*C*(D*A)+~B*C*(D*A))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~B*~(C)*~((D*A))+~B*C*~((D*A))+~(~B)*C*(D*A)+~B*C*(D*A))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011000100110011),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1011000100110011),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3981|UART_Interface/reg0_b2 (
.a({open_n159223,\u_logic/_al_u2753_o }),
.b({\u_logic/_al_u2757_o ,\u_logic/_al_u2757_o }),
.c({\u_logic/Bf3qw6 ,\u_logic/_al_u2773_o }),
.ce(\UART_Interface/n5 ),
.clk(clk_pad),
.d({\u_logic/_al_u2753_o ,\u_logic/Krzhu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u3981_o ,HADDR[2]}),
.q({open_n159243,\UART_Interface/addr_reg [2]})); // ../rtl/AHBsubordinate/AHBlite_UART.v(32)
// ../rtl/topmodule/cortexm0ds_logic.v(18426)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
//.LUTG0("(C*D)"),
//.LUTG1("(A*(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000101000000010),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000101000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u3982|u_logic/Wvgax6_reg (
.a({\u_logic/_al_u3981_o ,open_n159244}),
.b({\u_logic/n5754 ,open_n159245}),
.c({\u_logic/_al_u2526_o ,\u_logic/Krzhu6_lutinv }),
.ce(\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ),
.clk(clk_pad),
.d({\u_logic/B79bx6 ,\u_logic/_al_u2753_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u3982_o ,\u_logic/n5754 }),
.q({open_n159265,\u_logic/Wvgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18426)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u398|u_logic/_al_u2715 (
.b({open_n159268,\u_logic/R3vpw6 }),
.c({\u_logic/Ydopw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/T1vpw6 ,\u_logic/Y40ju6 }),
.f({\u_logic/_al_u398_o ,\u_logic/_al_u2715_o }));
EG_PHY_MSLICE #(
//.LUT0("~((C*~B)*~((~0*D))*~(A)+(C*~B)*(~0*D)*~(A)+~((C*~B))*(~0*D)*A+(C*~B)*(~0*D)*A)"),
//.LUT1("~((C*~B)*~((~1*D))*~(A)+(C*~B)*(~1*D)*~(A)+~((C*~B))*(~1*D)*A+(C*~B)*(~1*D)*A)"),
.INIT_LUT0(16'b0100010111101111),
.INIT_LUT1(16'b1110111111101111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3990 (
.a({\u_logic/n5754 ,\u_logic/n5754 }),
.b({\u_logic/_al_u2526_o ,\u_logic/_al_u2526_o }),
.c({\u_logic/_al_u2605_o ,\u_logic/_al_u2605_o }),
.d({\u_logic/B79bx6 ,\u_logic/B79bx6 }),
.mi({open_n159305,\u_logic/Bf3qw6 }),
.fx({open_n159310,\u_logic/_al_u3990_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17494)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*B))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3993|u_logic/X5opw6_reg (
.a({\u_logic/_al_u2486_o ,open_n159313}),
.b({\u_logic/_al_u2490_o ,\u_logic/Oh4iu6 }),
.c({\u_logic/_al_u2494_o ,\u_logic/Wvgax6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/_al_u2581_o ,\u_logic/_al_u1181_o }),
.f({\u_logic/_al_u3993_o ,HWDATA[5]}),
.q({open_n159330,\u_logic/X5opw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17494)
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*B*A)"),
//.LUT1("(1*D*C*B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u3994 (
.a({\u_logic/_al_u3993_o ,\u_logic/_al_u3993_o }),
.b({\u_logic/_al_u2456_o ,\u_logic/_al_u2456_o }),
.c({\u_logic/_al_u2478_o ,\u_logic/_al_u2478_o }),
.d({\u_logic/_al_u2482_o ,\u_logic/_al_u2482_o }),
.mi({open_n159343,\u_logic/_al_u2498_o }),
.fx({open_n159348,\u_logic/_al_u3994_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19992)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(0*~D*~C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(1*~D*~C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u3996|u_logic/Xdebx6_reg (
.a({\u_logic/_al_u3994_o ,open_n159351}),
.b({\u_logic/_al_u3995_o ,open_n159352}),
.c({\u_logic/_al_u2534_o ,\u_logic/H0ebx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/_al_u2538_o ,\u_logic/Iqzhu6_lutinv }),
.e({\u_logic/_al_u2571_o ,open_n159353}),
.f({\u_logic/In9iu6 ,\u_logic/I74iu6 }),
.q({open_n159372,\u_logic/Xdebx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19992)
EG_PHY_MSLICE #(
//.LUT0("(D*(C@B))"),
//.LUT1("(C*B*~D)"),
.INIT_LUT0(16'b0011110000000000),
.INIT_LUT1(16'b0000000011000000),
.MODE("LOGIC"))
\u_logic/_al_u3998|u_logic/_al_u2959 (
.b({HADDR[15],\u_logic/_al_u2773_o }),
.c({HSIZE[1],\u_logic/Kl8ax6 }),
.d({\u_logic/_al_u3997_o ,\u_logic/Nr4iu6_lutinv }),
.f({\u_logic/_al_u3998_o ,\u_logic/_al_u2959_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u3999|u_logic/_al_u3975 (
.b({open_n159397,\u_logic/_al_u411_o }),
.c({\u_logic/n1481 ,\u_logic/DBGRESTARTED }),
.d({\u_logic/_al_u2968_o ,\u_logic/Tu4iu6 }),
.f({\u_logic/_al_u3999_o ,\u_logic/_al_u3975_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(~C*~B*~D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0000000000000011),
.MODE("LOGIC"))
\u_logic/_al_u399|u_logic/_al_u1471 (
.b({\u_logic/_al_u397_o ,open_n159420}),
.c({\u_logic/_al_u398_o ,\u_logic/Ufopw6 }),
.d({\u_logic/_al_u395_o ,\u_logic/Aujpw6 }),
.f({\u_logic/Gpyiu6 ,\u_logic/Yi7ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u3|u_logic/_al_u9 (
.c({\u_logic/Vzjpw6 ,\u_logic/Ysiax6 }),
.d({\u_logic/E8iax6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/Vnfpw6 [0],\u_logic/Vnfpw6 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4000|u_logic/_al_u2468 (
.a({open_n159465,\u_logic/Rzciu6_lutinv }),
.b({\u_logic/_al_u2963_o ,\u_logic/R9yax6 }),
.c({\u_logic/S18iu6 ,\u_logic/W5ypw6 }),
.d({\u_logic/_al_u3999_o ,\u_logic/Ztupw6 }),
.f({\u_logic/_al_u4000_o ,\u_logic/Ar1iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(~(~C*~B)*(D@A)))"),
//.LUTF1("(~0*~((D@C)*~(~B*~A)))"),
//.LUTG0("(~1*~(~(~C*~B)*(D@A)))"),
//.LUTG1("(~1*~((D@C)*~(~B*~A)))"),
.INIT_LUTF0(16'b1010101101010111),
.INIT_LUTF1(16'b1111000100011111),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4002|u_logic/_al_u4008 (
.a({\u_logic/Nr4iu6_lutinv ,HADDR[5]}),
.b({\u_logic/_al_u4000_o ,\u_logic/Nr4iu6_lutinv }),
.c({HADDR[10],\u_logic/_al_u4000_o }),
.d({HADDR[3],HADDR[3]}),
.e({\u_logic/_al_u4001_o ,\u_logic/_al_u4007_o }),
.f({\u_logic/_al_u4002_o ,\u_logic/_al_u4008_o }));
// ../rtl/demodulation/FM_HW.v(95)
EG_PHY_MSLICE #(
//.LUT0("(~D)"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000011111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4004|FM_HW/reg0_b1 (
.c({\u_logic/Ztupw6 ,open_n159516}),
.clk(\FM_HW/EOC_gclk_net ),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\FM_HW/Channel [1]}),
.sr(RSTn_pad),
.f({\u_logic/_al_u4004_o ,open_n159530}),
.q({open_n159534,\FM_HW/Channel [1]})); // ../rtl/demodulation/FM_HW.v(95)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4007|u_logic/_al_u2274 (
.a({open_n159535,\u_logic/_al_u2273_o }),
.b({open_n159536,HWDATA[20]}),
.c({\u_logic/R9yax6 ,HWDATA[21]}),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,HWDATA[22]}),
.e({open_n159539,HWDATA[23]}),
.f({\u_logic/_al_u4007_o ,\u_logic/_al_u2274_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~D)*~(~A*~(~C*~B)))"),
//.LUTF1("(~(0*~D)*~(~C*~(~B*~A)))"),
//.LUTG0("(~(1*~D)*~(~A*~(~C*~B)))"),
//.LUTG1("(~(1*~D)*~(~C*~(~B*~A)))"),
.INIT_LUTF0(16'b1010101110101011),
.INIT_LUTF1(16'b1111000111110001),
.INIT_LUTG0(16'b1010101100000000),
.INIT_LUTG1(16'b1111000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4012|u_logic/_al_u4010 (
.a({\u_logic/Nr4iu6_lutinv ,HADDR[4]}),
.b({\u_logic/_al_u4000_o ,\u_logic/Nr4iu6_lutinv }),
.c({HADDR[8],\u_logic/_al_u4000_o }),
.d({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.e({\u_logic/Yzspw6 ,\u_logic/I5xax6 }),
.f({\u_logic/_al_u4012_o ,\u_logic/_al_u4010_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(B*~(~C*~(~D*~A)))"),
.INIT_LUT0(16'b1010000111100101),
.INIT_LUT1(16'b1100000011000100),
.MODE("LOGIC"))
\u_logic/_al_u4014|u_logic/_al_u2441 (
.a({\u_logic/X8ziu6_lutinv ,\u_logic/P14qw6 }),
.b({\u_logic/P14qw6 ,\u_logic/Rwjax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Skjax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/_al_u4014_o ,\u_logic/_al_u2441_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*A*~(C*~B))"),
//.LUTF1("(B*~(~D*C*A))"),
//.LUTG0("(D*A*~(C*~B))"),
//.LUTG1("(B*~(~D*C*A))"),
.INIT_LUTF0(16'b1000101000000000),
.INIT_LUTF1(16'b1100110001001100),
.INIT_LUTG0(16'b1000101000000000),
.INIT_LUTG1(16'b1100110001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4015|u_logic/_al_u1822 (
.a({\u_logic/J8ziu6 ,\u_logic/Yo1ju6 }),
.b({\u_logic/_al_u4014_o ,\u_logic/_al_u1820_o }),
.c({\u_logic/_al_u2376_o ,\u_logic/_al_u1821_o }),
.d({\u_logic/Jgxpw6 ,\u_logic/Dxvpw6 }),
.f({\u_logic/_al_u4015_o ,\u_logic/_al_u1822_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(~C*B))"),
//.LUT1("(B*~(~C*~D))"),
.INIT_LUT0(16'b1111001100000000),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"))
\u_logic/_al_u4018|u_logic/_al_u2717 (
.b({\u_logic/Jiiiu6 ,\u_logic/Qs0ju6_lutinv }),
.c({\u_logic/P14qw6 ,\u_logic/N4kax6 }),
.d({\u_logic/_al_u4017_o ,\u_logic/Wh0ju6 }),
.f({\u_logic/E9ziu6_lutinv ,\u_logic/_al_u2717_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*~B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1010101010101000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4021|u_logic/_al_u2325 (
.a({open_n159648,\u_logic/_al_u1610_o }),
.b({open_n159649,\u_logic/Dxvpw6 }),
.c({\u_logic/_al_u1610_o ,\u_logic/P14qw6 }),
.d({\u_logic/_al_u1087_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u4021_o ,\u_logic/_al_u2325_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(C*B*~D)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(C*B*~D)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000000011000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4023|u_logic/_al_u2573 (
.a({open_n159670,\u_logic/_al_u1087_o }),
.b({\u_logic/_al_u155_o ,\u_logic/_al_u394_o }),
.c({\u_logic/P5vpw6 ,\u_logic/Irmpw6 }),
.d({\u_logic/_al_u2148_o ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u4023_o ,\u_logic/_al_u2573_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(D)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(A)"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("LUTF"),
.LSFXMUX1("LUTG"),
.MODE("LOGIC"))
\u_logic/_al_u4025 (
.a({open_n159695,\u_logic/_al_u4023_o }),
.b({open_n159696,\u_logic/Oeziu6 }),
.c({open_n159697,\u_logic/_al_u2154_o }),
.d({open_n159700,\u_logic/_al_u4024_o }),
.f({open_n159718,\u_logic/_al_u4025_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~(D*C)*~(B*A))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~(D*C)*~(B*A))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000011101110111),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000011101110111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4026|u_logic/_al_u1678 (
.a({\u_logic/N3ziu6 ,\u_logic/_al_u705_o }),
.b({\u_logic/_al_u142_o ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/_al_u145_o ,\u_logic/M8fax6 }),
.d({\u_logic/D1piu6_lutinv ,\u_logic/U9ypw6 }),
.f({\u_logic/A0ziu6 ,\u_logic/Ujjiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u4027|u_logic/_al_u4036 (
.a({open_n159748,\u_logic/_al_u4031_o }),
.b({\u_logic/_al_u1662_o ,\u_logic/_al_u4033_o }),
.c({\u_logic/_al_u1675_o ,\u_logic/Rcziu6 }),
.d({\u_logic/A0ziu6 ,\u_logic/_al_u4035_o }),
.f({\u_logic/_al_u4027_o ,\u_logic/_al_u4036_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(A*~(D*C*B))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(A*~(D*C*B))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b0010101010101010),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b0010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4028|u_logic/_al_u1786 (
.a({\u_logic/_al_u4027_o ,open_n159769}),
.b({\u_logic/J1ziu6 ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/_al_u1221_o ,\u_logic/Vzupw6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Nu9ow6 }),
.f({\u_logic/_al_u4028_o ,\u_logic/Ot7ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*B*D)"),
//.LUTF1("(C*A*~(~D*~B))"),
//.LUTG0("(~C*B*D)"),
//.LUTG1("(C*A*~(~D*~B))"),
.INIT_LUTF0(16'b0000110000000000),
.INIT_LUTF1(16'b1010000010000000),
.INIT_LUTG0(16'b0000110000000000),
.INIT_LUTG1(16'b1010000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4031|u_logic/_al_u2302 (
.a({\u_logic/_al_u4025_o ,open_n159794}),
.b({\u_logic/_al_u4028_o ,\u_logic/P0kax6 }),
.c({\u_logic/Mdziu6_lutinv ,\u_logic/Ssjax6 }),
.d({\u_logic/Vygax6 ,\u_logic/J9kiu6_lutinv }),
.f({\u_logic/_al_u4031_o ,\u_logic/_al_u2302_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*~(D*~A)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(~C*~(~B*~(D*~A)))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000110100001100),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0000110100001100),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4032|u_logic/_al_u1681 (
.a({open_n159819,\u_logic/Mmjiu6_lutinv }),
.b({\u_logic/Daiax6 ,\u_logic/Ae0iu6_lutinv }),
.c({\u_logic/Ufopw6 ,\u_logic/Aujpw6 }),
.d({\u_logic/Y0jiu6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u4032_o ,\u_logic/_al_u1681_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~A*~(~D*C)))"),
//.LUT1("(~D*~C*~B*A)"),
.INIT_LUT0(16'b1000100011001000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"))
\u_logic/_al_u4033|u_logic/_al_u1829 (
.a({\u_logic/Veziu6 ,\u_logic/_al_u718_o }),
.b({\u_logic/_al_u1069_o ,\u_logic/Ia8iu6_lutinv }),
.c({\u_logic/_al_u1103_o ,\u_logic/J9kiu6_lutinv }),
.d({\u_logic/_al_u4032_o ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u4033_o ,\u_logic/Habiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(D*C))"),
//.LUT1("(~C*~(D*B*A))"),
.INIT_LUT0(16'b0000001000100010),
.INIT_LUT1(16'b0000011100001111),
.MODE("LOGIC"))
\u_logic/_al_u4034|u_logic/_al_u4035 (
.a({\u_logic/A95iu6_lutinv ,\u_logic/Htyiu6 }),
.b({\u_logic/Xuyiu6_lutinv ,\u_logic/_al_u4034_o }),
.c({\u_logic/_al_u400_o ,\u_logic/Dxvpw6 }),
.d({\u_logic/Dxvpw6 ,\u_logic/Sojax6 }),
.f({\u_logic/_al_u4034_o ,\u_logic/_al_u4035_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4039|u_logic/_al_u2222 (
.b({open_n159886,\u_logic/_al_u1062_o }),
.c({\u_logic/Nt9bx6 ,\u_logic/Qf4bx6 }),
.d({\u_logic/Lr9bx6 ,\u_logic/_al_u1891_o }),
.f({\u_logic/_al_u4039_o ,\u_logic/_al_u2222_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4041|u_logic/_al_u4044 (
.a({open_n159911,\u_logic/C10bx6 }),
.b({open_n159912,\u_logic/Lr9bx6 }),
.c({\u_logic/Mk3bx6 ,\u_logic/Nt9bx6 }),
.d({\u_logic/Czzax6 ,\u_logic/Qo3bx6 }),
.f({\u_logic/_al_u4041_o ,\u_logic/_al_u4044_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19680)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4042|u_logic/E05bx6_reg (
.c({\u_logic/Ikhbx6 ,\u_logic/X5upw6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Gihbx6 ,\u_logic/Jz2bx6 }),
.mi({open_n159951,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4042_o ,\u_logic/_al_u4178_o }),
.q({open_n159955,\u_logic/E05bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19680)
EG_PHY_LSLICE #(
//.LUTF0("(C*~B*D)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(C*~B*D)"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0011000000000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0011000000000000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4046|u_logic/_al_u2199 (
.b({\u_logic/Czzax6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Mk3bx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u4042_o ,\u_logic/_al_u1904_o }),
.f({\u_logic/_al_u4046_o ,\u_logic/G9fiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(D*~(C*~B))"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b1100111100000000),
.MODE("LOGIC"))
\u_logic/_al_u4048|u_logic/_al_u4043 (
.a({open_n159982,\u_logic/_al_u4041_o }),
.b({\u_logic/S3mpw6 ,\u_logic/_al_u4042_o }),
.c({\u_logic/Yryax6 ,\u_logic/S3mpw6 }),
.d({\u_logic/_al_u4041_o ,\u_logic/Yryax6 }),
.f({\u_logic/_al_u4048_o ,\u_logic/Oltow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111001101000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111001101000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4049|u_logic/_al_u4180 (
.a({open_n160003,\u_logic/Amsow6 }),
.b({open_n160004,\u_logic/_al_u4178_o }),
.c({\u_logic/Yryax6 ,\u_logic/C14bx6 }),
.d({\u_logic/S3mpw6 ,\u_logic/G54bx6 }),
.f({\u_logic/_al_u4049_o ,\u_logic/_al_u4180_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0100010000000000),
.INIT_LUT1(16'b1111111110110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4050 (
.a({\u_logic/_al_u4048_o ,\u_logic/_al_u4048_o }),
.b({\u_logic/_al_u4042_o ,\u_logic/_al_u4042_o }),
.c({\u_logic/_al_u4049_o ,\u_logic/_al_u4049_o }),
.d({\u_logic/Bcabx6 ,\u_logic/Bcabx6 }),
.mi({open_n160041,\u_logic/Vbspw6 }),
.fx({open_n160046,\u_logic/Rjtow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D)"),
//.LUT1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.INIT_LUT0(16'b0011010100001111),
.INIT_LUT1(16'b1101010011110101),
.MODE("LOGIC"))
\u_logic/_al_u4051|u_logic/_al_u2194 (
.a({\u_logic/Auyax6 ,\u_logic/Az3bx6 }),
.b({\u_logic/Cwyax6 ,\u_logic/G54bx6 }),
.c({\u_logic/Eyyax6 ,\u_logic/_al_u2193_o }),
.d({\u_logic/Tngbx6 ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/_al_u4051_o ,\u_logic/_al_u2194_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*D))"),
//.LUTF1("(B*~(~D*C*A))"),
//.LUTG0("(~C*~(~B*D))"),
//.LUTG1("(B*~(~D*C*A))"),
.INIT_LUTF0(16'b0000110000001111),
.INIT_LUTF1(16'b1100110001001100),
.INIT_LUTG0(16'b0000110000001111),
.INIT_LUTG1(16'b1100110001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4053|u_logic/_al_u4045 (
.a({\u_logic/_al_u4045_o ,open_n160069}),
.b({\u_logic/_al_u4047_o ,\u_logic/Oltow6_lutinv }),
.c({\u_logic/Rjtow6_lutinv ,\u_logic/_al_u4044_o }),
.d({\u_logic/Yjtow6_lutinv ,\u_logic/Hltow6_lutinv }),
.f({\u_logic/_al_u4053_o ,\u_logic/_al_u4045_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4054|u_logic/_al_u3224 (
.a({open_n160094,\u_logic/Yvgiu6 }),
.b({open_n160095,\u_logic/I3fiu6 }),
.c({\u_logic/Owhbx6 ,\u_logic/Bcabx6 }),
.d({\u_logic/Muhbx6 ,\u_logic/Rv7ax6 }),
.f({\u_logic/Nhtow6 ,\u_logic/_al_u3224_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4055|u_logic/_al_u1887 (
.c({\u_logic/N5bbx6 ,\u_logic/Ukbpw6_lutinv }),
.d({\u_logic/L1bbx6 ,\u_logic/_al_u1886_o }),
.f({\u_logic/_al_u4055_o ,\u_logic/_al_u1887_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*~B*~A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000000001),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4057|u_logic/_al_u3086 (
.a({open_n160140,\u_logic/Aa2bx6 }),
.b({open_n160141,\u_logic/Bc3bx6 }),
.c({\u_logic/Cxzax6 ,\u_logic/Dt1bx6 }),
.d({\u_logic/Aa2bx6 ,\u_logic/Dv2bx6 }),
.f({\u_logic/_al_u4057_o ,\u_logic/_al_u3086_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19218)
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111000011111000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4059|u_logic/Jj0bx6_reg (
.a({\u_logic/Aa2bx6 ,HWDATA[17]}),
.b({\u_logic/C3wpw6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/Cxzax6 ,\u_logic/Jj0bx6 }),
.clk(clk_pad),
.d({\u_logic/U31bx6 ,\u_logic/Ztupw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4059_o ,open_n160175}),
.q({open_n160179,\u_logic/Jj0bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19218)
// ../rtl/topmodule/cortexm0ds_logic.v(18179)
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(D*C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u405|u_logic/W4aax6_reg (
.a({\u_logic/H0ebx6 ,open_n160180}),
.b({\u_logic/Jvkpw6 ,open_n160181}),
.c({\u_logic/Lhbbx6 ,\u_logic/Bp2qw6 }),
.ce(\u_logic/n530 ),
.clk(clk_pad),
.d({\u_logic/Ojebx6 ,\u_logic/Iqzhu6_lutinv }),
.f({\u_logic/_al_u405_o ,\u_logic/K84iu6 }),
.q({open_n160202,\u_logic/W4aax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18179)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*B*~A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~(~D*B*~A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100001011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100001011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4062|u_logic/_al_u4047 (
.a({open_n160203,\u_logic/Hltow6_lutinv }),
.b({open_n160204,\u_logic/Oltow6_lutinv }),
.c({\u_logic/_al_u4044_o ,\u_logic/_al_u4046_o }),
.d({\u_logic/_al_u4046_o ,\u_logic/_al_u4044_o }),
.f({\u_logic/_al_u4062_o ,\u_logic/_al_u4047_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*(D*~(0)*~(A)+D*0*~(A)+~(D)*0*A+D*0*A)))"),
//.LUT1("(~C*~(B*(D*~(1)*~(A)+D*1*~(A)+~(D)*1*A+D*1*A)))"),
.INIT_LUT0(16'b0000101100001111),
.INIT_LUT1(16'b0000001100000111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4063 (
.a({\u_logic/_al_u4053_o ,\u_logic/_al_u4053_o }),
.b({\u_logic/_al_u4060_o ,\u_logic/_al_u4060_o }),
.c({\u_logic/_al_u4062_o ,\u_logic/_al_u4062_o }),
.d({\u_logic/Hltow6_lutinv ,\u_logic/Hltow6_lutinv }),
.mi({open_n160241,\u_logic/Oltow6_lutinv }),
.fx({open_n160246,\u_logic/_al_u4063_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1)"),
.INIT_LUT0(16'b0000011100000011),
.INIT_LUT1(16'b0101011100010011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4064 (
.a({\u_logic/Xttow6_lutinv ,\u_logic/Xttow6_lutinv }),
.b({\u_logic/Nhtow6 ,\u_logic/Nhtow6 }),
.c({\u_logic/_al_u4055_o ,\u_logic/_al_u4055_o }),
.d({\u_logic/Tgzax6 ,\u_logic/Tgzax6 }),
.mi({open_n160261,\u_logic/Vkzax6 }),
.fx({open_n160266,\u_logic/_al_u4064_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*~(C*~B*~A))"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*~(C*~B*~A))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000011101111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000011101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4065|u_logic/_al_u2202 (
.a({\u_logic/_al_u4064_o ,open_n160269}),
.b({\u_logic/Eutow6_lutinv ,open_n160270}),
.c({\u_logic/Xttow6_lutinv ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u4059_o ,\u_logic/U5cpw6 }),
.f({\u_logic/_al_u4065_o ,\u_logic/_al_u2202_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(D*~(~C*B))"),
.INIT_LUT0(16'b1111010000110000),
.INIT_LUT1(16'b1111001100000000),
.MODE("LOGIC"))
\u_logic/_al_u4069|u_logic/_al_u4056 (
.a({open_n160295,\u_logic/Nhtow6 }),
.b({\u_logic/Tgzax6 ,\u_logic/_al_u4055_o }),
.c({\u_logic/Vkzax6 ,\u_logic/Tgzax6 }),
.d({\u_logic/Nhtow6 ,\u_logic/Vkzax6 }),
.f({\u_logic/_al_u4069_o ,\u_logic/Eutow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b1100110011100000),
.INIT_LUTF1(16'b1101100011010000),
.INIT_LUTG0(16'b1100110011100000),
.INIT_LUTG1(16'b1101100011010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4072|u_logic/_al_u4155 (
.a({\u_logic/_al_u4065_o ,\u_logic/_al_u4148_o }),
.b({\u_logic/Altow6_lutinv ,\u_logic/_al_u4149_o }),
.c({\u_logic/Tktow6_lutinv ,\u_logic/J2sow6_lutinv }),
.d({\u_logic/_al_u4064_o ,\u_logic/_al_u4152_o }),
.f({\u_logic/_al_u4072_o ,\u_logic/Gxrow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u4074|u_logic/_al_u2226 (
.b({\u_logic/Nhtow6 ,\u_logic/_al_u1062_o }),
.c({\u_logic/_al_u4055_o ,\u_logic/Unyax6 }),
.d({\u_logic/_al_u4059_o ,\u_logic/_al_u1926_o }),
.f({\u_logic/_al_u4074_o ,\u_logic/_al_u2226_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1)"),
.INIT_LUT0(16'b0000011111001111),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4075 (
.a({\u_logic/_al_u4061_o ,\u_logic/_al_u4061_o }),
.b({\u_logic/_al_u4063_o ,\u_logic/_al_u4063_o }),
.c({\u_logic/_al_u4072_o ,\u_logic/_al_u4072_o }),
.d({\u_logic/Q8tow6_lutinv ,\u_logic/Q8tow6_lutinv }),
.mi({open_n160374,\u_logic/_al_u4074_o }),
.fx({open_n160379,\u_logic/Kctow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4076|u_logic/_al_u4083 (
.b({open_n160384,\u_logic/Fb0bx6 }),
.c({\u_logic/Yxrpw6 ,\u_logic/Rk1bx6 }),
.d({\u_logic/Hf0bx6 ,\u_logic/_al_u4080_o }),
.f({\u_logic/_al_u4076_o ,\u_logic/_al_u4083_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(~B*D))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000110000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000110000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4078|u_logic/_al_u4115 (
.b({open_n160411,\u_logic/_al_u4082_o }),
.c({\u_logic/_al_u4077_o ,\u_logic/_al_u4083_o }),
.d({\u_logic/_al_u4076_o ,\u_logic/_al_u4081_o }),
.f({\u_logic/_al_u4078_o ,\u_logic/_al_u4115_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4079|u_logic/_al_u4090 (
.b({open_n160438,\u_logic/C30bx6 }),
.c({\u_logic/Rk1bx6 ,\u_logic/Us3bx6 }),
.d({\u_logic/Fb0bx6 ,\u_logic/_al_u4086_o }),
.f({\u_logic/_al_u4079_o ,\u_logic/_al_u4090_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4086|u_logic/_al_u4088 (
.c({\u_logic/Tkjbx6 ,\u_logic/Fc1bx6 }),
.d({\u_logic/Rijbx6 ,\u_logic/C50bx6 }),
.f({\u_logic/_al_u4086_o ,\u_logic/_al_u4088_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~B*~(C*~A))"),
//.LUT1("(A*~(B)*C*~(D)+~(A)*~(B)*~(C)*D+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b0010001100000000),
.INIT_LUT1(16'b1111010100100000),
.MODE("LOGIC"))
\u_logic/_al_u4087|u_logic/_al_u4112 (
.a({\u_logic/_al_u4085_o ,\u_logic/E05bx6 }),
.b({\u_logic/_al_u4086_o ,\u_logic/G25bx6 }),
.c({\u_logic/I74bx6 ,\u_logic/I45bx6 }),
.d({\u_logic/Mb4bx6 ,\u_logic/X7abx6 }),
.f({\u_logic/Vkuow6_lutinv ,\u_logic/_al_u4112_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4089|u_logic/_al_u1932 (
.a({open_n160503,HWDATA[23]}),
.b({\u_logic/D70bx6 ,\u_logic/K66iu6 }),
.c({\u_logic/Lg1bx6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/_al_u4088_o ,\u_logic/Xq2bx6 }),
.f({\u_logic/_al_u4089_o ,\u_logic/_al_u1932_o }));
EG_PHY_MSLICE #(
//.LUT0("~(B*~((D*~C))*~(A)+B*(D*~C)*~(A)+~(B)*(D*~C)*A+B*(D*~C)*A)"),
//.LUT1("(A*B*~(C)*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1011000110111011),
.INIT_LUT1(16'b1111101000001000),
.MODE("LOGIC"))
\u_logic/_al_u4092|u_logic/_al_u4355 (
.a({\u_logic/Vkuow6_lutinv ,\u_logic/Digow6_lutinv }),
.b({\u_logic/_al_u4089_o ,\u_logic/_al_u4354_o }),
.c({\u_logic/_al_u4090_o ,\u_logic/_al_u4108_o }),
.d({\u_logic/_al_u4091_o ,\u_logic/_al_u4077_o }),
.f({\u_logic/X7uow6_lutinv ,\u_logic/_al_u4355_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~B*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4093|u_logic/_al_u4118 (
.b({open_n160546,\u_logic/_al_u4076_o }),
.c({\u_logic/_al_u4090_o ,\u_logic/_al_u4077_o }),
.d({\u_logic/_al_u4089_o ,\u_logic/_al_u4083_o }),
.f({\u_logic/_al_u4093_o ,\u_logic/_al_u4118_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b1111000011100100),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4094|u_logic/_al_u4120 (
.a({open_n160567,\u_logic/_al_u4119_o }),
.b({\u_logic/X7uow6_lutinv ,\u_logic/_al_u4107_o }),
.c({\u_logic/_al_u4093_o ,\u_logic/_al_u4117_o }),
.d({\u_logic/_al_u4084_o ,\u_logic/_al_u4093_o }),
.f({\u_logic/_al_u4094_o ,\u_logic/L9tow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~B*~(C*~(D)*~(A)+C*D*~(A)+~(C)*D*A+C*D*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000100100011),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000100100011),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4095|u_logic/_al_u4061 (
.a({open_n160588,\u_logic/_al_u4053_o }),
.b({open_n160589,\u_logic/_al_u4060_o }),
.c({\u_logic/_al_u4074_o ,\u_logic/Hltow6_lutinv }),
.d({\u_logic/_al_u4060_o ,\u_logic/Oltow6_lutinv }),
.f({\u_logic/_al_u4095_o ,\u_logic/_al_u4061_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*(~(~0*~C)*~(D)*~(A)+~(~0*~C)*D*~(A)+~(~(~0*~C))*D*A+~(~0*~C)*D*A))"),
//.LUT1("(~B*(~(~1*~C)*~(D)*~(A)+~(~1*~C)*D*~(A)+~(~(~1*~C))*D*A+~(~1*~C)*D*A))"),
.INIT_LUT0(16'b0011001000010000),
.INIT_LUT1(16'b0011001100010001),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4096 (
.a({\u_logic/_al_u4053_o ,\u_logic/_al_u4053_o }),
.b({\u_logic/_al_u4095_o ,\u_logic/_al_u4095_o }),
.c({\u_logic/Hltow6_lutinv ,\u_logic/Hltow6_lutinv }),
.d({\u_logic/Oltow6_lutinv ,\u_logic/Oltow6_lutinv }),
.mi({open_n160626,\u_logic/_al_u4044_o }),
.fx({open_n160631,\u_logic/_al_u4096_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*~(~D*~(~B*~A))))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~1*~(C*~(~D*~(~B*~A))))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111111101111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4097|u_logic/_al_u4296 (
.a({open_n160634,\u_logic/Rksow6_lutinv }),
.b({open_n160635,\u_logic/_al_u4193_o }),
.c({\u_logic/_al_u4074_o ,\u_logic/_al_u4196_o }),
.d({\u_logic/_al_u4062_o ,\u_logic/_al_u4197_o }),
.e({open_n160638,\u_logic/_al_u4198_o }),
.f({\u_logic/_al_u4097_o ,\u_logic/_al_u4296_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u409|u_logic/_al_u145 (
.c({\u_logic/Vzupw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/Aujpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/Pthiu6 ,\u_logic/_al_u145_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4100|u_logic/_al_u4038 (
.b({\u_logic/_al_u4085_o ,open_n160689}),
.c({\u_logic/_al_u4086_o ,\u_logic/Qo3bx6 }),
.d({\u_logic/_al_u4099_o ,\u_logic/C10bx6 }),
.f({\u_logic/_al_u4100_o ,\u_logic/_al_u4038_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u4101|u_logic/_al_u1909 (
.b({\u_logic/K94bx6 ,open_n160716}),
.c({\u_logic/Z9abx6 ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u4100_o ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/S8uow6_lutinv ,\u_logic/_al_u1909_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19446)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(B*~(D*C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b1000110011001100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4103|u_logic/Xq2bx6_reg (
.a({\u_logic/_al_u4102_o ,open_n160737}),
.b({\u_logic/_al_u4088_o ,\u_logic/_al_u1899_o }),
.c({\u_logic/D70bx6 ,\u_logic/_al_u1926_o }),
.clk(clk_pad),
.d({\u_logic/Lg1bx6 ,\u_logic/_al_u1932_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4103_o ,\u_logic/Szohu6 }),
.q({open_n160754,\u_logic/Xq2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19446)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~A*~(0*~D))"),
//.LUTF1("(~C*~(~B*~D))"),
//.LUTG0("(~C*~B*~A*~(1*~D))"),
//.LUTG1("(~C*~(~B*~D))"),
.INIT_LUTF0(16'b0000000100000001),
.INIT_LUTF1(16'b0000111100001100),
.INIT_LUTG0(16'b0000000100000000),
.INIT_LUTG1(16'b0000111100001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4106|u_logic/_al_u4114 (
.a({open_n160755,\u_logic/E8uow6_lutinv }),
.b({\u_logic/_al_u4089_o ,\u_logic/_al_u4111_o }),
.c({\u_logic/_al_u4090_o ,\u_logic/_al_u4113_o }),
.d({\u_logic/_al_u4105_o ,\u_logic/_al_u4081_o }),
.e({open_n160758,\u_logic/_al_u4082_o }),
.f({\u_logic/_al_u4106_o ,\u_logic/_al_u4114_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D)"),
//.LUTF1("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
//.LUTG0("~((~C*~B)*~(A)*~(D)+(~C*~B)*A*~(D)+~((~C*~B))*A*D+(~C*~B)*A*D)"),
//.LUTG1("~(B*~(D)*~(C)+B*D*~(C)+~(B)*D*C+B*D*C)"),
.INIT_LUTF0(16'b0101010111111100),
.INIT_LUTF1(16'b0000001111110011),
.INIT_LUTG0(16'b0101010111111100),
.INIT_LUTG1(16'b0000001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4107|u_logic/_al_u4117 (
.a({open_n160779,\u_logic/E8uow6_lutinv }),
.b({\u_logic/L8uow6_lutinv ,\u_logic/_al_u4111_o }),
.c({\u_logic/_al_u4106_o ,\u_logic/_al_u4113_o }),
.d({\u_logic/S8uow6_lutinv ,\u_logic/Digow6_lutinv }),
.f({\u_logic/_al_u4107_o ,\u_logic/_al_u4117_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(B*~(D)*~((0*~C))+~(B)*~(D)*(0*~C)+B*~(D)*(0*~C)+B*D*(0*~C)))"),
//.LUT1("(A*(B*~(D)*~((1*~C))+~(B)*~(D)*(1*~C)+B*~(D)*(1*~C)+B*D*(1*~C)))"),
.INIT_LUT0(16'b0000000010001000),
.INIT_LUT1(16'b0000100010001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4108 (
.a({\u_logic/_al_u4076_o ,\u_logic/_al_u4076_o }),
.b({\u_logic/K65bx6 ,\u_logic/K65bx6 }),
.c({\u_logic/M85bx6 ,\u_logic/M85bx6 }),
.d({\u_logic/Oa5bx6 ,\u_logic/Oa5bx6 }),
.mi({open_n160816,\u_logic/Pjgbx6 }),
.fx({open_n160821,\u_logic/_al_u4108_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*~(D)*~((B*~A))+C*D*~((B*~A))+~(C)*D*(B*~A)+C*D*(B*~A))"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b1111010010110000),
.MODE("LOGIC"))
\u_logic/_al_u4109|u_logic/_al_u4082 (
.a({\u_logic/_al_u4108_o ,\u_logic/_al_u4076_o }),
.b({\u_logic/_al_u4077_o ,\u_logic/_al_u4077_o }),
.c({\u_logic/M85bx6 ,\u_logic/K65bx6 }),
.d({\u_logic/Pjgbx6 ,\u_logic/Oa5bx6 }),
.f({\u_logic/E8uow6_lutinv ,\u_logic/_al_u4082_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111001101000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111001101000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4110|u_logic/_al_u4081 (
.a({open_n160844,\u_logic/_al_u4079_o }),
.b({open_n160845,\u_logic/_al_u4080_o }),
.c({\u_logic/I45bx6 ,\u_logic/E05bx6 }),
.d({\u_logic/E05bx6 ,\u_logic/I45bx6 }),
.f({\u_logic/_al_u4110_o ,\u_logic/_al_u4081_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(C*~(B*~(~D*~A))))"),
//.LUT1("(~1*~(C*~(B*~(~D*~A))))"),
.INIT_LUT0(16'b1100111110001111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4113 (
.a({\u_logic/_al_u4112_o ,\u_logic/_al_u4112_o }),
.b({\u_logic/_al_u4079_o ,\u_logic/_al_u4079_o }),
.c({\u_logic/_al_u4080_o ,\u_logic/_al_u4080_o }),
.d({\u_logic/_al_u4110_o ,\u_logic/_al_u4110_o }),
.mi({open_n160882,\u_logic/G25bx6 }),
.fx({open_n160887,\u_logic/_al_u4113_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~B)*~(~C*~A))"),
//.LUTF1("(~(~D*C)*~(B*~A))"),
//.LUTG0("(~(~D*~B)*~(~C*~A))"),
//.LUTG1("(~(~D*C)*~(B*~A))"),
.INIT_LUTF0(16'b1111101011001000),
.INIT_LUTF1(16'b1011101100001011),
.INIT_LUTG0(16'b1111101011001000),
.INIT_LUTG1(16'b1011101100001011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4116|u_logic/_al_u4084 (
.a({\u_logic/_al_u4114_o ,\u_logic/_al_u4078_o }),
.b({\u_logic/_al_u4115_o ,\u_logic/_al_u4081_o }),
.c({\u_logic/_al_u4078_o ,\u_logic/_al_u4082_o }),
.d({\u_logic/_al_u4083_o ,\u_logic/_al_u4083_o }),
.f({\u_logic/Digow6_lutinv ,\u_logic/_al_u4084_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*(~(A)*(C*~B)*~(D)+~(A)*~((C*~B))*D+~(A)*(C*~B)*D+A*(C*~B)*D))"),
//.LUT1("(~1*(~(A)*(C*~B)*~(D)+~(A)*~((C*~B))*D+~(A)*(C*~B)*D+A*(C*~B)*D))"),
.INIT_LUT0(16'b0111010100010000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4119 (
.a({\u_logic/_al_u4084_o ,\u_logic/_al_u4084_o }),
.b({\u_logic/_al_u4107_o ,\u_logic/_al_u4107_o }),
.c({\u_logic/_al_u4117_o ,\u_logic/_al_u4117_o }),
.d({\u_logic/X7uow6_lutinv ,\u_logic/X7uow6_lutinv }),
.mi({open_n160926,\u_logic/_al_u4118_o }),
.fx({open_n160931,\u_logic/_al_u4119_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4121|u_logic/_al_u4073 (
.a({open_n160934,\u_logic/_al_u4045_o }),
.b({open_n160935,\u_logic/_al_u4047_o }),
.c({\u_logic/_al_u4118_o ,\u_logic/Rjtow6_lutinv }),
.d({\u_logic/_al_u4093_o ,\u_logic/Yjtow6_lutinv }),
.f({\u_logic/_al_u4121_o ,\u_logic/Q8tow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(C*~(~D*B)))"),
//.LUT1("(~C*~(~B*D))"),
.INIT_LUT0(16'b0000101010001010),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"))
\u_logic/_al_u4122|u_logic/_al_u4098 (
.a({open_n160956,\u_logic/Kctow6_lutinv }),
.b({\u_logic/_al_u4096_o ,\u_logic/_al_u4094_o }),
.c({\u_logic/_al_u4121_o ,\u_logic/_al_u4096_o }),
.d({\u_logic/_al_u4094_o ,\u_logic/_al_u4097_o }),
.f({\u_logic/_al_u4122_o ,\u_logic/_al_u4098_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4123|u_logic/_al_u4204 (
.b({\u_logic/L9tow6 ,\u_logic/_al_u4175_o }),
.c({\u_logic/_al_u4122_o ,\u_logic/Tmrow6 }),
.d({\u_logic/_al_u4098_o ,\u_logic/_al_u4198_o }),
.f({\u_logic/_al_u4123_o ,\u_logic/_al_u4204_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000011001100),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4124|u_logic/_al_u4187 (
.b({open_n161005,\u_logic/E34bx6 }),
.c({\u_logic/Xq2bx6 ,\u_logic/Jdgbx6 }),
.d({\u_logic/Ot0bx6 ,\u_logic/_al_u4186_o }),
.f({\u_logic/_al_u4124_o ,\u_logic/Pqsow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4126|u_logic/_al_u2233 (
.b({open_n161028,\u_logic/_al_u1909_o }),
.c({\u_logic/Y0gbx6 ,\u_logic/Yw3bx6 }),
.d({\u_logic/B3gbx6 ,\u_logic/_al_u1935_o }),
.f({\u_logic/_al_u4126_o ,\u_logic/_al_u2233_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
.INIT_LUT0(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4127 (
.c({open_n161057,\u_logic/_al_u4126_o }),
.d({open_n161060,\u_logic/_al_u4125_o }),
.f({open_n161074,\u_logic/_al_u4127_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4128|u_logic/_al_u4159 (
.b({\u_logic/Lfgbx6 ,\u_logic/_al_u4144_o }),
.c({\u_logic/Unyax6 ,\u_logic/_al_u4145_o }),
.d({\u_logic/_al_u4127_o ,\u_logic/_al_u4152_o }),
.f({\u_logic/S3sow6_lutinv ,\u_logic/_al_u4159_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u4132|u_logic/_al_u4070 (
.b({\u_logic/Ohyax6 ,open_n161108}),
.c({\u_logic/T3abx6 ,\u_logic/Vkzax6 }),
.d({\u_logic/_al_u4131_o ,\u_logic/Tgzax6 }),
.f({\u_logic/Z3sow6_lutinv ,\u_logic/_al_u4070_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~((D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*~(A)+B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(A)+~(B)*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*A+B*(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*A)"),
//.LUTF1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(B*~((D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*~(A)+B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(A)+~(B)*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*A+B*(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*A)"),
//.LUTG1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0100111001000100),
.INIT_LUTF1(16'b1111001101000000),
.INIT_LUTG0(16'b1110111011100100),
.INIT_LUTG1(16'b1111001101000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4133|u_logic/_al_u4360 (
.a({\u_logic/_al_u4124_o ,\u_logic/_al_u4299_o }),
.b({\u_logic/_al_u4126_o ,\u_logic/Kigow6_lutinv }),
.c({\u_logic/Slyax6 ,\u_logic/_al_u4321_o }),
.d({\u_logic/Wpyax6 ,\u_logic/_al_u4359_o }),
.e({open_n161131,\u_logic/_al_u4067_o }),
.f({\u_logic/V1sow6_lutinv ,\u_logic/Efgow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUT1("(~((B*~A))*~(C)*~(D)+~((B*~A))*C*~(D)+(B*~A)*C*~(D)+~((B*~A))*C*D)"),
.INIT_LUT0(16'b1010101011100000),
.INIT_LUT1(16'b1011000011111011),
.MODE("LOGIC"))
\u_logic/_al_u4135|u_logic/_al_u4154 (
.a({\u_logic/S3sow6_lutinv ,\u_logic/V1sow6_lutinv }),
.b({\u_logic/Z3sow6_lutinv ,\u_logic/_al_u4136_o }),
.c({\u_logic/V1sow6_lutinv ,\u_logic/_al_u4134_o }),
.d({\u_logic/_al_u4134_o ,\u_logic/_al_u4137_o }),
.f({\u_logic/_al_u4135_o ,\u_logic/_al_u4154_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*B))"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0000000000111111),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4136|u_logic/_al_u4168 (
.b({\u_logic/Ot0bx6 ,\u_logic/Rz0bx6 }),
.c({\u_logic/Xq2bx6 ,\u_logic/Tcipw6 }),
.d({\u_logic/_al_u4126_o ,\u_logic/_al_u4167_o }),
.f({\u_logic/_al_u4136_o ,\u_logic/Tmrow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C)*~((~0*~(~D*~A)))+B*C*~((~0*~(~D*~A)))+~(B)*C*(~0*~(~D*~A))+B*C*(~0*~(~D*~A)))"),
//.LUT1("(B*~(C)*~((~1*~(~D*~A)))+B*C*~((~1*~(~D*~A)))+~(B)*C*(~1*~(~D*~A))+B*C*(~1*~(~D*~A)))"),
.INIT_LUT0(16'b1111000011100100),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4138 (
.a({\u_logic/_al_u4135_o ,\u_logic/_al_u4135_o }),
.b({\u_logic/S3sow6_lutinv ,\u_logic/S3sow6_lutinv }),
.c({\u_logic/Z3sow6_lutinv ,\u_logic/Z3sow6_lutinv }),
.d({\u_logic/_al_u4136_o ,\u_logic/_al_u4136_o }),
.mi({open_n161206,\u_logic/_al_u4137_o }),
.fx({open_n161211,\u_logic/_al_u4138_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19038)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*C*~A))"),
//.LUTF1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG0("(B*~(D*C*~A))"),
//.LUTG1("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000110011001100),
.INIT_LUTF1(16'b1101010011110101),
.INIT_LUTG0(16'b1000110011001100),
.INIT_LUTG1(16'b1101010011110101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4139|u_logic/Auyax6_reg (
.a({\u_logic/H4zax6 ,\u_logic/_al_u4139_o }),
.b({\u_logic/J6zax6 ,\u_logic/_al_u4140_o }),
.c({\u_logic/L8zax6 ,\u_logic/Dt1bx6 }),
.ce(\u_logic/n1116 ),
.clk(clk_pad),
.d({\u_logic/V5abx6 ,\u_logic/Jj0bx6 }),
.mi({open_n161217,HWDATA[23]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4139_o ,\u_logic/_al_u4141_o }),
.q({open_n161232,\u_logic/Auyax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19038)
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*A)"),
//.LUT1("(~D*C*~B*~A)"),
.INIT_LUT0(16'b0000000010000000),
.INIT_LUT1(16'b0000000000010000),
.MODE("LOGIC"))
\u_logic/_al_u413|u_logic/_al_u423 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Voqow6 ,\u_logic/Eqqow6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUT1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b1000101010000000),
.INIT_LUT1(16'b1111000011001100),
.MODE("LOGIC"))
\u_logic/_al_u4142|u_logic/_al_u2213 (
.a({open_n161253,\u_logic/_al_u2202_o }),
.b({\u_logic/J6zax6 ,\u_logic/Aw4bx6 }),
.c({\u_logic/V5abx6 ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/_al_u4141_o ,\u_logic/Hbgbx6 }),
.f({\u_logic/L3sow6_lutinv ,\u_logic/_al_u2213_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTF1("(~(A)*~((~C*B))*~(D)+~(A)*~((~C*B))*D+A*~((~C*B))*D+~(A)*(~C*B)*D)"),
//.LUTG0("(A*(D*~(B)*~(C)+D*B*~(C)+~(D)*B*C+D*B*C))"),
//.LUTG1("(~(A)*~((~C*B))*~(D)+~(A)*~((~C*B))*D+A*~((~C*B))*D+~(A)*(~C*B)*D)"),
.INIT_LUTF0(16'b1000101010000000),
.INIT_LUTF1(16'b1111011101010001),
.INIT_LUTG0(16'b1000101010000000),
.INIT_LUTG1(16'b1111011101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4143|u_logic/_al_u2203 (
.a({\u_logic/Nazax6 ,\u_logic/_al_u2202_o }),
.b({\u_logic/Nhgbx6 ,\u_logic/Cy4bx6 }),
.c({\u_logic/Pczax6 ,\u_logic/vis_ipsr_o[0] }),
.d({\u_logic/Rezax6 ,\u_logic/Yt4bx6 }),
.f({\u_logic/Vfsow6_lutinv ,\u_logic/_al_u2203_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4145|u_logic/_al_u4281 (
.a({open_n161298,\u_logic/M6eiu6 }),
.b({open_n161299,\u_logic/U2fiu6 }),
.c({\u_logic/P12bx6 ,\u_logic/L9xax6 }),
.d({\u_logic/Kl0bx6 ,\u_logic/Mb4bx6 }),
.f({\u_logic/_al_u4145_o ,\u_logic/_al_u4281_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\u_logic/_al_u4146|u_logic/_al_u4147 (
.b({\u_logic/_al_u4144_o ,\u_logic/Nhgbx6 }),
.c({\u_logic/_al_u4145_o ,\u_logic/Pczax6 }),
.d({\u_logic/Vfsow6_lutinv ,\u_logic/_al_u4146_o }),
.f({\u_logic/_al_u4146_o ,\u_logic/E3sow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1010101010100010),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4148|u_logic/_al_u4321 (
.a({open_n161346,\u_logic/_al_u4065_o }),
.b({open_n161347,\u_logic/Altow6_lutinv }),
.c({\u_logic/_al_u4145_o ,\u_logic/Tktow6_lutinv }),
.d({\u_logic/_al_u4144_o ,\u_logic/_al_u4064_o }),
.f({\u_logic/_al_u4148_o ,\u_logic/_al_u4321_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(~D*~C*B*A)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000000000001000),
.MODE("LOGIC"))
\u_logic/_al_u414|u_logic/_al_u422 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Kmqow6 ,\u_logic/Xpqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~((D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))*~(A)+~C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*~(A)+~(~C)*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*A+~C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*A)"),
//.LUTF1("(~C*((~B*A)*~(D)*~(0)+~((~B*A))*~(D)*0+(~B*A)*~(D)*0+(~B*A)*D*0))"),
//.LUTG0("~(~C*~((D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))*~(A)+~C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*~(A)+~(~C)*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*A+~C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*A)"),
//.LUTG1("(~C*((~B*A)*~(D)*~(1)+~((~B*A))*~(D)*1+(~B*A)*~(D)*1+(~B*A)*D*1))"),
.INIT_LUTF0(16'b1101100011111010),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0101000001110010),
.INIT_LUTG1(16'b0000001000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4151|u_logic/_al_u4300 (
.a({\u_logic/L3sow6_lutinv ,\u_logic/_al_u4244_o }),
.b({\u_logic/E3sow6_lutinv ,\u_logic/_al_u4273_o }),
.c({\u_logic/_al_u4148_o ,\u_logic/_al_u4297_o }),
.d({\u_logic/_al_u4149_o ,\u_logic/_al_u4298_o }),
.e({\u_logic/J2sow6_lutinv ,\u_logic/_al_u4299_o }),
.f({\u_logic/_al_u4151_o ,\u_logic/_al_u4300_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4156|u_logic/_al_u4209 (
.c({\u_logic/_al_u4137_o ,\u_logic/_al_u4204_o }),
.d({\u_logic/_al_u4136_o ,\u_logic/_al_u4203_o }),
.f({\u_logic/_al_u4156_o ,\u_logic/_al_u4209_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUT1("(~C*~(~B*D))"),
.INIT_LUT0(16'b0101110001010100),
.INIT_LUT1(16'b0000110000001111),
.MODE("LOGIC"))
\u_logic/_al_u4157|u_logic/_al_u4208 (
.a({open_n161438,\u_logic/Gqrow6_lutinv }),
.b({\u_logic/Gxrow6_lutinv ,\u_logic/_al_u4201_o }),
.c({\u_logic/_al_u4156_o ,\u_logic/_al_u4203_o }),
.d({\u_logic/_al_u4154_o ,\u_logic/_al_u4204_o }),
.f({\u_logic/_al_u4157_o ,\u_logic/Klrow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~(~B*~A)))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~(~D*~(~B*~A)))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000111100000001),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000111100000001),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4158|u_logic/_al_u4205 (
.a({open_n161459,\u_logic/Gqrow6_lutinv }),
.b({open_n161460,\u_logic/_al_u4201_o }),
.c({\u_logic/Gxrow6_lutinv ,\u_logic/_al_u4203_o }),
.d({\u_logic/_al_u4154_o ,\u_logic/_al_u4204_o }),
.f({\u_logic/_al_u4158_o ,\u_logic/_al_u4205_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*~(~D*~(~B*~A))))"),
//.LUTF1("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTG0("(~1*~(C*~(~D*~(~B*~A))))"),
//.LUTG1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
.INIT_LUTF0(16'b0000111111101111),
.INIT_LUTF1(16'b1010001100100011),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1010101010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4160|u_logic/_al_u4295 (
.a({\u_logic/_al_u4138_o ,\u_logic/_al_u4138_o }),
.b({\u_logic/_al_u4153_o ,\u_logic/_al_u4153_o }),
.c({\u_logic/_al_u4157_o ,\u_logic/_al_u4157_o }),
.d({\u_logic/_al_u4158_o ,\u_logic/_al_u4158_o }),
.e({\u_logic/_al_u4159_o ,\u_logic/_al_u4159_o }),
.f({\u_logic/Xvrow6_lutinv ,\u_logic/_al_u4295_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4161|u_logic/_al_u4413 (
.a({open_n161507,\u_logic/S1fiu6 }),
.b({open_n161508,\u_logic/M6eiu6 }),
.c({\u_logic/Kojpw6 ,\u_logic/J7xax6 }),
.d({\u_logic/Bc3bx6 ,\u_logic/Nazax6 }),
.f({\u_logic/_al_u4161_o ,\u_logic/_al_u4413_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(C*~((~D*B))*~(0)+~(C)*(~D*B)*~(0)+C*(~D*B)*~(0)+C*(~D*B)*0))"),
//.LUT1("(A*(C*~((~D*B))*~(1)+~(C)*(~D*B)*~(1)+C*(~D*B)*~(1)+C*(~D*B)*1))"),
.INIT_LUT0(16'b1010000010101000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4162 (
.a({\u_logic/_al_u4161_o ,\u_logic/_al_u4161_o }),
.b({\u_logic/Pz9bx6 ,\u_logic/Pz9bx6 }),
.c({\u_logic/Sn4bx6 ,\u_logic/Sn4bx6 }),
.d({\u_logic/Up4bx6 ,\u_logic/Up4bx6 }),
.mi({open_n161541,\u_logic/Wr4bx6 }),
.fx({open_n161546,\u_logic/_al_u4162_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4164|u_logic/_al_u4175 (
.c({\u_logic/_al_u4163_o ,\u_logic/_al_u4163_o }),
.d({\u_logic/_al_u4162_o ,\u_logic/_al_u4161_o }),
.f({\u_logic/_al_u4164_o ,\u_logic/_al_u4175_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~((D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B))*~(A)+~C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*~(A)+~(~C)*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*A+~C*(D*~(0)*~(B)+D*0*~(B)+~(D)*0*B+D*0*B)*A)"),
//.LUTF1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG0("(~C*~((D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B))*~(A)+~C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*~(A)+~(~C)*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*A+~C*(D*~(1)*~(B)+D*1*~(B)+~(D)*1*B+D*1*B)*A)"),
//.LUTG1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUTF0(16'b0010011100000101),
.INIT_LUTF1(16'b1100110011110000),
.INIT_LUTG0(16'b1010111110001101),
.INIT_LUTG1(16'b1100110011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4165|u_logic/_al_u4361 (
.a({open_n161577,\u_logic/_al_u4244_o }),
.b({\u_logic/Pz9bx6 ,\u_logic/_al_u4273_o }),
.c({\u_logic/Up4bx6 ,\u_logic/N9gow6_lutinv }),
.d({\u_logic/_al_u4164_o ,\u_logic/Lfgow6_lutinv }),
.e({open_n161580,\u_logic/Efgow6_lutinv }),
.f({\u_logic/Tzsow6_lutinv ,\u_logic/_al_u4361_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b1111001101000000),
.MODE("LOGIC"))
\u_logic/_al_u4166|u_logic/_al_u4149 (
.a({\u_logic/_al_u4161_o ,\u_logic/_al_u4144_o }),
.b({\u_logic/_al_u4163_o ,\u_logic/_al_u4145_o }),
.c({\u_logic/Sn4bx6 ,\u_logic/Nazax6 }),
.d({\u_logic/Wr4bx6 ,\u_logic/Rezax6 }),
.f({\u_logic/_al_u4166_o ,\u_logic/_al_u4149_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1101010011110101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1101010011110101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4167|u_logic/_al_u4099 (
.a({open_n161621,\u_logic/I74bx6 }),
.b({open_n161622,\u_logic/K94bx6 }),
.c({\u_logic/S0kbx6 ,\u_logic/Mb4bx6 }),
.d({\u_logic/Hg3bx6 ,\u_logic/Z9abx6 }),
.f({\u_logic/_al_u4167_o ,\u_logic/_al_u4099_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~((D*C))*~(0)+A*B*~((D*C))*~(0)+~(A)*B*~((D*C))*0+A*B*~((D*C))*0+~(A)*~(B)*(D*C)*0+~(A)*B*(D*C)*0+A*B*(D*C)*0)"),
//.LUT1("(~(A)*B*~((D*C))*~(1)+A*B*~((D*C))*~(1)+~(A)*B*~((D*C))*1+A*B*~((D*C))*1+~(A)*~(B)*(D*C)*1+~(A)*B*(D*C)*1+A*B*(D*C)*1)"),
.INIT_LUT0(16'b0000110011001100),
.INIT_LUT1(16'b1101110011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4169 (
.a({\u_logic/_al_u4167_o ,\u_logic/_al_u4167_o }),
.b({\u_logic/Cy4bx6 ,\u_logic/Cy4bx6 }),
.c({\u_logic/Rz0bx6 ,\u_logic/Rz0bx6 }),
.d({\u_logic/Tcipw6 ,\u_logic/Tcipw6 }),
.mi({open_n161659,\u_logic/Yt4bx6 }),
.fx({open_n161664,\u_logic/_al_u4169_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(~D*C*~B*A)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(~D*C*~B*A)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0000000000100000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b0000000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u416|u_logic/_al_u420 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Fnqow6 ,\u_logic/Cpqow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(~B*~(C*~D))"),
//.LUTG0("(~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*B*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(~B*~(C*~D))"),
.INIT_LUTF0(16'b1110111001000000),
.INIT_LUTF1(16'b0011001100000011),
.INIT_LUTG0(16'b1110111001000000),
.INIT_LUTG1(16'b0011001100000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4170|u_logic/_al_u4194 (
.a({open_n161691,\u_logic/_al_u4175_o }),
.b({\u_logic/Tmrow6 ,\u_logic/_al_u4166_o }),
.c({\u_logic/_al_u4169_o ,\u_logic/Tmrow6 }),
.d({\u_logic/_al_u4166_o ,\u_logic/_al_u4169_o }),
.f({\u_logic/_al_u4170_o ,\u_logic/_al_u4194_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~B*A)"),
//.LUTF1("(~(B)*~((C*~A))*~(D)+B*~((C*~A))*~(D)+B*(C*~A)*~(D)+B*~((C*~A))*D)"),
//.LUTG0("(D*C*~B*A)"),
//.LUTG1("(~(B)*~((C*~A))*~(D)+B*~((C*~A))*~(D)+B*(C*~A)*~(D)+B*~((C*~A))*D)"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b1000110011101111),
.INIT_LUTG0(16'b0010000000000000),
.INIT_LUTG1(16'b1000110011101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4171|u_logic/_al_u2218 (
.a({\u_logic/Aw4bx6 ,\u_logic/_al_u1926_o }),
.b({\u_logic/Cy4bx6 ,\u_logic/vis_ipsr_o[0] }),
.c({\u_logic/Hbgbx6 ,\u_logic/Lfgbx6 }),
.d({\u_logic/Yt4bx6 ,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/_al_u4171_o ,\u_logic/_al_u2218_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~((0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))*~(A)+B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*~(A)+~(B)*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A+B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A)"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(B*~((1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))*~(A)+B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*~(A)+~(B)*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A+B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A)"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1110010001000100),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b1110111001001110),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4173|u_logic/_al_u4322 (
.a({open_n161740,\u_logic/_al_u4273_o }),
.b({\u_logic/Aw4bx6 ,\u_logic/N3fow6_lutinv }),
.c({\u_logic/Hbgbx6 ,\u_logic/_al_u4299_o }),
.d({\u_logic/_al_u4172_o ,\u_logic/_al_u4321_o }),
.e({open_n161743,\u_logic/_al_u4053_o }),
.f({\u_logic/Fzsow6_lutinv ,\u_logic/X1fow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(B*~(~D*~(~C*A))))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~1*~(B*~(~D*~(~C*A))))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0011001111110111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4174|u_logic/_al_u4328 (
.a({open_n161764,\u_logic/Tzsow6_lutinv }),
.b({open_n161765,\u_logic/_al_u4170_o }),
.c({\u_logic/_al_u4169_o ,\u_logic/Fzsow6_lutinv }),
.d({\u_logic/_al_u4166_o ,\u_logic/_al_u4174_o }),
.e({open_n161768,\u_logic/_al_u4175_o }),
.f({\u_logic/_al_u4174_o ,\u_logic/_al_u4328_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1110001010100010),
.INIT_LUT1(16'b1111000011110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4176 (
.a({\u_logic/Tzsow6_lutinv ,\u_logic/Tzsow6_lutinv }),
.b({\u_logic/_al_u4170_o ,\u_logic/_al_u4170_o }),
.c({\u_logic/Fzsow6_lutinv ,\u_logic/Fzsow6_lutinv }),
.d({\u_logic/_al_u4174_o ,\u_logic/_al_u4174_o }),
.mi({open_n161801,\u_logic/_al_u4175_o }),
.fx({open_n161806,\u_logic/Rksow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u4177 (
.c({open_n161813,\u_logic/Qx0bx6 }),
.d({open_n161816,\u_logic/P33bx6 }),
.f({open_n161830,\u_logic/Amsow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~((~B*A))*~(C)*~(D)+~((~B*A))*~(C)*D+(~B*A)*~(C)*D+~((~B*A))*C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1101111100001101),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4179|u_logic/_al_u4105 (
.a({open_n161836,\u_logic/S8uow6_lutinv }),
.b({open_n161837,\u_logic/L8uow6_lutinv }),
.c({\u_logic/_al_u4178_o ,\u_logic/Vkuow6_lutinv }),
.d({\u_logic/Amsow6 ,\u_logic/_al_u4091_o }),
.f({\u_logic/_al_u4179_o ,\u_logic/_al_u4105_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u417|u_logic/_al_u419 (
.a({\u_logic/Htmpw6 ,\u_logic/Htmpw6 }),
.b({\u_logic/Iixpw6 ,\u_logic/Iixpw6 }),
.c({\u_logic/Vhspw6 ,\u_logic/Vhspw6 }),
.d({\u_logic/Vmipw6 ,\u_logic/Vmipw6 }),
.f({\u_logic/Mnqow6 ,\u_logic/Dmqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19596)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(~0*C)*~(~D*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(~1*C)*~(~D*B))"),
//.LUTG1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101000000010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010101000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4182|u_logic/I74bx6_reg (
.a({open_n161882,\u_logic/Nj5iu6 }),
.b({open_n161883,\u_logic/T3phu6 }),
.c({\u_logic/Oxkpw6 ,\u_logic/Xyohu6 }),
.ce(\u_logic/n1115 ),
.clk(clk_pad),
.d({\u_logic/Dv2bx6 ,\u_logic/Lr9bx6 }),
.e({open_n161884,\u_logic/V73bx6 }),
.mi({open_n161886,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4182_o ,\u_logic/_al_u2810_o }),
.q({open_n161901,\u_logic/I74bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19596)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0)*~((C*~(B*~A)))+D*0*~((C*~(B*~A)))+~(D)*0*(C*~(B*~A))+D*0*(C*~(B*~A)))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(D*~(1)*~((C*~(B*~A)))+D*1*~((C*~(B*~A)))+~(D)*1*(C*~(B*~A))+D*1*(C*~(B*~A)))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0100111100000000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111111110110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4186|u_logic/_al_u4052 (
.a({open_n161902,\u_logic/_al_u4051_o }),
.b({\u_logic/Amsow6 ,\u_logic/_al_u4038_o }),
.c({\u_logic/_al_u4178_o ,\u_logic/_al_u4039_o }),
.d({\u_logic/_al_u4185_o ,\u_logic/Cwyax6 }),
.e({open_n161905,\u_logic/Tngbx6 }),
.f({\u_logic/_al_u4186_o ,\u_logic/Yjtow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b1111010000110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b1111010000110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4189|u_logic/_al_u4183 (
.a({open_n161926,\u_logic/Qusow6 }),
.b({\u_logic/Qusow6 ,\u_logic/_al_u4182_o }),
.c({\u_logic/_al_u4182_o ,\u_logic/Az3bx6 }),
.d({\u_logic/_al_u4188_o ,\u_logic/Wu3bx6 }),
.f({\u_logic/Odgow6 ,\u_logic/Atsow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((D*~B))+~(A)*C*~((D*~B))+A*C*~((D*~B))+~(A)*C*(D*~B))"),
//.LUT1("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b1101010011110101),
.INIT_LUT1(16'b1100110011110000),
.MODE("LOGIC"))
\u_logic/_al_u4190|u_logic/_al_u4185 (
.a({open_n161951,\u_logic/C14bx6 }),
.b({\u_logic/R1abx6 ,\u_logic/E34bx6 }),
.c({\u_logic/Yw3bx6 ,\u_logic/G54bx6 }),
.d({\u_logic/Odgow6 ,\u_logic/Jdgbx6 }),
.f({\u_logic/Iqsow6_lutinv ,\u_logic/_al_u4185_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~C*B))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~D*~(~C*B))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000011110011),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000011110011),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4191|u_logic/_al_u4184 (
.b({open_n161974,\u_logic/_al_u4180_o }),
.c({\u_logic/Atsow6_lutinv ,\u_logic/Atsow6_lutinv }),
.d({\u_logic/_al_u4180_o ,\u_logic/_al_u4179_o }),
.f({\u_logic/_al_u4191_o ,\u_logic/_al_u4184_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19410)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*B))"),
//.LUT1("(~(D*C)*~(B*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010101010101),
.INIT_LUT1(16'b0000011101110111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4192|u_logic/Fe2bx6_reg (
.a({\u_logic/Dv2bx6 ,\u_logic/_al_u1924_o }),
.b({\u_logic/Oxkpw6 ,\u_logic/_al_u1061_o }),
.c({\u_logic/Pv0bx6 ,\u_logic/_al_u1926_o }),
.clk(clk_pad),
.d({\u_logic/Rm2bx6 ,\u_logic/Ukbpw6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4192_o ,\u_logic/N0phu6 }),
.q({open_n162015,\u_logic/Fe2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19410)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0010011100101111),
.INIT_LUT1(16'b0011001100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4193 (
.a({\u_logic/_al_u4184_o ,\u_logic/_al_u4184_o }),
.b({\u_logic/Pqsow6_lutinv ,\u_logic/Pqsow6_lutinv }),
.c({\u_logic/Iqsow6_lutinv ,\u_logic/Iqsow6_lutinv }),
.d({\u_logic/_al_u4191_o ,\u_logic/_al_u4191_o }),
.mi({open_n162028,\u_logic/_al_u4192_o }),
.fx({open_n162033,\u_logic/_al_u4193_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(~B*A))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~(D*C)*~(~B*A))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000110111011101),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000110111011101),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4197|u_logic/_al_u4196 (
.a({open_n162036,\u_logic/_al_u4194_o }),
.b({open_n162037,\u_logic/Losow6_lutinv }),
.c({\u_logic/Losow6_lutinv ,\u_logic/_al_u4175_o }),
.d({\u_logic/_al_u4194_o ,\u_logic/Tmrow6 }),
.f({\u_logic/_al_u4197_o ,\u_logic/_al_u4196_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*C*~(D)+~(A)*~(B)*~(C)*D+A*~(B)*~(C)*D+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~B*D)"),
.INIT_LUT0(16'b1111001101000000),
.INIT_LUT1(16'b0000001100000000),
.MODE("LOGIC"))
\u_logic/_al_u4198|u_logic/_al_u4040 (
.a({open_n162062,\u_logic/_al_u4038_o }),
.b({\u_logic/Amsow6 ,\u_logic/_al_u4039_o }),
.c({\u_logic/_al_u4178_o ,\u_logic/Auyax6 }),
.d({\u_logic/_al_u4192_o ,\u_logic/Eyyax6 }),
.f({\u_logic/_al_u4198_o ,\u_logic/Hltow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~((0*D))+A*~(B)*~(C)*~((0*D))+~(A)*B*~(C)*~((0*D))+~(A)*~(B)*C*~((0*D))+~(A)*B*C*~((0*D))+~(A)*~(B)*~(C)*(0*D)+A*~(B)*~(C)*(0*D)+~(A)*~(B)*C*(0*D)+~(A)*B*C*(0*D))"),
//.LUT1("(~(A)*~(B)*~(C)*~((1*D))+A*~(B)*~(C)*~((1*D))+~(A)*B*~(C)*~((1*D))+~(A)*~(B)*C*~((1*D))+~(A)*B*C*~((1*D))+~(A)*~(B)*~(C)*(1*D)+A*~(B)*~(C)*(1*D)+~(A)*~(B)*C*(1*D)+~(A)*B*C*(1*D))"),
.INIT_LUT0(16'b0101011101010111),
.INIT_LUT1(16'b0101001101010111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4200 (
.a({\u_logic/_al_u4194_o ,\u_logic/_al_u4194_o }),
.b({\u_logic/Losow6_lutinv ,\u_logic/Losow6_lutinv }),
.c({\u_logic/_al_u4198_o ,\u_logic/_al_u4198_o }),
.d({\u_logic/_al_u4175_o ,\u_logic/_al_u4175_o }),
.mi({open_n162095,\u_logic/Tmrow6 }),
.fx({open_n162100,\u_logic/Gqrow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000111100110011),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000111100110011),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4202|u_logic/_al_u4297 (
.b({open_n162105,\u_logic/_al_u4295_o }),
.c({\u_logic/_al_u4201_o ,\u_logic/_al_u4296_o }),
.d({\u_logic/Gqrow6_lutinv ,\u_logic/Lprow6_lutinv }),
.f({\u_logic/_al_u4202_o ,\u_logic/_al_u4297_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+A*~(B)*C*D+A*B*C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010101011001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010101011001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4203|u_logic/_al_u4201 (
.a({open_n162130,\u_logic/_al_u4154_o }),
.b({open_n162131,\u_logic/Gxrow6_lutinv }),
.c({\u_logic/_al_u4159_o ,\u_logic/_al_u4156_o }),
.d({\u_logic/_al_u4156_o ,\u_logic/_al_u4159_o }),
.f({\u_logic/_al_u4203_o ,\u_logic/_al_u4201_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*B*~(D)*~((~0*~C))+A*B*~(D)*~((~0*~C))+A*~(B)*D*~((~0*~C))+A*B*D*~((~0*~C))+A*B*~(D)*(~0*~C)+A*~(B)*D*(~0*~C)+A*B*D*(~0*~C))"),
//.LUT1("(~(A)*B*~(D)*~((~1*~C))+A*B*~(D)*~((~1*~C))+A*~(B)*D*~((~1*~C))+A*B*D*~((~1*~C))+A*B*~(D)*(~1*~C)+A*~(B)*D*(~1*~C)+A*B*D*(~1*~C))"),
.INIT_LUT0(16'b1010101011001000),
.INIT_LUT1(16'b1010101011001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4206 (
.a({\u_logic/Xvrow6_lutinv ,\u_logic/Xvrow6_lutinv }),
.b({\u_logic/Mtrow6_lutinv ,\u_logic/Mtrow6_lutinv }),
.c({\u_logic/_al_u4202_o ,\u_logic/_al_u4202_o }),
.d({\u_logic/_al_u4205_o ,\u_logic/_al_u4205_o }),
.mi({open_n162168,\u_logic/_al_u4203_o }),
.fx({open_n162173,\u_logic/Hnrow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(B*~D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000111100000011),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u4211|u_logic/_al_u4210 (
.b({open_n162178,\u_logic/Klrow6_lutinv }),
.c({\u_logic/Klrow6_lutinv ,\u_logic/_al_u4209_o }),
.d({\u_logic/_al_u4207_o ,\u_logic/_al_u4207_o }),
.f({\u_logic/_al_u4211_o ,\u_logic/_al_u4210_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~(C*~(~D*~(~B*A))))"),
//.LUTF1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG0("(~1*~(C*~(~D*~(~B*A))))"),
//.LUTG1("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUTF0(16'b0000111111011111),
.INIT_LUTF1(16'b1100101010001010),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1100101010001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4212|u_logic/_al_u4244 (
.a({\u_logic/_al_u4123_o ,\u_logic/_al_u4123_o }),
.b({\u_logic/Hnrow6_lutinv ,\u_logic/Hnrow6_lutinv }),
.c({\u_logic/_al_u4210_o ,\u_logic/_al_u4210_o }),
.d({\u_logic/_al_u4211_o ,\u_logic/_al_u4211_o }),
.e({open_n162201,\u_logic/_al_u4215_o }),
.f({\u_logic/_al_u4212_o ,\u_logic/_al_u4244_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*(~(B)*~(C)*~((~D*~A))+~(B)*~(C)*(~D*~A)+B*~(C)*(~D*~A)+~(B)*C*(~D*~A)))"),
//.LUTF1("(D*~A*~(C*B))"),
//.LUTG0("(1*(~(B)*~(C)*~((~D*~A))+~(B)*~(C)*(~D*~A)+B*~(C)*(~D*~A)+~(B)*C*(~D*~A)))"),
//.LUTG1("(D*~A*~(C*B))"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0001010100000000),
.INIT_LUTG0(16'b0000001100010111),
.INIT_LUTG1(16'b0001010100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4214|u_logic/_al_u4222 (
.a({\u_logic/_al_u4213_o ,\u_logic/_al_u4217_o }),
.b({\u_logic/Bt2qw6 ,\u_logic/_al_u4219_o }),
.c({\u_logic/Gr2qw6 ,\u_logic/Sbrow6 }),
.d({\u_logic/Zdtpw6 ,\u_logic/_al_u2242_o }),
.e({open_n162224,\u_logic/Carow6_lutinv }),
.f({\u_logic/_al_u4214_o ,\u_logic/_al_u4222_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4215|u_logic/_al_u4207 (
.c({\u_logic/_al_u4097_o ,\u_logic/_al_u4096_o }),
.d({\u_logic/_al_u4121_o ,\u_logic/_al_u4094_o }),
.f({\u_logic/_al_u4215_o ,\u_logic/_al_u4207_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*B*~(C)*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+A*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(A*B*~(C)*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+A*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b1111101011001000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4216 (
.a({\u_logic/_al_u4207_o ,\u_logic/_al_u4207_o }),
.b({\u_logic/Klrow6_lutinv ,\u_logic/Klrow6_lutinv }),
.c({\u_logic/_al_u4215_o ,\u_logic/_al_u4215_o }),
.d({\u_logic/_al_u4209_o ,\u_logic/_al_u4209_o }),
.mi({open_n162285,\u_logic/Elnpw6 }),
.fx({open_n162290,\u_logic/_al_u4216_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~C*~A))"),
//.LUT1("(A*~(B)*~(C)*~(D)+A*~(B)*C*~(D)+A*B*C*~(D)+A*~(B)*~(C)*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
.INIT_LUT0(16'b1100100011001100),
.INIT_LUT1(16'b1110001010100010),
.MODE("LOGIC"))
\u_logic/_al_u4217|u_logic/_al_u4240 (
.a({\u_logic/_al_u4212_o ,\u_logic/_al_u4212_o }),
.b({\u_logic/_al_u4214_o ,\u_logic/_al_u4214_o }),
.c({\u_logic/Wgipw6 ,\u_logic/_al_u4216_o }),
.d({\u_logic/_al_u4216_o ,\u_logic/Wgipw6 }),
.f({\u_logic/_al_u4217_o ,\u_logic/_al_u4240_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(A*~(~C*~B)))"),
//.LUTF1("(~B*A*~(~D*~C))"),
//.LUTG0("(D*~(A*~(~C*~B)))"),
//.LUTG1("(~B*A*~(~D*~C))"),
.INIT_LUTF0(16'b0101011100000000),
.INIT_LUTF1(16'b0010001000100000),
.INIT_LUTG0(16'b0101011100000000),
.INIT_LUTG1(16'b0010001000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4219|u_logic/_al_u4213 (
.a({\u_logic/_al_u4207_o ,\u_logic/_al_u4207_o }),
.b({\u_logic/_al_u4218_o ,\u_logic/Klrow6_lutinv }),
.c({\u_logic/_al_u4209_o ,\u_logic/_al_u4209_o }),
.d({\u_logic/Klrow6_lutinv ,\u_logic/Elnpw6 }),
.f({\u_logic/_al_u4219_o ,\u_logic/_al_u4213_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(C*D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4220|u_logic/_al_u4323 (
.c({\u_logic/_al_u4209_o ,\u_logic/_al_u4244_o }),
.d({\u_logic/_al_u4215_o ,\u_logic/X1fow6 }),
.f({\u_logic/_al_u4220_o ,\u_logic/_al_u4323_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~(C*A))"),
//.LUTF1("(~(C*B)*~(~D*A))"),
//.LUTG0("(D*~B*~(C*A))"),
//.LUTG1("(~(C*B)*~(~D*A))"),
.INIT_LUTF0(16'b0001001100000000),
.INIT_LUTF1(16'b0011111100010101),
.INIT_LUTG0(16'b0001001100000000),
.INIT_LUTG1(16'b0011111100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4221|u_logic/_al_u4218 (
.a({\u_logic/_al_u4220_o ,\u_logic/Bt2qw6 }),
.b({\u_logic/Bt2qw6 ,\u_logic/Elnpw6 }),
.c({\u_logic/Gr2qw6 ,\u_logic/Gr2qw6 }),
.d({\u_logic/Zdtpw6 ,\u_logic/Zdtpw6 }),
.f({\u_logic/Carow6_lutinv ,\u_logic/_al_u4218_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(C*~(B*D))"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b0011000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4224|u_logic/_al_u2205 (
.a({open_n162389,\u_logic/H9row6_lutinv }),
.b({\u_logic/Gr2qw6 ,\u_logic/_al_u1062_o }),
.c({\u_logic/Mnmpw6 ,\u_logic/vis_ipsr_o[2] }),
.d({\u_logic/Bt2qw6 ,\u_logic/vis_ipsr_o[3] }),
.f({\u_logic/_al_u4224_o ,\u_logic/Qrgiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(C)*~((~D*B))+A*~(C)*~((~D*B))+A*C*~((~D*B))+A*~(C)*(~D*B))"),
//.LUT1("(C*~(B*~D))"),
.INIT_LUT0(16'b1010111100101011),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"))
\u_logic/_al_u4225|u_logic/_al_u4223 (
.a({open_n162410,\u_logic/Gz6ax6 }),
.b({\u_logic/_al_u4224_o ,\u_logic/Tl4bx6 }),
.c({\u_logic/F17ax6 ,\u_logic/Uj4bx6 }),
.d({\u_logic/_al_u4223_o ,\u_logic/Vpgbx6 }),
.f({\u_logic/_al_u4225_o ,\u_logic/_al_u4223_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*(A*~((~D*~B))*~(0)+~(A)*~((~D*~B))*0+A*~((~D*~B))*0+A*(~D*~B)*0))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~C*(A*~((~D*~B))*~(1)+~(A)*~((~D*~B))*1+A*~((~D*~B))*1+A*(~D*~B)*1))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000101000001000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000111100001110),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4226|u_logic/_al_u2245 (
.a({open_n162431,\u_logic/Sbrow6 }),
.b({\u_logic/Gz6ax6 ,\u_logic/_al_u2242_o }),
.c({\u_logic/Uj4bx6 ,\u_logic/_al_u2244_o }),
.d({\u_logic/_al_u4225_o ,\u_logic/Tl4bx6 }),
.e({open_n162434,\u_logic/Uj4bx6 }),
.f({\u_logic/Rerow6_lutinv ,\u_logic/_al_u2245_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(A*~(C)*~((~D*~B))+~(A)*C*~((~D*~B))+A*C*~((~D*~B))+A*C*(~D*~B))"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(A*~(C)*~((~D*~B))+~(A)*C*~((~D*~B))+A*C*~((~D*~B))+A*C*(~D*~B))"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b1111101011101000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b1111101011101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4228|u_logic/_al_u4227 (
.a({\u_logic/Sbrow6 ,open_n162455}),
.b({\u_logic/_al_u2242_o ,\u_logic/Tl4bx6 }),
.c({\u_logic/Rerow6_lutinv ,\u_logic/Vpgbx6 }),
.d({\u_logic/Jhrow6_lutinv ,\u_logic/_al_u4225_o }),
.f({\u_logic/_al_u4228_o ,\u_logic/Jhrow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~B*~(~C*~D))"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~B*~(~C*~D))"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0011001100110000),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0011001100110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4230|u_logic/_al_u4231 (
.b({\u_logic/_al_u2244_o ,\u_logic/_al_u4224_o }),
.c({\u_logic/_al_u4229_o ,\u_logic/F17ax6 }),
.d({\u_logic/_al_u4228_o ,\u_logic/Carow6_lutinv }),
.f({\u_logic/_al_u4230_o ,\u_logic/_al_u4231_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*~(D*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1000000011000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4232|u_logic/_al_u2637 (
.a({open_n162506,\u_logic/P0biu6 }),
.b({open_n162507,\u_logic/_al_u2627_o }),
.c({\u_logic/B6cpw6 ,\u_logic/_al_u2636_o }),
.d({\u_logic/_al_u4231_o ,\u_logic/_al_u2248_o }),
.f({\u_logic/_al_u4232_o ,\u_logic/_al_u2637_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B*D))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(C*~(B*D))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b0011000011110000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4233|u_logic/_al_u4381 (
.b({\u_logic/_al_u4230_o ,\u_logic/Xmmow6_lutinv }),
.c({\u_logic/_al_u4232_o ,\u_logic/Ydeow6 }),
.d({\u_logic/_al_u4222_o ,\u_logic/_al_u4319_o }),
.f({\u_logic/_al_u4233_o ,\u_logic/_al_u4381_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(~A*~(~C*~(D*~B)))"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(~A*~(~C*~(D*~B)))"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0101000101010000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0101000101010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4234|u_logic/_al_u50 (
.a({\u_logic/_al_u1075_o ,open_n162554}),
.b({\u_logic/_al_u1063_o ,\u_logic/vis_ipsr_o[2] }),
.c({\u_logic/Pdyax6 ,\u_logic/vis_ipsr_o[3] }),
.d({\u_logic/T8kbx6 ,\u_logic/H9row6_lutinv }),
.f({\u_logic/_al_u4234_o ,\u_logic/T8row6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4237|u_logic/_al_u955 (
.c({\u_logic/Rerow6_lutinv ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u4219_o ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/_al_u4237_o ,\u_logic/Ukbpw6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~(~D*~C)*B)*~(0*A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(~(~D*~C)*B)*~(1*A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011001100111111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0001000100010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4241|u_logic/_al_u2250 (
.a({open_n162607,\u_logic/Fsdiu6 }),
.b({open_n162608,\u_logic/P0biu6 }),
.c({\u_logic/T8kbx6 ,\u_logic/_al_u2247_o }),
.d({\u_logic/Pdyax6 ,\u_logic/_al_u2248_o }),
.e({open_n162611,\u_logic/Xrgiu6 }),
.f({\u_logic/A0fow6_lutinv ,\u_logic/Qh5iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4242|u_logic/_al_u2388 (
.b({open_n162634,\u_logic/_al_u1085_o }),
.c({\u_logic/A0fow6_lutinv ,\u_logic/Ldoiu6_lutinv }),
.d({\u_logic/_al_u4231_o ,\u_logic/_al_u394_o }),
.f({\u_logic/Ydeow6 ,\u_logic/_al_u2388_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*D*~(C*~B*~A))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(1*D*~(C*~B*~A))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b1110111100000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4243|u_logic/_al_u4276 (
.a({open_n162655,\u_logic/_al_u4239_o }),
.b({\u_logic/_al_u4240_o ,\u_logic/_al_u4240_o }),
.c({\u_logic/Ydeow6 ,\u_logic/Xmmow6_lutinv }),
.d({\u_logic/_al_u4239_o ,\u_logic/Ydeow6 }),
.e({open_n162658,\u_logic/_al_u1937_o }),
.f({\u_logic/_al_u4243_o ,\u_logic/_al_u4276_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~((~D*B))*~(A)+C*(~D*B)*~(A)+~(C)*(~D*B)*A+C*(~D*B)*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("~(C*~((~D*B))*~(A)+C*(~D*B)*~(A)+~(C)*(~D*B)*A+C*(~D*B)*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b1010111100100111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1010111100100111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4245|u_logic/_al_u4387 (
.a({open_n162679,\u_logic/H2ciu6_lutinv }),
.b({open_n162680,\u_logic/_al_u4243_o }),
.c({\u_logic/_al_u4244_o ,\u_logic/_al_u4386_o }),
.d({\u_logic/_al_u4243_o ,\u_logic/_al_u4244_o }),
.f({\u_logic/_al_u4245_o ,\u_logic/_al_u4387_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18592)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~(C*B)))"),
//.LUT1("(~D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111110101010),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4246|u_logic/Thiax6_reg (
.a({open_n162705,\u_logic/_al_u1065_o }),
.b({\u_logic/HALTED ,\u_logic/Scbiu6 }),
.c({\u_logic/_al_u407_o ,\u_logic/Df4iu6 }),
.clk(clk_pad),
.d({\u_logic/_al_u2979_o ,\u_logic/Thiax6 }),
.sr(RSTn_pad),
.f({\u_logic/Nntiu6 ,open_n162719}),
.q({open_n162723,\u_logic/Thiax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18592)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(0*C)*~(D*B))"),
//.LUT1("(~A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0001000101010101),
.INIT_LUT1(16'b0000000100000101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4247 (
.a({\u_logic/_al_u2276_o ,\u_logic/_al_u2276_o }),
.b({\u_logic/F0eow6 ,\u_logic/F0eow6 }),
.c({\u_logic/M0eow6 ,\u_logic/M0eow6 }),
.d({\u_logic/Ih0bx6 ,\u_logic/Ih0bx6 }),
.mi({open_n162736,\u_logic/Jx1bx6 }),
.fx({open_n162741,\u_logic/_al_u4247_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19146)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4248|u_logic/Avzax6_reg (
.a({\u_logic/Yvgiu6 ,open_n162744}),
.b({\u_logic/M6eiu6 ,\u_logic/Xznow6 }),
.c({\u_logic/Ujspw6 ,\u_logic/K5eiu6 }),
.ce(\u_logic/n1008 ),
.clk(clk_pad),
.d({\u_logic/Wlspw6 ,\u_logic/_al_u3011_o }),
.mi({open_n162748,\u_logic/I4eiu6 }),
.sr(cpuresetn),
.f({\u_logic/Saeow6 ,\u_logic/_al_u3012_o }),
.q({open_n162763,\u_logic/Avzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19146)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4249|u_logic/_al_u1528 (
.a({\u_logic/_al_u4247_o ,\u_logic/Q8eiu6_lutinv }),
.b({\u_logic/Saeow6 ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Y5eiu6 ,\u_logic/Lywpw6 }),
.d({\u_logic/T2kbx6 ,\u_logic/N0xpw6 }),
.f({\u_logic/_al_u4249_o ,\u_logic/_al_u1528_o }));
// ../rtl/demodulation/FM_Demodulation.v(80)
EG_PHY_LSLICE #(
//.LUTF0("(~D)"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(~D)"),
//.LUTG1("(C*B*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000011111111),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4250|FM_HW/FM_Demodulation/reg3_b16 (
.a({\u_logic/_al_u4245_o ,open_n162788}),
.b({\u_logic/Nntiu6 ,open_n162789}),
.c({\u_logic/_al_u4249_o ,open_n162790}),
.clk(\FM_HW/FM_Demodulation/EOC_Count_Demodulate_gclk_net ),
.d({\u_logic/_al_u1937_o ,\FM_HW/FM_Demodulation/sub0_2_co }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4250_o ,open_n162808}),
.q({open_n162812,\FM_HW/FM_Demodulation/demodulated_signal_temp [16]})); // ../rtl/demodulation/FM_Demodulation.v(80)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000111100110011),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000111100110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4257|u_logic/_al_u1566 (
.b({\u_logic/Oy8iu6 ,\u_logic/Ukbpw6_lutinv }),
.c({\u_logic/vis_primask_o ,\u_logic/Rskax6 }),
.d({\u_logic/Cz8iu6 ,\u_logic/T8row6 }),
.f({\u_logic/_al_u4257_o ,\u_logic/_al_u1566_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18711)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u425|u_logic/Oykax6_reg (
.a({\u_logic/Q53pw6 ,\u_logic/_al_u451_o }),
.b({\u_logic/Y23pw6 ,\u_logic/St1pw6 }),
.c({\u_logic/F33pw6 ,\u_logic/_al_u453_o }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/X53pw6 ,\u_logic/Iv1pw6 }),
.mi({open_n162842,\u_logic/Tx8iu6 }),
.f({\u_logic/N30iu6 ,\u_logic/Nwzhu6 }),
.q({open_n162858,\u_logic/vis_r5_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18711)
// ../rtl/topmodule/cortexm0ds_logic.v(17936)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*~C)*~(D*B))"),
//.LUTF1("(~B*~(D*~C)*~(0*A))"),
//.LUTG0("~(~A*~(1*~C)*~(D*B))"),
//.LUTG1("(~B*~(D*~C)*~(1*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111010101010),
.INIT_LUTF1(16'b0011000000110011),
.INIT_LUTG0(16'b1110111110101111),
.INIT_LUTG1(16'b0001000000010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4260|u_logic/M81qw6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/_al_u3192_o }),
.b({\u_logic/_al_u3002_o ,\u_logic/Bo1iu6 }),
.c({\u_logic/D7xiu6_lutinv ,\u_logic/D7xiu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/Pdbbx6 ,\u_logic/Ke1qw6 }),
.e({\u_logic/Yubbx6 ,\u_logic/M81qw6 }),
.f({\u_logic/_al_u4260_o ,open_n162875}),
.q({open_n162879,\u_logic/M81qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17936)
// ../rtl/topmodule/cortexm0ds_logic.v(17250)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("~(~C*~(D)*~((B*A))+~C*D*~((B*A))+~(~C)*D*(B*A)+~C*D*(B*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111000011111000),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0111000011111000),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4262|u_logic/Kojpw6_reg (
.a({\u_logic/_al_u4261_o ,HWDATA[29]}),
.b({\u_logic/Yvgiu6 ,\u_logic/O59iu6_lutinv }),
.c({\u_logic/M6eiu6 ,\u_logic/Kojpw6 }),
.clk(clk_pad),
.d({\u_logic/Amupw6 ,\u_logic/Ztupw6 }),
.e({\u_logic/Yjupw6 ,open_n162881}),
.sr(cpuresetn),
.f({\u_logic/_al_u4262_o ,open_n162896}),
.q({open_n162900,\u_logic/Kojpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17250)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*~(D*~B*A))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1101000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4263|u_logic/_al_u4376 (
.a({\u_logic/_al_u4243_o ,open_n162901}),
.b({\u_logic/_al_u4244_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u4262_o ,\u_logic/_al_u2856_o }),
.d({\u_logic/_al_u1937_o ,\u_logic/A2ciu6_lutinv }),
.f({\u_logic/_al_u4263_o ,\u_logic/Mnbiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u4267|u_logic/_al_u4288 (
.a({\u_logic/Dw1iu6 ,\u_logic/Vr1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Ar1iu6 }),
.c({\u_logic/Hpbbx6 ,\u_logic/Lycax6 }),
.d({\u_logic/Pbbbx6 ,\u_logic/Z47ax6 }),
.f({\u_logic/_al_u4267_o ,\u_logic/_al_u4288_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18304)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4269|u_logic/B9eax6_reg (
.a({\u_logic/_al_u4263_o ,\u_logic/U1uiu6 }),
.b({\u_logic/_al_u4266_o ,\u_logic/_al_u3149_o }),
.c({\u_logic/Nntiu6 ,\u_logic/_al_u3150_o }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/_al_u4268_o ,\u_logic/Xs1iu6 }),
.e({open_n162942,\u_logic/Kqdax6 }),
.mi({open_n162944,\u_logic/Df4iu6 }),
.f({\u_logic/_al_u4269_o ,\u_logic/_al_u3151_o }),
.q({open_n162960,\u_logic/B9eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18304)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u426|u_logic/_al_u510 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/N30iu6 ,\u_logic/O00iu6 }),
.f({\u_logic/Tgfpw6 [0],\u_logic/Tgfpw6 [1]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17773)
EG_PHY_MSLICE #(
//.LUT0("~(B*~A*~(D*C))"),
//.LUT1("(~(D*~B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101110111011),
.INIT_LUT1(16'b0100110001011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4271|u_logic/Gbvpw6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/_al_u3141_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u3142_o }),
.c({\u_logic/Ad7ax6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/Z67ax6 ,\u_logic/Ym3qw6 }),
.f({\u_logic/Iatiu6 ,open_n163003}),
.q({open_n163007,\u_logic/Gbvpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17773)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+A*~(B)*C*D*~(0)+A*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+A*~(B)*~(C)*D*0+A*B*~(C)*D*0+A*~(B)*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~((~B*A))*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+A*~(B)*C*D*~(1)+A*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+A*~(B)*~(C)*D*1+A*B*~(C)*D*1+A*~(B)*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~((~B*A))*~(C)*~(D)+~((~B*A))*C*~(D)+(~B*A)*C*~(D)+~((~B*A))*C*D)"),
.INIT_LUTF0(16'b1010001100100011),
.INIT_LUTF1(16'b1101000011111101),
.INIT_LUTG0(16'b1010101010101010),
.INIT_LUTG1(16'b1101000011111101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4272|u_logic/_al_u4199 (
.a({\u_logic/L9tow6 ,\u_logic/Rksow6_lutinv }),
.b({\u_logic/Kctow6_lutinv ,\u_logic/_al_u4193_o }),
.c({\u_logic/_al_u4094_o ,\u_logic/_al_u4196_o }),
.d({\u_logic/_al_u4096_o ,\u_logic/_al_u4197_o }),
.e({open_n163010,\u_logic/_al_u4198_o }),
.f({\u_logic/_al_u4272_o ,\u_logic/Mtrow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~0*~C*~(~B*A)))"),
//.LUT1("(~D*~(~1*~C*~(~B*A)))"),
.INIT_LUT0(16'b0000000011110010),
.INIT_LUT1(16'b0000000011111111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4274 (
.a({\u_logic/Xvrow6_lutinv ,\u_logic/Xvrow6_lutinv }),
.b({\u_logic/Mtrow6_lutinv ,\u_logic/Mtrow6_lutinv }),
.c({\u_logic/_al_u4202_o ,\u_logic/_al_u4202_o }),
.d({\u_logic/_al_u4205_o ,\u_logic/_al_u4205_o }),
.mi({open_n163043,\u_logic/_al_u4203_o }),
.fx({open_n163048,\u_logic/Lprow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1100110000001111),
.MODE("LOGIC"))
\u_logic/_al_u4275|u_logic/_al_u1062 (
.b({\u_logic/_al_u4273_o ,open_n163053}),
.c({\u_logic/Lprow6_lutinv ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/_al_u4244_o ,\u_logic/vis_ipsr_o[0] }),
.f({\u_logic/Xmmow6_lutinv ,\u_logic/_al_u1062_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19644)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4277|u_logic/Sn4bx6_reg (
.a({\u_logic/C0fiu6 ,\u_logic/Tzdiu6 }),
.b({\u_logic/Xrgiu6 ,\u_logic/S1fiu6 }),
.c({\u_logic/F17ax6 ,\u_logic/Rezax6 }),
.ce(\u_logic/n1110 ),
.clk(clk_pad),
.d({\u_logic/Wr4bx6 ,\u_logic/Tgzax6 }),
.mi({open_n163084,HWDATA[7]}),
.sr(cpuresetn),
.f({\u_logic/Dqmow6 ,\u_logic/_al_u2996_o }),
.q({open_n163088,\u_logic/Sn4bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19644)
// ../rtl/topmodule/cortexm0ds_logic.v(19710)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4283|u_logic/Oa5bx6_reg (
.a({\u_logic/F0eow6 ,open_n163089}),
.b({\u_logic/M0eow6 ,open_n163090}),
.c({\u_logic/Hf0bx6 ,\u_logic/Jx1bx6 }),
.ce(\u_logic/n1114 ),
.clk(clk_pad),
.d({\u_logic/Yxrpw6 ,\u_logic/Ih0bx6 }),
.mi({open_n163101,HWDATA[31]}),
.sr(cpuresetn),
.f({\u_logic/_al_u4283_o ,\u_logic/_al_u4140_o }),
.q({open_n163105,\u_logic/Oa5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19710)
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(B)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1100110011001100),
.MODE("LOGIC"))
\u_logic/_al_u4285 (
.c({open_n163110,\u_logic/_al_u4284_o }),
.d({open_n163113,\u_logic/_al_u4276_o }),
.f({open_n163127,\u_logic/_al_u4285_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18301)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4286|u_logic/N3eax6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Cs1iu6 ,\u_logic/Cs1iu6 }),
.c({\u_logic/S2cax6 ,\u_logic/Erbbx6 }),
.ce(\u_logic/n526 ),
.clk(clk_pad),
.d({\u_logic/Xpeax6 ,\u_logic/Knbbx6 }),
.mi({open_n163143,\u_logic/Gk4iu6 }),
.f({\u_logic/_al_u4286_o ,\u_logic/_al_u4265_o }),
.q({open_n163148,\u_logic/N3eax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18301)
// ../rtl/topmodule/cortexm0ds_logic.v(20188)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4287|u_logic/Tcjbx6_reg (
.a({\u_logic/_al_u4286_o ,\u_logic/Yw1iu6 }),
.b({\u_logic/Kw1iu6_lutinv ,\u_logic/_al_u3125_o }),
.c({\u_logic/Xs1iu6 ,\u_logic/_al_u3127_o }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Eudax6 ,\u_logic/Bguiu6 }),
.e({\u_logic/Rg9ax6 ,open_n163149}),
.mi({open_n163151,\u_logic/Ym4iu6 }),
.f({\u_logic/_al_u4287_o ,\u_logic/_al_u3130_o }),
.q({open_n163167,\u_logic/Tcjbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20188)
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0*D))"),
//.LUT1("(C*B*A*~(1*D))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4290 (
.a({\u_logic/Uvsiu6 ,\u_logic/Uvsiu6 }),
.b({\u_logic/_al_u4287_o ,\u_logic/_al_u4287_o }),
.c({\u_logic/_al_u4289_o ,\u_logic/_al_u4289_o }),
.d({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.mi({open_n163180,HRDATA[15]}),
.fx({open_n163185,\u_logic/_al_u4290_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*A*~(~C*B))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("(~D*A*~(~C*B))"),
//.LUTG1("(~C*~B*~D)"),
.INIT_LUTF0(16'b0000000010100010),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0000000010100010),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4292|u_logic/_al_u2473 (
.a({open_n163188,\u_logic/_al_u1087_o }),
.b({\u_logic/_al_u145_o ,\u_logic/M8fax6 }),
.c({\u_logic/Owoiu6 ,\u_logic/Wkipw6 }),
.d({\u_logic/Uzaiu6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u4292_o ,\u_logic/_al_u2473_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~D))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4294|u_logic/_al_u2917 (
.a({\u_logic/Bo1iu6 ,open_n163213}),
.b({\u_logic/D7xiu6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/Sd8ax6 ,\u_logic/Wvgax6 }),
.d({\u_logic/Yvabx6 ,\u_logic/_al_u2753_o }),
.f({\u_logic/P3tiu6 ,\u_logic/_al_u2917_o }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(B*~(~A*~(~D*C))))"),
//.LUT1("(~1*~(B*~(~A*~(~D*C))))"),
.INIT_LUT0(16'b0111011100110111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4299 (
.a({\u_logic/_al_u4061_o ,\u_logic/_al_u4061_o }),
.b({\u_logic/_al_u4063_o ,\u_logic/_al_u4063_o }),
.c({\u_logic/_al_u4072_o ,\u_logic/_al_u4072_o }),
.d({\u_logic/Q8tow6_lutinv ,\u_logic/Q8tow6_lutinv }),
.mi({open_n163246,\u_logic/_al_u4074_o }),
.fx({open_n163251,\u_logic/_al_u4299_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4301|u_logic/_al_u4418 (
.c({\u_logic/_al_u4300_o ,\u_logic/Yt4bx6 }),
.d({\u_logic/_al_u4240_o ,\u_logic/C0fiu6 }),
.f({\u_logic/_al_u4301_o ,\u_logic/_al_u4418_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(~0*~(~C*~B*~A)))"),
//.LUTF1("(C*~(A*~(D)*~(B)+A*D*~(B)+~(A)*D*B+A*D*B))"),
//.LUTG0("(~D*~(~1*~(~C*~B*~A)))"),
//.LUTG1("(C*~(A*~(D)*~(B)+A*D*~(B)+~(A)*D*B+A*D*B))"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b0001000011010000),
.INIT_LUTG0(16'b0000000011111111),
.INIT_LUTG1(16'b0001000011010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4302|u_logic/_al_u4364 (
.a({\u_logic/_al_u4301_o ,\u_logic/_al_u4362_o }),
.b({\u_logic/_al_u4239_o ,\u_logic/_al_u4363_o }),
.c({\u_logic/Ydeow6 ,\u_logic/_al_u4231_o }),
.d({\u_logic/_al_u4225_o ,\u_logic/Pdyax6 }),
.e({open_n163284,\u_logic/T8kbx6 }),
.f({\u_logic/_al_u4302_o ,\u_logic/_al_u4364_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19952)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4303|u_logic/Qjcbx6_reg (
.a({\u_logic/Dw1iu6 ,\u_logic/_al_u3186_o }),
.b({\u_logic/Cs1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Hqabx6 ,\u_logic/Vr1iu6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Koabx6 ,\u_logic/Fo9ax6 }),
.e({open_n163305,\u_logic/Y5dax6 }),
.mi({open_n163307,\u_logic/R84iu6 }),
.f({\u_logic/_al_u4303_o ,\u_logic/_al_u3187_o }),
.q({open_n163323,\u_logic/Qjcbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19952)
// ../rtl/peripherals/FM_Display.v(30)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*~(0*D)*~(C*~B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("~(~A*~(1*D)*~(C*~B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011101010111010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b1111111110111010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4305|FM_Display/reg2_b2 (
.a({\u_logic/Zt1iu6 ,\u_logic/_al_u1283_o }),
.b({\u_logic/Ar1iu6 ,\u_logic/_al_u1289_o }),
.c({\u_logic/Nmabx6 ,\u_logic/_al_u1150_o }),
.ce(\FM_Display/n3 ),
.clk(clk_pad),
.d({\u_logic/Sb8ax6 ,\u_logic/S54iu6 }),
.e({open_n163324,\u_logic/Wvgax6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4305_o ,HWDATA[15]}),
.q({open_n163342,\FM_Display/percentage_digit [2]})); // ../rtl/peripherals/FM_Display.v(30)
// ../rtl/topmodule/cortexm0ds_logic.v(18170)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4306|u_logic/Fo9ax6_reg (
.a({\u_logic/_al_u4305_o ,\u_logic/_al_u3115_o }),
.b({\u_logic/Iv1iu6 ,\u_logic/Kw1iu6_lutinv }),
.c({\u_logic/Vr1iu6 ,\u_logic/Iv1iu6 }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Buabx6 ,\u_logic/vis_pc_o[20] }),
.e({\u_logic/vis_pc_o[13] ,\u_logic/M4ebx6 }),
.mi({open_n163344,\u_logic/Pl4iu6 }),
.f({\u_logic/_al_u4306_o ,\u_logic/_al_u3116_o }),
.q({open_n163360,\u_logic/Fo9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18170)
EG_PHY_MSLICE #(
//.LUT0("(C*B*A*~(0*D))"),
//.LUT1("(C*B*A*~(1*D))"),
.INIT_LUT0(16'b1000000010000000),
.INIT_LUT1(16'b0000000010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4307 (
.a({\u_logic/Uvsiu6 ,\u_logic/Uvsiu6 }),
.b({\u_logic/_al_u4304_o ,\u_logic/_al_u4304_o }),
.c({\u_logic/_al_u4306_o ,\u_logic/_al_u4306_o }),
.d({\u_logic/St1iu6 ,\u_logic/St1iu6 }),
.mi({open_n163373,HRDATA[14]}),
.fx({open_n163378,\u_logic/_al_u4307_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*~(C)*D)"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0000100001011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u4310|u_logic/_al_u1956 (
.a({\u_logic/F0eow6 ,HWDATA[30]}),
.b({\u_logic/M0eow6 ,\u_logic/K66iu6 }),
.c({\u_logic/Gd0bx6 ,\u_logic/Kwfiu6 }),
.d({\u_logic/Xo1bx6 ,\u_logic/Tcipw6 }),
.f({\u_logic/_al_u4310_o ,\u_logic/_al_u1956_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19494)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4311|u_logic/V73bx6_reg (
.a({\u_logic/G2fiu6 ,\u_logic/_al_u1953_o }),
.b({\u_logic/M6eiu6 ,\u_logic/_al_u1061_o }),
.c({\u_logic/G25bx6 ,\u_logic/U5cpw6 }),
.clk(clk_pad),
.d({\u_logic/Pdxax6 ,\u_logic/Ukbpw6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Bclow6 ,\u_logic/Xyohu6 }),
.q({open_n163421,\u_logic/V73bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19494)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4315|u_logic/_al_u4284 (
.a({\u_logic/_al_u4309_o ,\u_logic/_al_u4280_o }),
.b({\u_logic/_al_u4312_o ,\u_logic/_al_u4282_o }),
.c({\u_logic/_al_u4313_o ,\u_logic/_al_u3012_o }),
.d({\u_logic/_al_u4314_o ,\u_logic/_al_u4283_o }),
.f({\u_logic/_al_u4315_o ,\u_logic/_al_u4284_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*~(D*A))"),
//.LUTF1("(C*B*~(D*A))"),
//.LUTG0("(C*B*~(D*A))"),
//.LUTG1("(C*B*~(D*A))"),
.INIT_LUTF0(16'b0100000011000000),
.INIT_LUTF1(16'b0100000011000000),
.INIT_LUTG0(16'b0100000011000000),
.INIT_LUTG1(16'b0100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4316|u_logic/_al_u4373 (
.a({\u_logic/_al_u4302_o ,\u_logic/_al_u4364_o }),
.b({\u_logic/_al_u4307_o ,\u_logic/_al_u4370_o }),
.c({\u_logic/_al_u4315_o ,\u_logic/_al_u4372_o }),
.d({\u_logic/_al_u1937_o ,\u_logic/_al_u1937_o }),
.f({\u_logic/_al_u4316_o ,\u_logic/_al_u4373_o }));
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4318|RAMDATA_Interface/reg0_b3 (
.a({\u_logic/Bo1iu6 ,open_n163466}),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u2605_o }),
.c({\u_logic/Ggabx6 ,\u_logic/Bf3qw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Kl8ax6 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/Wwsiu6 ,HADDR[5]}),
.q({open_n163486,RAMDATA_WADDR[3]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~D*~(C*~(~B*A)))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000101111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4319|u_logic/_al_u4239 (
.a({open_n163487,\u_logic/_al_u4217_o }),
.b({open_n163488,\u_logic/_al_u4237_o }),
.c({\u_logic/_al_u4240_o ,\u_logic/_al_u4238_o }),
.d({\u_logic/_al_u4239_o ,\u_logic/_al_u4229_o }),
.f({\u_logic/_al_u4319_o ,\u_logic/_al_u4239_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18928)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u431|u_logic/Rtvax6_reg (
.a({\u_logic/_al_u427_o ,\u_logic/_al_u347_o }),
.b({\u_logic/_al_u428_o ,\u_logic/_al_u348_o }),
.c({\u_logic/_al_u429_o ,\u_logic/_al_u349_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u430_o ,\u_logic/_al_u350_o }),
.mi({open_n163512,\u_logic/Tx8iu6 }),
.f({\u_logic/Pxzhu6 ,\u_logic/K50iu6 }),
.q({open_n163528,\u_logic/vis_r7_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18928)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*B*~(C)*D+A*B*~(C)*D+~(A)*B*C*D+A*B*C*D)"),
//.LUT1("(~C*~(B)*~(D)+~C*B*~(D)+~(~C)*B*D+~C*B*D)"),
.INIT_LUT0(16'b1100110011100000),
.INIT_LUT1(16'b1100110000001111),
.MODE("LOGIC"))
\u_logic/_al_u4320|u_logic/_al_u4195 (
.a({open_n163529,\u_logic/_al_u4179_o }),
.b({\u_logic/_al_u4106_o ,\u_logic/_al_u4180_o }),
.c({\u_logic/Digow6_lutinv ,\u_logic/Atsow6_lutinv }),
.d({\u_logic/_al_u4298_o ,\u_logic/_al_u4192_o }),
.f({\u_logic/N3fow6_lutinv ,\u_logic/Losow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*~(B)*~(A)+~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*~(A)+~(~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C))*B*A+~(D*~(0)*~(C)+D*0*~(C)+~(D)*0*C+D*0*C)*B*A)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*~(B)*~(A)+~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*~(A)+~(~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C))*B*A+~(D*~(1)*~(C)+D*1*~(C)+~(D)*1*C+D*1*C)*B*A)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1101100011011101),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000100010001101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4325|u_logic/_al_u4353 (
.a({open_n163550,\u_logic/Lprow6_lutinv }),
.b({open_n163551,\u_logic/U9gow6_lutinv }),
.c({\u_logic/_al_u4152_o ,\u_logic/_al_u4295_o }),
.d({\u_logic/_al_u4151_o ,\u_logic/_al_u4351_o }),
.e({open_n163554,\u_logic/_al_u4352_o }),
.f({\u_logic/_al_u4325_o ,\u_logic/N9gow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~D))"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000111100001100),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\u_logic/_al_u4326|u_logic/_al_u4324 (
.b({\u_logic/M6fow6 ,\u_logic/_al_u4136_o }),
.c({\u_logic/_al_u4325_o ,\u_logic/_al_u4137_o }),
.d({\u_logic/_al_u4295_o ,\u_logic/_al_u4135_o }),
.f({\u_logic/_al_u4326_o ,\u_logic/M6fow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~(A*~(~D*~(C*~B))))"),
//.LUT1("(~1*~(A*~(~D*~(C*~B))))"),
.INIT_LUT0(16'b0101010111011111),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4327 (
.a({\u_logic/_al_u4184_o ,\u_logic/_al_u4184_o }),
.b({\u_logic/Pqsow6_lutinv ,\u_logic/Pqsow6_lutinv }),
.c({\u_logic/Iqsow6_lutinv ,\u_logic/Iqsow6_lutinv }),
.d({\u_logic/_al_u4191_o ,\u_logic/_al_u4191_o }),
.mi({open_n163609,\u_logic/_al_u4192_o }),
.fx({open_n163614,\u_logic/_al_u4327_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~D))"),
//.LUT1("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
.INIT_LUT0(16'b0000111100001100),
.INIT_LUT1(16'b0011001100001111),
.MODE("LOGIC"))
\u_logic/_al_u4329|u_logic/_al_u4273 (
.b({\u_logic/_al_u4327_o ,\u_logic/_al_u4121_o }),
.c({\u_logic/_al_u4328_o ,\u_logic/_al_u4097_o }),
.d({\u_logic/_al_u4296_o ,\u_logic/_al_u4272_o }),
.f({\u_logic/_al_u4329_o ,\u_logic/_al_u4273_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u432|u_logic/_al_u468 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Pxzhu6 ,\u_logic/Gwzhu6 }),
.f({\u_logic/Tgfpw6 [2],\u_logic/Tgfpw6 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(0*~(~D*~(~C*~B*A)))"),
//.LUT1("(1*~(~D*~(~C*~B*A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1111111100000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4331 (
.a({\u_logic/_al_u4319_o ,\u_logic/_al_u4319_o }),
.b({\u_logic/_al_u4323_o ,\u_logic/_al_u4323_o }),
.c({\u_logic/_al_u4330_o ,\u_logic/_al_u4330_o }),
.d({\u_logic/_al_u4231_o ,\u_logic/_al_u4231_o }),
.mi({open_n163675,\u_logic/A0fow6_lutinv }),
.fx({open_n163680,\u_logic/_al_u4331_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19482)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~D*~(C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000000000111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4332|u_logic/P33bx6_reg (
.a({\u_logic/F0eow6 ,open_n163683}),
.b({\u_logic/M0eow6 ,\u_logic/_al_u1899_o }),
.c({\u_logic/Fb0bx6 ,\u_logic/_al_u1935_o }),
.clk(clk_pad),
.d({\u_logic/Rk1bx6 ,\u_logic/_al_u1948_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4332_o ,\u_logic/Ezohu6 }),
.q({open_n163704,\u_logic/P33bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19482)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4333 (
.a({\u_logic/_al_u4332_o ,\u_logic/_al_u4332_o }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/R7kpw6 ,\u_logic/R7kpw6 }),
.mi({open_n163717,\u_logic/T9kpw6 }),
.fx({open_n163722,\u_logic/_al_u4333_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17762)
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~D)"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("~(~C*~D)"),
//.LUTG1("(B*~(C*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111110000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1111111111110000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4334|u_logic/K7vpw6_reg (
.b({\u_logic/_al_u4333_o ,open_n163727}),
.c({\u_logic/_al_u1937_o ,\u_logic/DBGRESTARTED }),
.clk(clk_pad),
.d({\u_logic/_al_u4331_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4334_o ,open_n163745}),
.q({open_n163749,\u_logic/DBGRESTARTED })); // ../rtl/topmodule/cortexm0ds_logic.v(17762)
// ../rtl/topmodule/cortexm0ds_logic.v(18274)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4336|u_logic/Lycax6_reg (
.a({\u_logic/Cs1iu6 ,\u_logic/Xs1iu6 }),
.b({\u_logic/Ar1iu6 ,\u_logic/Cs1iu6 }),
.c({\u_logic/P4cax6 ,\u_logic/N3hbx6 }),
.ce(\u_logic/n525 ),
.clk(clk_pad),
.d({\u_logic/Xpxax6 ,\u_logic/Tzgbx6 }),
.mi({open_n163760,\u_logic/S54iu6 }),
.f({\u_logic/_al_u4336_o ,\u_logic/_al_u4422_o }),
.q({open_n163765,\u_logic/Lycax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18274)
// ../rtl/topmodule/cortexm0ds_logic.v(18412)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4340|u_logic/Vqgax6_reg (
.a({\u_logic/Yw1iu6 ,\u_logic/_al_u404_o }),
.b({\u_logic/_al_u4337_o ,\u_logic/_al_u406_o }),
.c({\u_logic/_al_u4338_o ,\u_logic/_al_u407_o }),
.ce(\u_logic/n394 ),
.clk(clk_pad),
.d({\u_logic/_al_u4339_o ,\u_logic/M94iu6 }),
.mi({open_n163776,\u_logic/F94iu6 }),
.f({\u_logic/_al_u4340_o ,\u_logic/Tu4iu6 }),
.q({open_n163781,\u_logic/Vqgax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18412)
// ../rtl/topmodule/cortexm0ds_logic.v(18626)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(~B*~(1*(D*~(A)*~(C)+D*A*~(C)+~(D)*A*C+D*A*C)))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110011001100),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1110111111101100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4342|u_logic/W0jax6_reg (
.a({open_n163782,\u_logic/_al_u4392_o }),
.b({open_n163783,\u_logic/_al_u4405_o }),
.c({\u_logic/Wofiu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.clk(clk_pad),
.d({\u_logic/U6piu6 ,\u_logic/Vzjpw6 }),
.e({open_n163785,\u_logic/Xlfpw6 [7]}),
.f({\u_logic/H2ciu6_lutinv ,open_n163801}),
.q({open_n163805,\u_logic/W0jax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18626)
// ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~B*~(C)*~(D)+~B*C*~(D)+~(~B)*C*D+~B*C*D)"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000000110011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111000000110011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4348|RAMDATA_Interface/reg0_b2 (
.a({\u_logic/Bo1iu6 ,open_n163806}),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u2615_o }),
.c({\u_logic/Ro8ax6 ,\u_logic/Pg3qw6 }),
.ce(\RAMDATA_Interface/n15 ),
.clk(clk_pad),
.d({\u_logic/Su8ax6 ,\u_logic/n5754 }),
.sr(cpuresetn),
.f({\u_logic/Ipsiu6 ,HADDR[4]}),
.q({open_n163826,RAMDATA_WADDR[2]})); // ../rtl/AHBsubordinate/AHBlite_Block_RAM.v(58)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("~(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000111100110011),
.MODE("LOGIC"))
\u_logic/_al_u4349|u_logic/_al_u4298 (
.b({\u_logic/_al_u4186_o ,open_n163829}),
.c({\u_logic/Odgow6 ,\u_logic/_al_u4093_o }),
.d({\u_logic/_al_u4327_o ,\u_logic/_al_u4119_o }),
.f({\u_logic/_al_u4349_o ,\u_logic/_al_u4298_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18948)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u434|u_logic/Lxwax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/C7now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r5_o[10] ,\u_logic/vis_r6_o[10] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[10] ,\u_logic/vis_r4_o[10] }),
.mi({open_n163853,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u434_o ,\u_logic/_al_u371_o }),
.q({open_n163869,\u_logic/vis_r7_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(18948)
EG_PHY_MSLICE #(
//.LUT0("(~(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*~(B)*~(A)+~(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*B*~(A)+~(~(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))*B*A+~(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*B*A)"),
//.LUT1("(~(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*~(B)*~(A)+~(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*B*~(A)+~(~(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))*B*A+~(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*B*A)"),
.INIT_LUT0(16'b1000110111011101),
.INIT_LUT1(16'b1000100011011000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4350 (
.a({\u_logic/_al_u4296_o ,\u_logic/_al_u4296_o }),
.b({\u_logic/_al_u4349_o ,\u_logic/_al_u4349_o }),
.c({\u_logic/_al_u4328_o ,\u_logic/_al_u4328_o }),
.d({\u_logic/_al_u4164_o ,\u_logic/_al_u4164_o }),
.mi({open_n163882,\u_logic/_al_u4172_o }),
.fx({open_n163887,\u_logic/U9gow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0101010000010000),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0101010000010000),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4351|u_logic/_al_u4330 (
.a({open_n163890,\u_logic/_al_u4244_o }),
.b({\u_logic/_al_u4127_o ,\u_logic/Lprow6_lutinv }),
.c({\u_logic/_al_u4131_o ,\u_logic/_al_u4326_o }),
.d({\u_logic/M6fow6 ,\u_logic/_al_u4329_o }),
.f({\u_logic/_al_u4351_o ,\u_logic/_al_u4330_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
//.LUTF1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG0("~(C*~(B)*~((~D*~A))+C*B*~((~D*~A))+~(C)*B*(~D*~A)+C*B*(~D*~A))"),
//.LUTG1("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
.INIT_LUTF0(16'b0000111100011011),
.INIT_LUTF1(16'b1111000011001100),
.INIT_LUTG0(16'b0000111100011011),
.INIT_LUTG1(16'b1111000011001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4352|u_logic/_al_u4153 (
.a({open_n163915,\u_logic/_al_u4151_o }),
.b({\u_logic/_al_u4146_o ,\u_logic/L3sow6_lutinv }),
.c({\u_logic/_al_u4141_o ,\u_logic/E3sow6_lutinv }),
.d({\u_logic/_al_u4325_o ,\u_logic/_al_u4152_o }),
.f({\u_logic/_al_u4352_o ,\u_logic/_al_u4153_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*B*~(C*A))"),
//.LUT1("(C*~(B*~(~D*~A)))"),
.INIT_LUT0(16'b0000000001001100),
.INIT_LUT1(16'b0011000001110000),
.MODE("LOGIC"))
\u_logic/_al_u4354|u_logic/_al_u4111 (
.a({\u_logic/_al_u4112_o ,\u_logic/_al_u4079_o }),
.b({\u_logic/_al_u4079_o ,\u_logic/_al_u4080_o }),
.c({\u_logic/_al_u4080_o ,\u_logic/_al_u4110_o }),
.d({\u_logic/_al_u4110_o ,\u_logic/X7abx6 }),
.f({\u_logic/_al_u4354_o ,\u_logic/_al_u4111_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~((0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C))*~(A)+~B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*~(A)+~(~B)*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A+~B*(0*~(D)*~(C)+0*D*~(C)+~(0)*D*C+0*D*C)*A)"),
//.LUT1("(~B*~((1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C))*~(A)+~B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*~(A)+~(~B)*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A+~B*(1*~(D)*~(C)+1*D*~(C)+~(1)*D*C+1*D*C)*A)"),
.INIT_LUT0(16'b1011000100010001),
.INIT_LUT1(16'b1011101100011011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4356 (
.a({\u_logic/_al_u4298_o ,\u_logic/_al_u4298_o }),
.b({\u_logic/_al_u4355_o ,\u_logic/_al_u4355_o }),
.c({\u_logic/_al_u4106_o ,\u_logic/_al_u4106_o }),
.d({\u_logic/_al_u4100_o ,\u_logic/_al_u4100_o }),
.mi({open_n163972,\u_logic/_al_u4103_o }),
.fx({open_n163977,\u_logic/Lfgow6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(A*~(~C*~(~0*D))))"),
//.LUT1("(B*~(A*~(~C*~(~1*D))))"),
.INIT_LUT0(16'b0100010001001100),
.INIT_LUT1(16'b0100110001001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4357 (
.a({\u_logic/_al_u4048_o ,\u_logic/_al_u4048_o }),
.b({\u_logic/_al_u4042_o ,\u_logic/_al_u4042_o }),
.c({\u_logic/_al_u4049_o ,\u_logic/_al_u4049_o }),
.d({\u_logic/Bcabx6 ,\u_logic/Bcabx6 }),
.mi({open_n163992,\u_logic/Vbspw6 }),
.fx({open_n163997,\u_logic/_al_u4357_o }));
EG_PHY_MSLICE #(
//.LUT0("((0*~(D*~C))*~(B)*~(A)+(0*~(D*~C))*B*~(A)+~((0*~(D*~C)))*B*A+(0*~(D*~C))*B*A)"),
//.LUT1("((1*~(D*~C))*~(B)*~(A)+(1*~(D*~C))*B*~(A)+~((1*~(D*~C)))*B*A+(1*~(D*~C))*B*A)"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1101100011011101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4358 (
.a({\u_logic/_al_u4053_o ,\u_logic/_al_u4053_o }),
.b({\u_logic/_al_u4357_o ,\u_logic/_al_u4357_o }),
.c({\u_logic/_al_u4051_o ,\u_logic/_al_u4051_o }),
.d({\u_logic/_al_u4038_o ,\u_logic/_al_u4038_o }),
.mi({open_n164012,\u_logic/_al_u4039_o }),
.fx({open_n164017,\u_logic/Kigow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+A*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(B*~(A*~(~C*~(~0*D))))"),
//.LUTG0("(~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+A*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(B*~(A*~(~C*~(~1*D))))"),
.INIT_LUTF0(16'b0100010000000000),
.INIT_LUTF1(16'b0100010001001100),
.INIT_LUTG0(16'b1111111110110011),
.INIT_LUTG1(16'b0100110001001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4359|u_logic/_al_u4071 (
.a({\u_logic/_al_u4069_o ,\u_logic/_al_u4069_o }),
.b({\u_logic/_al_u4055_o ,\u_logic/_al_u4055_o }),
.c({\u_logic/_al_u4070_o ,\u_logic/_al_u4070_o }),
.d({\u_logic/C5gbx6 ,\u_logic/C5gbx6 }),
.e({\u_logic/Uizax6 ,\u_logic/Uizax6 }),
.f({\u_logic/_al_u4359_o ,\u_logic/Tktow6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17853)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u435|u_logic/Hsxpw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Mnqow6 ,\u_logic/V6now6_lutinv }),
.c({\u_logic/vis_r3_o[10] ,\u_logic/vis_r1_o[10] }),
.ce(\u_logic/Qsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[10] ,\u_logic/vis_r2_o[10] }),
.mi({open_n164052,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u435_o ,\u_logic/_al_u372_o }),
.q({open_n164057,\u_logic/vis_r5_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17853)
EG_PHY_LSLICE #(
//.LUTF0("(B*(~(A)*C*~(D)+~(A)*~(C)*D+~(A)*C*D+A*C*D))"),
//.LUTF1("(C*~B*~D)"),
//.LUTG0("(B*(~(A)*C*~(D)+~(A)*~(C)*D+~(A)*C*D+A*C*D))"),
//.LUTG1("(C*~B*~D)"),
.INIT_LUTF0(16'b1100010001000000),
.INIT_LUTF1(16'b0000000000110000),
.INIT_LUTG0(16'b1100010001000000),
.INIT_LUTG1(16'b0000000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4362|u_logic/_al_u4238 (
.a({open_n164058,\u_logic/_al_u4219_o }),
.b({\u_logic/_al_u4240_o ,\u_logic/Carow6_lutinv }),
.c({\u_logic/_al_u4361_o ,\u_logic/Rerow6_lutinv }),
.d({\u_logic/_al_u4239_o ,\u_logic/Jhrow6_lutinv }),
.f({\u_logic/_al_u4362_o ,\u_logic/_al_u4238_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~D*~(C*~(~B*A)))"),
//.LUT1("(1*~D*~(C*~(~B*A)))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000000101111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4363 (
.a({\u_logic/_al_u4217_o ,\u_logic/_al_u4217_o }),
.b({\u_logic/_al_u4237_o ,\u_logic/_al_u4237_o }),
.c({\u_logic/_al_u4238_o ,\u_logic/_al_u4238_o }),
.d({\u_logic/_al_u4225_o ,\u_logic/_al_u4225_o }),
.mi({open_n164095,\u_logic/Mnmpw6 }),
.fx({open_n164100,\u_logic/_al_u4363_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19134)
EG_PHY_MSLICE #(
//.LUT0("~(~D*~(C*B))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111000000),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4365|u_logic/Yqzax6_reg (
.a({\u_logic/Iv1iu6 ,open_n164103}),
.b({\u_logic/Ar1iu6 ,\u_logic/Gk4iu6 }),
.c({\u_logic/Dm6bx6 ,\u_logic/Wvgax6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/vis_pc_o[11] ,\u_logic/_al_u1195_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4365_o ,HWDATA[7]}),
.q({open_n164119,\u_logic/Yqzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19134)
// ../rtl/topmodule/cortexm0ds_logic.v(18168)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4368|u_logic/Lk9ax6_reg (
.a({\u_logic/Kw1iu6_lutinv ,\u_logic/Kw1iu6_lutinv }),
.b({\u_logic/Dw1iu6 ,\u_logic/Iv1iu6 }),
.c({\u_logic/Lk9ax6 ,\u_logic/vis_pc_o[12] }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({\u_logic/Rteax6 ,\u_logic/Oi9ax6 }),
.mi({open_n164130,\u_logic/X44iu6 }),
.f({\u_logic/_al_u4368_o ,\u_logic/_al_u4338_o }),
.q({open_n164135,\u_logic/Lk9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18168)
// ../rtl/topmodule/cortexm0ds_logic.v(17850)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u436|u_logic/Hmxpw6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[10] ,\u_logic/vis_r3_o[10] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[10] ,\u_logic/vis_r5_o[10] }),
.mi({open_n164139,\u_logic/Hymiu6 }),
.f({\u_logic/_al_u436_o ,\u_logic/_al_u373_o }),
.q({open_n164155,\u_logic/vis_r1_o[10] })); // ../rtl/topmodule/cortexm0ds_logic.v(17850)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4370|u_logic/_al_u3164 (
.a({\u_logic/_al_u2981_o ,\u_logic/St1iu6 }),
.b({\u_logic/_al_u4367_o ,\u_logic/Vr1iu6 }),
.c({\u_logic/_al_u4368_o ,HRDATA[10]}),
.d({\u_logic/_al_u4369_o ,\u_logic/C4dax6 }),
.f({\u_logic/_al_u4370_o ,\u_logic/_al_u3164_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19434)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~A*~(D*C*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010101010101),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010101010101),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4371|u_logic/Rm2bx6_reg (
.a({\u_logic/F0eow6 ,\u_logic/_al_u1944_o }),
.b({\u_logic/M0eow6 ,\u_logic/_al_u1061_o }),
.c({\u_logic/E90bx6 ,\u_logic/_al_u1935_o }),
.clk(clk_pad),
.d({\u_logic/Z71bx6 ,\u_logic/_al_u1909_o }),
.sr(cpuresetn),
.f({\u_logic/A6gow6 ,\u_logic/Gwdpw6 }),
.q({open_n164200,\u_logic/Rm2bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19434)
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4372 (
.a({\u_logic/A6gow6 ,\u_logic/A6gow6 }),
.b({\u_logic/Yvgiu6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/M6eiu6 ,\u_logic/M6eiu6 }),
.d({\u_logic/Tyipw6 ,\u_logic/Tyipw6 }),
.mi({open_n164213,\u_logic/V0jpw6 }),
.fx({open_n164218,\u_logic/_al_u4372_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18715)
EG_PHY_LSLICE #(
//.LUTF0("~(A*(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTF1("~(~(D*~(~0*C))*~(B)*~(A)+~(D*~(~0*C))*B*~(A)+~(~(D*~(~0*C)))*B*A+~(D*~(~0*C))*B*A)"),
//.LUTG0("~(A*(~D*~(C)*~(B)+~D*C*~(B)+~(~D)*C*B+~D*C*B))"),
//.LUTG1("~(~(D*~(~1*C))*~(B)*~(A)+~(D*~(~1*C))*B*~(A)+~(~(D*~(~1*C)))*B*A+~(D*~(~1*C))*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111111101011101),
.INIT_LUTF1(16'b0010011100100010),
.INIT_LUTG0(16'b0111111101011101),
.INIT_LUTG1(16'b0111011100100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4377|u_logic/L4lax6_reg (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4331_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u4344_o ,\u_logic/_al_u2856_o }),
.clk(clk_pad),
.d({\u_logic/T5mpw6 ,\u_logic/L4lax6 }),
.e({\u_logic/Vzjpw6 ,open_n164222}),
.sr(cpuresetn),
.f({\u_logic/_al_u4377_o ,open_n164237}),
.q({open_n164241,\u_logic/L4lax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18715)
// ../rtl/topmodule/cortexm0ds_logic.v(18334)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUTF1("((D*~(~0*C))*~(B)*~(A)+(D*~(~0*C))*B*~(A)+~((D*~(~0*C)))*B*A+(D*~(~0*C))*B*A)"),
//.LUTG0("(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUTG1("((D*~(~1*C))*~(B)*~(A)+(D*~(~1*C))*B*~(A)+~((D*~(~1*C)))*B*A+(D*~(~1*C))*B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110000110000),
.INIT_LUTF1(16'b1000110110001000),
.INIT_LUTG0(16'b1111110000110000),
.INIT_LUTG1(16'b1101110110001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4379|u_logic/M8fax6_reg (
.a({\u_logic/H2ciu6_lutinv ,open_n164242}),
.b({\u_logic/_al_u4302_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.c({\u_logic/_al_u4344_o ,\u_logic/M8fax6 }),
.clk(clk_pad),
.d({\u_logic/Jpmpw6 ,\u_logic/_al_u736_o }),
.e({\u_logic/Vzjpw6 ,open_n164244}),
.sr(RSTn_pad),
.f({\u_logic/_al_u4379_o ,open_n164259}),
.q({open_n164263,\u_logic/M8fax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18334)
EG_PHY_MSLICE #(
//.LUT0("((0*~(~D*C))*~(B)*~(A)+(0*~(~D*C))*B*~(A)+~((0*~(~D*C)))*B*A+(0*~(~D*C))*B*A)"),
//.LUT1("((1*~(~D*C))*~(B)*~(A)+(1*~(~D*C))*B*~(A)+~((1*~(~D*C)))*B*A+(1*~(~D*C))*B*A)"),
.INIT_LUT0(16'b1000100010001000),
.INIT_LUT1(16'b1101110110001101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4382 (
.a({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.b({\u_logic/_al_u4381_o ,\u_logic/_al_u4381_o }),
.c({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.mi({open_n164276,\u_logic/Xiipw6 }),
.fx({open_n164281,\u_logic/_al_u4382_o }));
EG_PHY_MSLICE #(
//.LUT0("((D*~(~0*C))*~(A)*~(B)+(D*~(~0*C))*A*~(B)+~((D*~(~0*C)))*A*B+(D*~(~0*C))*A*B)"),
//.LUT1("((D*~(~1*C))*~(A)*~(B)+(D*~(~1*C))*A*~(B)+~((D*~(~1*C)))*A*B+(D*~(~1*C))*A*B)"),
.INIT_LUT0(16'b1000101110001000),
.INIT_LUT1(16'b1011101110001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4384 (
.a({\u_logic/_al_u4245_o ,\u_logic/_al_u4245_o }),
.b({\u_logic/H2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.c({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.d({\u_logic/G2iax6 ,\u_logic/G2iax6 }),
.mi({open_n164296,\u_logic/Vzjpw6 }),
.fx({open_n164301,\u_logic/_al_u4384_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~C*D))"),
//.LUTF1("~(C@D)"),
//.LUTG0("(B*~(~C*D))"),
//.LUTG1("~(C@D)"),
.INIT_LUTF0(16'b1100000011001100),
.INIT_LUTF1(16'b1111000000001111),
.INIT_LUTG0(16'b1100000011001100),
.INIT_LUTG1(16'b1111000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4389|u_logic/_al_u4386 (
.b({open_n164306,\u_logic/F4iax6 }),
.c({\u_logic/Jpmpw6 ,\u_logic/Vzjpw6 }),
.d({\u_logic/_al_u4302_o ,\u_logic/_al_u4344_o }),
.f({\u_logic/_al_u4389_o ,\u_logic/_al_u4386_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u438|u_logic/_al_u3696 (
.b({open_n164333,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/Xuzhu6 ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/G30iu6 ,\u_logic/Z2epw6 }),
.f({\u_logic/Tgfpw6 [10],\u_logic/Ge9ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0@B)*(C@A))"),
//.LUTF1("(A*(~(B)*~(C)*~(D)*~(0)+~(B)*C*~(D)*~(0)+B*~(C)*D*~(0)+B*C*~(D)*0))"),
//.LUTG0("(D*~(1@B)*(C@A))"),
//.LUTG1("(A*(~(B)*~(C)*~(D)*~(1)+~(B)*C*~(D)*~(1)+B*~(C)*D*~(1)+B*C*~(D)*1))"),
.INIT_LUTF0(16'b0001001000000000),
.INIT_LUTF1(16'b0000100000100010),
.INIT_LUTG0(16'b0100100000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4390|u_logic/_al_u4391 (
.a({\u_logic/_al_u4389_o ,\u_logic/_al_u4331_o }),
.b({\u_logic/_al_u4243_o ,\u_logic/_al_u4381_o }),
.c({\u_logic/_al_u4244_o ,\u_logic/T5mpw6 }),
.d({\u_logic/F4iax6 ,\u_logic/Vzjpw6 }),
.e({\u_logic/G2iax6 ,\u_logic/Xiipw6 }),
.f({\u_logic/_al_u4390_o ,\u_logic/_al_u4391_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(B*A*~(D@C))"),
//.LUTG0("(C*D)"),
//.LUTG1("(B*A*~(D@C))"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b1000000000001000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b1000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4392|u_logic/_al_u5 (
.a({\u_logic/_al_u4390_o ,open_n164380}),
.b({\u_logic/_al_u4391_o ,open_n164381}),
.c({\u_logic/_al_u4364_o ,\u_logic/W2jax6 }),
.d({\u_logic/Xdspw6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/_al_u4392_o ,\u_logic/Vnfpw6 [7]}));
EG_PHY_MSLICE #(
//.LUT0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUT1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4393 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u2856_o }),
.d({HRDATA[6],HRDATA[6]}),
.mi({open_n164418,\u_logic/E8iax6 }),
.fx({open_n164423,\u_logic/_al_u4393_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUT1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4395 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u2856_o }),
.d({HRDATA[7],HRDATA[7]}),
.mi({open_n164438,\u_logic/Zqiax6 }),
.fx({open_n164443,\u_logic/_al_u4395_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTF1("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTG0("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
//.LUTG1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010001000100010),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4397|u_logic/_al_u4399 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u2856_o }),
.d({HRDATA[8],HRDATA[9]}),
.e({\u_logic/Ysiax6 ,\u_logic/Xuiax6 }),
.f({\u_logic/_al_u4397_o ,\u_logic/_al_u4399_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTF1("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTG0("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
//.LUTG1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1010001000100010),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4401|u_logic/_al_u4403 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u2856_o }),
.d({HRDATA[10],HRDATA[11]}),
.e({\u_logic/Wwiax6 ,\u_logic/Wyiax6 }),
.f({\u_logic/_al_u4401_o ,\u_logic/_al_u4403_o }));
EG_PHY_LSLICE #(
//.LUTF0("((0*~(~D*C))*~(B)*~(A)+(0*~(~D*C))*B*~(A)+~((0*~(~D*C)))*B*A+(0*~(~D*C))*B*A)"),
//.LUTF1("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTG0("((1*~(~D*C))*~(B)*~(A)+(1*~(~D*C))*B*~(A)+~((1*~(~D*C)))*B*A+(1*~(~D*C))*B*A)"),
//.LUTG1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUTF0(16'b1000100010001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1101110110001101),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4405|u_logic/_al_u4409 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/H2ciu6_lutinv }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4364_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u4344_o }),
.d({HRDATA[12],\u_logic/Vzjpw6 }),
.e({\u_logic/W0jax6 ,\u_logic/Xdspw6 }),
.f({\u_logic/_al_u4405_o ,\u_logic/_al_u4409_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*~(0*B*A)))"),
//.LUTF1("(A*(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTG0("(C*~(D*~(1*B*A)))"),
//.LUTG1("(A*(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000011110000),
.INIT_LUTG1(16'b1010001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4407|u_logic/_al_u4455 (
.a({\u_logic/A2ciu6_lutinv ,\u_logic/_al_u4243_o }),
.b({\u_logic/_al_u4344_o ,\u_logic/_al_u4244_o }),
.c({\u_logic/_al_u2856_o ,\u_logic/_al_u4434_o }),
.d({HRDATA[13],\u_logic/_al_u4249_o }),
.e({\u_logic/W2jax6 ,\u_logic/_al_u1937_o }),
.f({\u_logic/_al_u4407_o ,\u_logic/_al_u4455_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20020)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~A*~(D*C))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("~(B*~A*~(D*C))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111101110111011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111101110111011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4411|u_logic/Qwfbx6_reg (
.a({\u_logic/Bo1iu6 ,\u_logic/_al_u3109_o }),
.b({\u_logic/D7xiu6_lutinv ,\u_logic/_al_u3110_o }),
.c({\u_logic/F8dbx6 ,\u_logic/Bo1iu6 }),
.clk(clk_pad),
.d({\u_logic/H7hbx6 ,\u_logic/Bvfbx6 }),
.f({\u_logic/_al_u4411_o ,open_n164552}),
.q({open_n164556,\u_logic/Qwfbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20020)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4415 (
.c({open_n164561,\u_logic/Gz6ax6 }),
.d({open_n164564,\u_logic/Hqgiu6 }),
.f({open_n164578,\u_logic/_al_u4415_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*D)"),
//.LUTF1("(C*B*~(D*~A))"),
//.LUTG0("~(C*D)"),
//.LUTG1("(C*B*~(D*~A))"),
.INIT_LUTF0(16'b0000111111111111),
.INIT_LUTF1(16'b1000000011000000),
.INIT_LUTG0(16'b0000111111111111),
.INIT_LUTG1(16'b1000000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4421|u_logic/_al_u2714 (
.a({\u_logic/U6piu6 ,open_n164584}),
.b({\u_logic/Yw1iu6 ,open_n164585}),
.c({\u_logic/_al_u4420_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/_al_u1937_o ,\u_logic/W7cow6 }),
.f({\u_logic/Xyuiu6 ,\u_logic/n3472 }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*~(A*~(~D*B)))"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b0101000011010000),
.MODE("LOGIC"))
\u_logic/_al_u4429|u_logic/_al_u685 (
.a({\u_logic/_al_u4428_o ,open_n164610}),
.b({\u_logic/_al_u153_o ,open_n164611}),
.c({\u_logic/Fpnpw6 ,\u_logic/Xxupw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/T1vpw6 }),
.f({\u_logic/Hemow6_lutinv ,\u_logic/_al_u685_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(C*B))"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0011111100000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4430|u_logic/_al_u4434 (
.b({\u_logic/Wjyiu6 ,\u_logic/Wjyiu6 }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/Hemow6_lutinv ,\u_logic/Hemow6_lutinv }),
.f({\u_logic/Q2eow6 ,\u_logic/_al_u4434_o }));
EG_PHY_LSLICE #(
//.LUTF0("~((C*~B)*~(A)*~(D)+(C*~B)*A*~(D)+~((C*~B))*A*D+(C*~B)*A*D)"),
//.LUTF1("(C*~D)"),
//.LUTG0("~((C*~B)*~(A)*~(D)+(C*~B)*A*~(D)+~((C*~B))*A*D+(C*~B)*A*D)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0101010111001111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0101010111001111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4431|u_logic/_al_u4438 (
.a({open_n164654,\u_logic/Ldiow6_lutinv }),
.b({open_n164655,\u_logic/Aujpw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/S4kbx6 }),
.d({\u_logic/Ldiow6_lutinv ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u4431_o ,\u_logic/_al_u4438_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4433|u_logic/_al_u4440 (
.a({\u_logic/Ha3ju6_lutinv ,\u_logic/We3ju6_lutinv }),
.b({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u4432_o ,\u_logic/_al_u4432_o }),
.d({HRDATA[23],HRDATA[15]}),
.f({\u_logic/Mjnow6 ,\u_logic/Iimow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18642)
EG_PHY_MSLICE #(
//.LUT0("~(~C*B*~D)"),
//.LUT1("(~(D*B)*~(C*~A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111111110011),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4436|u_logic/Tajax6_reg (
.a({\u_logic/Rw1iu6 ,open_n164704}),
.b({\u_logic/Q2eow6 ,\u_logic/vis_tbit_o }),
.c({\u_logic/_al_u4434_o ,\u_logic/Sz3qw6 }),
.ce(\u_logic/n4330 ),
.clk(clk_pad),
.d({HRDATA[31],\u_logic/Pexpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4436_o ,\u_logic/Wz4iu6 }),
.q({open_n164720,\u_logic/Tajax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18642)
EG_PHY_MSLICE #(
//.LUT0("(D*(~B*~((0*~A))*~(C)+~B*(0*~A)*~(C)+~(~B)*(0*~A)*C+~B*(0*~A)*C))"),
//.LUT1("(D*(~B*~((1*~A))*~(C)+~B*(1*~A)*~(C)+~(~B)*(1*~A)*C+~B*(1*~A)*C))"),
.INIT_LUT0(16'b0000001100000000),
.INIT_LUT1(16'b0101001100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4439 (
.a({\u_logic/H78ow6 ,\u_logic/H78ow6 }),
.b({\u_logic/_al_u4437_o ,\u_logic/_al_u4437_o }),
.c({\u_logic/_al_u4438_o ,\u_logic/_al_u4438_o }),
.d({\u_logic/Ms5bx6 ,\u_logic/Ms5bx6 }),
.mi({open_n164733,\u_logic/Qyniu6_lutinv }),
.fx({open_n164738,\u_logic/_al_u4439_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19729)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(~0*~D*C*A))"),
//.LUTF1("(~(D*B)*~(~C*~A))"),
//.LUTG0("~(B*~(~1*~D*C*A))"),
//.LUTG1("(~(D*B)*~(~C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001110110011),
.INIT_LUTF1(16'b0011001011111010),
.INIT_LUTG0(16'b0011001100110011),
.INIT_LUTG1(16'b0011001011111010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4441|u_logic/Nu5bx6_reg (
.a({\u_logic/_al_u4438_o ,\u_logic/Iiliu6 }),
.b({\u_logic/S2ziu6_lutinv ,\u_logic/_al_u2804_o }),
.c({\u_logic/Ms5bx6 ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Ufopw6 ,\u_logic/_al_u1509_o }),
.e({open_n164742,\u_logic/_al_u1223_o }),
.f({\u_logic/Bimow6 ,open_n164758}),
.q({open_n164762,\u_logic/Nu5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19729)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(~(D*B)*~(C*~A))"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"))
\u_logic/_al_u4443|u_logic/_al_u4490 (
.a({\u_logic/Pxriu6 ,\u_logic/Jaqiu6 }),
.b({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.d({HRDATA[7],HRDATA[2]}),
.f({\u_logic/_al_u4443_o ,\u_logic/_al_u4490_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~(D*~A)))"),
//.LUT1("(B*~(C*~D))"),
.INIT_LUT0(16'b0001001100000011),
.INIT_LUT1(16'b1100110000001100),
.MODE("LOGIC"))
\u_logic/_al_u4444|u_logic/_al_u4629 (
.a({open_n164783,\u_logic/_al_u4263_o }),
.b({\u_logic/_al_u4443_o ,\u_logic/Cfliu6 }),
.c({\u_logic/_al_u4432_o ,\u_logic/_al_u4479_o }),
.d({\u_logic/_al_u3833_o ,\u_logic/_al_u4434_o }),
.f({\u_logic/_al_u4444_o ,\u_logic/_al_u4629_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~C*~A*~(0*~D)))"),
//.LUT1("(~B*~(~C*~A*~(1*~D)))"),
.INIT_LUT0(16'b0011001000110010),
.INIT_LUT1(16'b0011001000110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4446 (
.a({\u_logic/_al_u4444_o ,\u_logic/_al_u4444_o }),
.b({\u_logic/_al_u3892_o ,\u_logic/_al_u3892_o }),
.c({\u_logic/_al_u4445_o ,\u_logic/_al_u4445_o }),
.d({\u_logic/_al_u1445_o ,\u_logic/_al_u1445_o }),
.mi({open_n164816,\u_logic/Aujpw6 }),
.fx({open_n164821,\u_logic/Nhmow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*~C))"),
//.LUTF1("(C*~D)"),
//.LUTG0("(B*A*~(D*~C))"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b1000000010001000),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b1000000010001000),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4447|u_logic/_al_u4637 (
.a({open_n164824,\u_logic/_al_u4633_o }),
.b({open_n164825,\u_logic/_al_u2567_o }),
.c({\u_logic/Nhmow6 ,\u_logic/_al_u685_o }),
.d({\u_logic/_al_u4442_o ,\u_logic/D1piu6_lutinv }),
.f({\u_logic/_al_u4447_o ,\u_logic/Cmziu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4449|u_logic/_al_u4462 (
.b({\u_logic/R3how6_lutinv ,\u_logic/F93ju6_lutinv }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/_al_u3799_o }),
.d({\u_logic/_al_u3800_o ,\u_logic/_al_u3793_o }),
.f({\u_logic/_al_u4449_o ,\u_logic/_al_u4462_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u444|u_logic/_al_u456 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Uwzhu6 ,\u_logic/Nwzhu6 }),
.f({\u_logic/Tgfpw6 [3],\u_logic/Tgfpw6 [4]}));
EG_PHY_MSLICE #(
//.LUT0("(D*~C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b0000100000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4451|u_logic/_al_u4459 (
.a({open_n164904,\u_logic/_al_u3793_o }),
.b({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/_al_u3799_o }),
.d({\u_logic/Jfmow6 ,\u_logic/Tucow6_lutinv }),
.f({\u_logic/_al_u4451_o ,\u_logic/_al_u4459_o }));
EG_PHY_MSLICE #(
//.LUT0("~(A*~((C*B))*~(D)+A*(C*B)*~(D)+~(A)*(C*B)*D+A*(C*B)*D)"),
//.LUT1("(~D*~(C*B))"),
.INIT_LUT0(16'b0011111101010101),
.INIT_LUT1(16'b0000000000111111),
.MODE("LOGIC"))
\u_logic/_al_u4452|u_logic/_al_u144 (
.a({open_n164925,\u_logic/_al_u141_o }),
.b({\u_logic/Pthiu6 ,\u_logic/_al_u142_o }),
.c({\u_logic/Ydopw6 ,\u_logic/Fq8iu6 }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u4452_o ,\u_logic/_al_u144_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19724)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*(D@A)))"),
//.LUTF1("(~C*~B*~D)"),
//.LUTG0("~(B*~(C*(D@A)))"),
//.LUTG1("(~C*~B*~D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001110110011),
.INIT_LUTF1(16'b0000000000000011),
.INIT_LUTG0(16'b0111001110110011),
.INIT_LUTG1(16'b0000000000000011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4458|u_logic/Ms5bx6_reg (
.a({open_n164946,\u_logic/_al_u2566_o }),
.b({\u_logic/_al_u4456_o ,\u_logic/_al_u2653_o }),
.c({\u_logic/_al_u4457_o ,\u_logic/_al_u2288_o }),
.ce(\u_logic/n1481 ),
.clk(clk_pad),
.d({\u_logic/_al_u4455_o ,\u_logic/vis_pc_o[0] }),
.sr(cpuresetn),
.f({\u_logic/_al_u4458_o ,\u_logic/Iiliu6 }),
.q({open_n164966,\u_logic/Ms5bx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19724)
EG_PHY_LSLICE #(
//.LUTF0("(~A*(B*C*~(D)+~(B)*~(C)*D+B*C*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~A*(B*C*~(D)+~(B)*~(C)*D+B*C*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0100000101000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0100000101000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4460|u_logic/_al_u1733 (
.a({open_n164967,\u_logic/_al_u1154_o }),
.b({open_n164968,\u_logic/Wofiu6_lutinv }),
.c({\u_logic/Df3ju6 ,\u_logic/Rskax6 }),
.d({\u_logic/_al_u4459_o ,\u_logic/Ubypw6 }),
.f({\u_logic/_al_u4460_o ,\u_logic/_al_u1733_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~B*~D))"),
//.LUT1("(~D*~(C*~B))"),
.INIT_LUT0(16'b0000111100001100),
.INIT_LUT1(16'b0000000011001111),
.MODE("LOGIC"))
\u_logic/_al_u4461|u_logic/_al_u2647 (
.b({\u_logic/Tucow6_lutinv ,\u_logic/Zgziu6_lutinv }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u2646_o }),
.d({\u_logic/_al_u4460_o ,\u_logic/Egziu6 }),
.f({\u_logic/Vacow6 ,\u_logic/_al_u2647_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~A*~(D*~B))"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0000010000000101),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4463|u_logic/_al_u1570 (
.a({open_n165015,\u_logic/_al_u1154_o }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/Wofiu6_lutinv }),
.c({\u_logic/Df3ju6 ,\u_logic/Rskax6 }),
.d({\u_logic/_al_u4462_o ,\u_logic/Ubypw6 }),
.f({\u_logic/Xbcow6_lutinv ,\u_logic/Ph8iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(~(~C*B)*~(D*A))"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0101000111110011),
.MODE("LOGIC"))
\u_logic/_al_u4466|u_logic/_al_u4521 (
.a({\u_logic/Q2eow6 ,\u_logic/Yzqiu6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/Q2eow6 }),
.c({\u_logic/W4siu6 ,\u_logic/_al_u4434_o }),
.d({HRDATA[8],HRDATA[4]}),
.f({\u_logic/_al_u4466_o ,\u_logic/_al_u4521_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*B)*~(~C*~A))"),
//.LUT1("(~(~C*B)*~(~D*~A))"),
.INIT_LUT0(16'b1111101000110010),
.INIT_LUT1(16'b1111001110100010),
.MODE("LOGIC"))
\u_logic/_al_u4468|u_logic/_al_u4485 (
.a({\u_logic/Vacow6 ,\u_logic/Vacow6 }),
.b({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/_al_u4465_o ,\u_logic/Xv6ow6 }),
.d({\u_logic/Rcliu6 ,\u_logic/_al_u4484_o }),
.f({\u_logic/Ogdow6 ,\u_logic/Bddow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18586)
EG_PHY_LSLICE #(
//.LUTF0("~(~(0*D*C)*~(~B*~A))"),
//.LUTF1("(~A*~(0*B*~(~D*C)))"),
//.LUTG0("~(~(1*D*C)*~(~B*~A))"),
//.LUTG1("(~A*~(1*B*~(~D*C)))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001000100010001),
.INIT_LUTF1(16'b0101010101010101),
.INIT_LUTG0(16'b1111000100010001),
.INIT_LUTG1(16'b0001000101010001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4469|u_logic/Xfiax6_reg (
.a({\u_logic/Tucow6_lutinv ,\u_logic/_al_u1135_o }),
.b({\u_logic/T1vpw6 ,\u_logic/_al_u1154_o }),
.c({\u_logic/Vzupw6 ,\u_logic/_al_u158_o }),
.ce(\u_logic/n602 ),
.clk(clk_pad),
.d({\u_logic/Xxupw6 ,\u_logic/_al_u1155_o }),
.e({\u_logic/Ydopw6 ,\u_logic/Iixpw6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4469_o ,\u_logic/Oy8iu6 }),
.q({open_n165093,\u_logic/vis_primask_o })); // ../rtl/topmodule/cortexm0ds_logic.v(18586)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000001111001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4470|u_logic/_al_u4664 (
.b({open_n165096,\u_logic/Tucow6_lutinv }),
.c({\u_logic/_al_u4469_o ,\u_logic/Vo3ju6_lutinv }),
.d({\u_logic/_al_u4449_o ,\u_logic/_al_u3802_o }),
.f({\u_logic/Eccow6 ,\u_logic/_al_u4664_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4472|u_logic/_al_u4483 (
.a({\u_logic/Q2eow6 ,\u_logic/Ovpiu6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/Q2eow6 }),
.c({\u_logic/P8viu6 ,\u_logic/_al_u4434_o }),
.d({HRDATA[24],HRDATA[1]}),
.f({\u_logic/_al_u4472_o ,\u_logic/_al_u4483_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C*~D))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(B*~(C*~D))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b1100110000001100),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b1100110000001100),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4473|u_logic/_al_u4465 (
.b({\u_logic/_al_u4472_o ,\u_logic/_al_u4464_o }),
.c({\u_logic/_al_u4432_o ,\u_logic/_al_u4432_o }),
.d({\u_logic/_al_u3905_o ,\u_logic/_al_u3841_o }),
.f({\u_logic/_al_u4473_o ,\u_logic/_al_u4465_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~0*~D)*~(~C*~A))"),
//.LUT1("(B*~(~1*~D)*~(~C*~A))"),
.INIT_LUT0(16'b1100100000000000),
.INIT_LUT1(16'b1100100011001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4474 (
.a({\u_logic/_al_u4458_o ,\u_logic/_al_u4458_o }),
.b({\u_logic/Ogdow6 ,\u_logic/Ogdow6 }),
.c({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.d({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.mi({open_n165179,\u_logic/_al_u4473_o }),
.fx({open_n165184,\u_logic/_al_u4474_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000011110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u4476|u_logic/_al_u4478 (
.c({\u_logic/_al_u4432_o ,\u_logic/_al_u4432_o }),
.d({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/Dyeow6_lutinv ,\u_logic/Qtfow6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*C)*~(~B*A))"),
//.LUTF1("(~(D*C)*~(~B*A))"),
//.LUTG0("(~(D*C)*~(~B*A))"),
//.LUTG1("(~(D*C)*~(~B*A))"),
.INIT_LUTF0(16'b0000110111011101),
.INIT_LUTF1(16'b0000110111011101),
.INIT_LUTG0(16'b0000110111011101),
.INIT_LUTG1(16'b0000110111011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4477|u_logic/_al_u4513 (
.a({\u_logic/Dyeow6_lutinv ,\u_logic/Dyeow6_lutinv }),
.b({\u_logic/F14ju6 ,\u_logic/_al_u3865_o }),
.c({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.d({HRDATA[17],HRDATA[12]}),
.f({\u_logic/_al_u4477_o ,\u_logic/_al_u4513_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(D*~(1*~(C*~(A)*~(B)+C*A*~(B)+~(C)*A*B+C*A*B)))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1011100000000000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4479|u_logic/_al_u4529 (
.a({open_n165235,\u_logic/_al_u3811_o }),
.b({\u_logic/Qtfow6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/_al_u3861_o ,\u_logic/Mu3ju6 }),
.d({\u_logic/_al_u4477_o ,\u_logic/_al_u4528_o }),
.e({open_n165238,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4479_o ,\u_logic/Piziu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17992)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(D*~(~C*A)))"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("~(~B*~(D*~(~C*A)))"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111110111001100),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b1111110111001100),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4481|u_logic/Nj2qw6_reg (
.a({\u_logic/Q2eow6 ,\u_logic/_al_u407_o }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u411_o }),
.c({\u_logic/Ibsiu6 ,\u_logic/Dg2qw6 }),
.clk(clk_pad),
.d({HRDATA[9],\u_logic/Nj2qw6 }),
.sr(RSTn_pad),
.f({\u_logic/_al_u4481_o ,open_n165276}),
.q({open_n165280,\u_logic/Nj2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17992)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTF1("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTG0("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTG1("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111111100000000),
.INIT_LUTG0(16'b1110010000000000),
.INIT_LUTG1(16'b1110010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4484|u_logic/_al_u4491 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3816_o ,\u_logic/_al_u3818_o }),
.c({\u_logic/Mu3ju6 ,\u_logic/Yt3ju6 }),
.d({\u_logic/_al_u4483_o ,\u_logic/_al_u4490_o }),
.e({\u_logic/_al_u4432_o ,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4484_o ,\u_logic/Gkcow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18176)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~((~D*~A))*~(0)+B*C*~((~D*~A))*~(0)+~(B)*~(C)*~((~D*~A))*0+~(B)*C*~((~D*~A))*0+~(B)*C*(~D*~A)*0+B*C*(~D*~A)*0)"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("(B*~(C)*~((~D*~A))*~(1)+B*C*~((~D*~A))*~(1)+~(B)*~(C)*~((~D*~A))*1+~(B)*C*~((~D*~A))*1+~(B)*C*(~D*~A)*1+B*C*(~D*~A)*1)"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100110010001000),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b0011001101110010),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4492|u_logic/Hz9ax6_reg (
.a({\u_logic/Q2eow6 ,\u_logic/Hz0iu6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u3297_o }),
.c({\u_logic/Bisiu6 ,\u_logic/_al_u1138_o }),
.ce(\u_logic/n533 ),
.clk(clk_pad),
.d({HRDATA[10],\u_logic/_al_u3296_o }),
.e({open_n165303,\u_logic/Hz9ax6 }),
.mi({open_n165305,\u_logic/O34iu6 }),
.f({\u_logic/_al_u4492_o ,\u_logic/eq1/xor_i0[1]_i1[1]_o_lutinv }),
.q({open_n165321,\u_logic/Hz9ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18176)
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUT1("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1110010000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4493 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3813_o ,\u_logic/_al_u3813_o }),
.c({\u_logic/Id4ju6 ,\u_logic/Id4ju6 }),
.d({\u_logic/_al_u4492_o ,\u_logic/_al_u4492_o }),
.mi({open_n165334,\u_logic/_al_u4432_o }),
.fx({open_n165339,\u_logic/Plcow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*B)*~(D*A))"),
//.LUT1("(~(~C*B)*~(D*A))"),
.INIT_LUT0(16'b0101000111110011),
.INIT_LUT1(16'b0101000111110011),
.MODE("LOGIC"))
\u_logic/_al_u4495|u_logic/_al_u4530 (
.a({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.c({\u_logic/Wmviu6 ,\u_logic/Umuiu6 }),
.d({HRDATA[26],HRDATA[21]}),
.f({\u_logic/_al_u4495_o ,\u_logic/_al_u4530_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(0*~(~A*~(D*B))))"),
//.LUT1("(C*~(1*~(~A*~(D*B))))"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4496 (
.a({\u_logic/_al_u3912_o ,\u_logic/_al_u3912_o }),
.b({\u_logic/_al_u3911_o ,\u_logic/_al_u3911_o }),
.c({\u_logic/_al_u4495_o ,\u_logic/_al_u4495_o }),
.d({\u_logic/_al_u3892_o ,\u_logic/_al_u3892_o }),
.mi({open_n165374,\u_logic/_al_u4432_o }),
.fx({open_n165379,\u_logic/Ukcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*~A))"),
//.LUTF1("(~(D*B)*~(C*~A))"),
//.LUTG0("(~(D*B)*~(C*~A))"),
//.LUTG1("(~(D*B)*~(C*~A))"),
.INIT_LUTF0(16'b0010001110101111),
.INIT_LUTF1(16'b0010001110101111),
.INIT_LUTG0(16'b0010001110101111),
.INIT_LUTG1(16'b0010001110101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4497|u_logic/_al_u4540 (
.a({\u_logic/U1uiu6 ,\u_logic/Ntuiu6 }),
.b({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.c({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.d({HRDATA[18],HRDATA[22]}),
.f({\u_logic/_al_u4497_o ,\u_logic/_al_u4540_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUT1("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1110010000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4498 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3859_o ,\u_logic/_al_u3859_o }),
.c({\u_logic/R04ju6 ,\u_logic/R04ju6 }),
.d({\u_logic/_al_u4497_o ,\u_logic/_al_u4497_o }),
.mi({open_n165418,\u_logic/_al_u4432_o }),
.fx({open_n165423,\u_logic/Wlcow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTF1("(A*~(~D*~C)*~(~0*~B))"),
//.LUTG0("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTG1("(A*~(~D*~C)*~(~1*~B))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1000100010000000),
.INIT_LUTG0(16'b1110010000000000),
.INIT_LUTG1(16'b1010101010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4499|u_logic/_al_u4507 (
.a({\u_logic/_al_u4494_o ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/Eccow6 ,\u_logic/_al_u3851_o }),
.c({\u_logic/_al_u4471_o ,\u_logic/C34ju6 }),
.d({\u_logic/Ukcow6 ,\u_logic/_al_u4506_o }),
.e({\u_logic/Wlcow6 ,\u_logic/_al_u4432_o }),
.f({\u_logic/Q7miu6 ,\u_logic/Ahcow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19776)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u449|u_logic/Cm7bx6_reg (
.a({\u_logic/_al_u445_o ,\u_logic/U9now6_lutinv }),
.b({\u_logic/_al_u446_o ,\u_logic/X7now6_lutinv }),
.c({\u_logic/_al_u447_o ,\u_logic/vis_r0_o[11] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/_al_u448_o ,\u_logic/vis_r5_o[11] }),
.mi({open_n165458,\u_logic/Vrmiu6 }),
.f({\u_logic/Z20iu6 ,\u_logic/_al_u378_o }),
.q({open_n165463,\u_logic/vis_r6_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19776)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*~A))"),
//.LUT1("(~(~C*B)*~(D*A))"),
.INIT_LUT0(16'b0010001110101111),
.INIT_LUT1(16'b0101000111110011),
.MODE("LOGIC"))
\u_logic/_al_u4501|u_logic/_al_u4542 (
.a({\u_logic/Q2eow6 ,\u_logic/Kkriu6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/Q2eow6 }),
.c({\u_logic/Tmqiu6 ,\u_logic/_al_u4434_o }),
.d({HRDATA[3],HRDATA[6]}),
.f({\u_logic/_al_u4501_o ,\u_logic/_al_u4542_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u4503|u_logic/_al_u4685 (
.b({open_n165486,\u_logic/_al_u4684_o }),
.c({\u_logic/Kfcow6 ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Xbcow6_lutinv ,\u_logic/_al_u4539_o }),
.f({\u_logic/_al_u4503_o ,\u_logic/_al_u4685_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*~A)"),
//.LUT1("(~(~C*B)*~(D*A))"),
.INIT_LUT0(16'b0100000000000000),
.INIT_LUT1(16'b0101000111110011),
.MODE("LOGIC"))
\u_logic/_al_u4504|u_logic/_al_u3631 (
.a({\u_logic/Q2eow6 ,\u_logic/_al_u3335_o }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u3630_o }),
.c({\u_logic/Uosiu6 ,\u_logic/_al_u2358_o }),
.d({HRDATA[11],\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u4504_o ,\u_logic/_al_u3631_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18534)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("(C*~(D*~B*~A))"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("(C*~(D*~B*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b1110000011110000),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b1110000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4505|u_logic/Zshax6_reg (
.a({\u_logic/_al_u3843_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u3863_o ,\u_logic/_al_u4620_o }),
.c({\u_logic/_al_u4504_o ,\u_logic/_al_u4727_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4432_o ,\u_logic/n3708 }),
.e({open_n165528,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Tgcow6 ,open_n165543}),
.q({open_n165547,\u_logic/vis_pc_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18534)
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(D*A))"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("(~(~C*B)*~(D*A))"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.INIT_LUTF0(16'b0101000111110011),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b0101000111110011),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4506|u_logic/_al_u4518 (
.a({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.c({\u_logic/U8uiu6 ,\u_logic/Bguiu6 }),
.d({HRDATA[19],HRDATA[20]}),
.f({\u_logic/_al_u4506_o ,\u_logic/_al_u4518_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~(~D*~B)*~(~0*~A))"),
//.LUT1("(~C*~(~D*~B)*~(~1*~A))"),
.INIT_LUT0(16'b0000101000001000),
.INIT_LUT1(16'b0000111100001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4508 (
.a({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.b({\u_logic/Vacow6 ,\u_logic/Vacow6 }),
.c({\u_logic/_al_u4503_o ,\u_logic/_al_u4503_o }),
.d({\u_logic/Tgcow6 ,\u_logic/Tgcow6 }),
.mi({open_n165584,\u_logic/Ahcow6 }),
.fx({open_n165589,\u_logic/_al_u4508_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(D*A))"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("(~(~C*B)*~(D*A))"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.INIT_LUTF0(16'b0101000111110011),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b0101000111110011),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4509|u_logic/_al_u4516 (
.a({\u_logic/Q2eow6 ,\u_logic/Q2eow6 }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.c({\u_logic/Wtviu6 ,\u_logic/I0wiu6 }),
.d({HRDATA[27],HRDATA[28]}),
.f({\u_logic/_al_u4509_o ,\u_logic/_al_u4516_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1100110011110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u450|u_logic/_al_u3700 (
.b({open_n165618,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/Xuzhu6 ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/Z20iu6 ,\u_logic/G3epw6 }),
.f({\u_logic/Tgfpw6 [11],\u_logic/Oi9ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(D*B)*~(0*A))"),
//.LUTF1("(C*~(0*~(~A*~(D*B))))"),
//.LUTG0("(C*~(D*B)*~(1*A))"),
//.LUTG1("(C*~(1*~(~A*~(D*B))))"),
.INIT_LUTF0(16'b0011000011110000),
.INIT_LUTF1(16'b1111000011110000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b0001000001010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4510|u_logic/_al_u3672 (
.a({\u_logic/_al_u3923_o ,\u_logic/By4ju6 }),
.b({\u_logic/_al_u3922_o ,\u_logic/Pk4ju6 }),
.c({\u_logic/_al_u4509_o ,\u_logic/_al_u3671_o }),
.d({\u_logic/_al_u3892_o ,\u_logic/vis_ipsr_o[3] }),
.e({\u_logic/_al_u4432_o ,\u_logic/Nxkbx6 [4]}),
.f({\u_logic/Yfcow6 ,\u_logic/_al_u3672_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4511|u_logic/_al_u3882 (
.b({\u_logic/_al_u4471_o ,\u_logic/_al_u3865_o }),
.c({\u_logic/Yfcow6 ,\u_logic/_al_u3877_o }),
.d({\u_logic/_al_u4508_o ,\u_logic/Hv3ju6_lutinv }),
.f({\u_logic/R4miu6 ,\u_logic/Uk3ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B)))"),
//.LUTF1("(D*~(~C*B))"),
//.LUTG0("(D*~(1*~(A*~(C)*~(B)+A*C*~(B)+~(A)*C*B+A*C*B)))"),
//.LUTG1("(D*~(~C*B))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b1111001100000000),
.INIT_LUTG0(16'b1110001000000000),
.INIT_LUTG1(16'b1111001100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4514|u_logic/_al_u4482 (
.a({open_n165687,\u_logic/_al_u3811_o }),
.b({\u_logic/Qtfow6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.c({\u_logic/_al_u3845_o ,\u_logic/Uc4ju6 }),
.d({\u_logic/_al_u4513_o ,\u_logic/_al_u4481_o }),
.e({open_n165690,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4514_o ,\u_logic/Xv6ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*~(0*A))))"),
//.LUT1("(B*~(C*~(D*~(1*A))))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b0100110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4515 (
.a({\u_logic/_al_u4364_o ,\u_logic/_al_u4364_o }),
.b({\u_logic/_al_u4514_o ,\u_logic/_al_u4514_o }),
.c({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.d({\u_logic/_al_u4372_o ,\u_logic/_al_u4372_o }),
.mi({open_n165723,\u_logic/_al_u1937_o }),
.fx({open_n165728,\u_logic/_al_u4515_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUT1("(D*~(1*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1101100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4519 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3870_o ,\u_logic/_al_u3870_o }),
.c({\u_logic/_al_u3877_o ,\u_logic/_al_u3877_o }),
.d({\u_logic/_al_u4518_o ,\u_logic/_al_u4518_o }),
.mi({open_n165743,\u_logic/_al_u4432_o }),
.fx({open_n165748,\u_logic/K1cow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*B)*~(~D*~A))"),
//.LUT1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUT0(16'b1111001110100010),
.INIT_LUT1(16'b1111110010101000),
.MODE("LOGIC"))
\u_logic/_al_u4520|u_logic/_al_u4494 (
.a({\u_logic/Eccow6 ,\u_logic/Vacow6 }),
.b({\u_logic/_al_u4471_o ,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/P0cow6 ,\u_logic/Gkcow6 }),
.d({\u_logic/K1cow6 ,\u_logic/Plcow6 }),
.f({\u_logic/O2dow6 ,\u_logic/_al_u4494_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUT1("(D*~(1*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1101100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4522 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3827_o ,\u_logic/_al_u3827_o }),
.c({\u_logic/_al_u3822_o ,\u_logic/_al_u3822_o }),
.d({\u_logic/_al_u4521_o ,\u_logic/_al_u4521_o }),
.mi({open_n165783,\u_logic/_al_u4432_o }),
.fx({open_n165788,\u_logic/B0cow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTF1("(B*~(~0*D)*~(~C*~A))"),
//.LUTG0("(D*~(1*~(B*~(C)*~(A)+B*C*~(A)+~(B)*C*A+B*C*A)))"),
//.LUTG1("(B*~(~1*D)*~(~C*~A))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0000000011001000),
.INIT_LUTG0(16'b1110010000000000),
.INIT_LUTG1(16'b1100100011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4523|u_logic/_al_u4502 (
.a({\u_logic/_al_u4515_o ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/O2dow6 ,\u_logic/_al_u3820_o }),
.c({\u_logic/Vacow6 ,\u_logic/_al_u3829_o }),
.d({\u_logic/Xbcow6_lutinv ,\u_logic/_al_u4501_o }),
.e({\u_logic/B0cow6 ,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4523_o ,\u_logic/Kfcow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(D*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0010011100000000),
.MODE("LOGIC"))
\u_logic/_al_u4525|u_logic/_al_u4729 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u3861_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/Uc4ju6 ,\u_logic/vis_pc_o[0] }),
.d({\u_logic/_al_u4432_o ,\u_logic/Nxkbx6 [2]}),
.f({\u_logic/_al_u4525_o ,\u_logic/_al_u4729_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*B)*~(D*A))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(~(~C*B)*~(D*A))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0101000111110011),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0101000111110011),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4526|u_logic/_al_u4533 (
.a({open_n165833,\u_logic/Q2eow6 }),
.b({\u_logic/Q2eow6 ,\u_logic/_al_u4434_o }),
.c({HRDATA[13],\u_logic/U6wiu6 }),
.d({\u_logic/_al_u4525_o ,HRDATA[29]}),
.f({\u_logic/_al_u4526_o ,\u_logic/_al_u4533_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~(D*~(0*~A))))"),
//.LUT1("(B*~(C*~(D*~(1*~A))))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1000110000001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4527 (
.a({\u_logic/_al_u4331_o ,\u_logic/_al_u4331_o }),
.b({\u_logic/_al_u4526_o ,\u_logic/_al_u4526_o }),
.c({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.d({\u_logic/_al_u4333_o ,\u_logic/_al_u4333_o }),
.mi({open_n165870,\u_logic/_al_u1937_o }),
.fx({open_n165875,\u_logic/_al_u4527_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~(D*~C*~A)))"),
//.LUTF1("(~(~C*B)*~(D*A))"),
//.LUTG0("(B*~(~1*~(D*~C*~A)))"),
//.LUTG1("(~(~C*B)*~(D*A))"),
.INIT_LUTF0(16'b0000010000000000),
.INIT_LUTF1(16'b0101000111110011),
.INIT_LUTG0(16'b1100110011001100),
.INIT_LUTG1(16'b0101000111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4528|u_logic/_al_u3342 (
.a({\u_logic/Q2eow6 ,\u_logic/_al_u3335_o }),
.b({\u_logic/_al_u4434_o ,\u_logic/_al_u3339_o }),
.c({\u_logic/Eariu6 ,\u_logic/L18iu6 }),
.d({HRDATA[5],\u_logic/Dmpiu6_lutinv }),
.e({open_n165880,\u_logic/_al_u3341_o }),
.f({\u_logic/_al_u4528_o ,\u_logic/_al_u3342_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(0*~(~A*~(D*B))))"),
//.LUT1("(C*~(1*~(~A*~(D*B))))"),
.INIT_LUT0(16'b1111000011110000),
.INIT_LUT1(16'b0001000001010000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4534 (
.a({\u_logic/_al_u3921_o ,\u_logic/_al_u3921_o }),
.b({\u_logic/_al_u3920_o ,\u_logic/_al_u3920_o }),
.c({\u_logic/_al_u4533_o ,\u_logic/_al_u4533_o }),
.d({\u_logic/_al_u3892_o ,\u_logic/_al_u3892_o }),
.mi({open_n165913,\u_logic/_al_u4432_o }),
.fx({open_n165918,\u_logic/Rjziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~0*~C)*~(~D*~A))"),
//.LUTF1("(B*~(~0*~C)*~(~D*~A))"),
//.LUTG0("(B*~(~1*~C)*~(~D*~A))"),
//.LUTG1("(B*~(~1*~C)*~(~D*~A))"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b1100000010000000),
.INIT_LUTG0(16'b1100110010001000),
.INIT_LUTG1(16'b1100110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4535|u_logic/_al_u4548 (
.a({\u_logic/_al_u4527_o ,\u_logic/_al_u4539_o }),
.b({\u_logic/Nycow6 ,\u_logic/_al_u4544_o }),
.c({\u_logic/_al_u4471_o ,\u_logic/_al_u4471_o }),
.d({\u_logic/Vacow6 ,\u_logic/Vacow6 }),
.e({\u_logic/Rjziu6 ,\u_logic/_al_u4547_o }),
.f({\u_logic/_al_u4535_o ,\u_logic/_al_u4548_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20104)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(0*~((D*C))*~(B)+0*(D*C)*~(B)+~(0)*(D*C)*B+0*(D*C)*B))"),
//.LUTF1("(C*~(B*~(D*A)))"),
//.LUTG0("~(A*~(1*~((D*C))*~(B)+1*(D*C)*~(B)+~(1)*(D*C)*B+1*(D*C)*B))"),
//.LUTG1("(C*~(B*~(D*A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010101010101),
.INIT_LUTF1(16'b1011000000110000),
.INIT_LUTG0(16'b1111011101110111),
.INIT_LUTG1(16'b1011000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4537|u_logic/W8hbx6_reg (
.a({\u_logic/_al_u4302_o ,\u_logic/A2ciu6_lutinv }),
.b({\u_logic/_al_u4315_o ,\u_logic/_al_u4344_o }),
.c({\u_logic/_al_u4434_o ,\u_logic/_al_u2856_o }),
.clk(clk_pad),
.d({\u_logic/_al_u1937_o ,HRDATA[15]}),
.e({open_n165944,\u_logic/W8hbx6 }),
.f({\u_logic/_al_u4537_o ,open_n165960}),
.q({open_n165964,\u_logic/W8hbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20104)
EG_PHY_MSLICE #(
//.LUT0("(~(~C*B)*~(D*A))"),
//.LUT1("(~B*~A*~(D*C))"),
.INIT_LUT0(16'b0101000111110011),
.INIT_LUT1(16'b0000000100010001),
.MODE("LOGIC"))
\u_logic/_al_u4539|u_logic/_al_u4486 (
.a({\u_logic/_al_u4537_o ,\u_logic/Q2eow6 }),
.b({\u_logic/_al_u4538_o ,\u_logic/_al_u4434_o }),
.c({\u_logic/Q2eow6 ,\u_logic/Wfviu6 }),
.d({HRDATA[14],HRDATA[25]}),
.f({\u_logic/_al_u4539_o ,\u_logic/_al_u4486_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUT1("(D*~(1*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1101100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4541 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3872_o ,\u_logic/_al_u3872_o }),
.c({\u_logic/R04ju6 ,\u_logic/R04ju6 }),
.d({\u_logic/_al_u4540_o ,\u_logic/_al_u4540_o }),
.mi({open_n165997,\u_logic/_al_u4432_o }),
.fx({open_n166002,\u_logic/G6cow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*~(0*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUT1("(D*~(1*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
.INIT_LUT0(16'b1111111100000000),
.INIT_LUT1(16'b1101100000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4543 (
.a({\u_logic/Hv3ju6_lutinv ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u3813_o ,\u_logic/_al_u3813_o }),
.c({\u_logic/Yt3ju6 ,\u_logic/Yt3ju6 }),
.d({\u_logic/_al_u4542_o ,\u_logic/_al_u4542_o }),
.mi({open_n166017,\u_logic/_al_u4432_o }),
.fx({open_n166022,\u_logic/_al_u4543_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTF1("(C*A*~(~D*B))"),
//.LUTG0("(A*~(B)*~(C)*~(D)+A*B*~(C)*~(D)+~(A)*B*C*~(D)+A*B*C*~(D)+~(A)*~(B)*C*D+A*~(B)*C*D+~(A)*B*C*D+A*B*C*D)"),
//.LUTG1("(C*A*~(~D*B))"),
.INIT_LUTF0(16'b1111000011001010),
.INIT_LUTF1(16'b1010000000100000),
.INIT_LUTG0(16'b1111000011001010),
.INIT_LUTG1(16'b1010000000100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4545|u_logic/_al_u3932 (
.a({\u_logic/Queow6 ,\u_logic/_al_u3841_o }),
.b({\u_logic/_al_u3906_o ,\u_logic/Uk3ju6_lutinv }),
.c({\u_logic/_al_u3892_o ,\u_logic/_al_u3793_o }),
.d({\u_logic/Df3ju6 ,\u_logic/F93ju6_lutinv }),
.f({\u_logic/_al_u4545_o ,\u_logic/_al_u3932_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18650)
EG_PHY_MSLICE #(
//.LUT0("(D*~((C*~A))*~(B)+D*(C*~A)*~(B)+~(D)*(C*~A)*B+D*(C*~A)*B)"),
//.LUT1("(~(D*B)*~(C*~A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111001101000000),
.INIT_LUT1(16'b0010001110101111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4546|u_logic/Sijax6_reg (
.a({\u_logic/Bewiu6 ,\u_logic/Wz4iu6 }),
.b({\u_logic/Q2eow6 ,\u_logic/n4330 }),
.c({\u_logic/_al_u4434_o ,HRDATA[28]}),
.clk(clk_pad),
.d({HRDATA[30],\u_logic/Sijax6 }),
.f({\u_logic/_al_u4546_o ,open_n166063}),
.q({open_n166067,\u_logic/Sijax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18650)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*~B)*~(~D*~A))"),
//.LUTF1("(C*~(D*~(~B*~A)))"),
//.LUTG0("(C*~(1*~B)*~(~D*~A))"),
//.LUTG1("(C*~(D*~(~B*~A)))"),
.INIT_LUTF0(16'b1111000010100000),
.INIT_LUTF1(16'b0001000011110000),
.INIT_LUTG0(16'b1100000010000000),
.INIT_LUTG1(16'b0001000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4547|u_logic/_al_u4691 (
.a({\u_logic/_al_u3910_o ,\u_logic/H78ow6 }),
.b({\u_logic/_al_u4545_o ,\u_logic/_al_u4550_o }),
.c({\u_logic/_al_u4546_o ,\u_logic/_al_u4690_o }),
.d({\u_logic/_al_u4432_o ,\u_logic/Hlziu6 }),
.e({open_n166070,\u_logic/Xbcow6_lutinv }),
.f({\u_logic/_al_u4547_o ,\u_logic/_al_u4691_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~0*~B)*~(~D*~A))"),
//.LUT1("(C*~(~1*~B)*~(~D*~A))"),
.INIT_LUT0(16'b1100000010000000),
.INIT_LUT1(16'b1111000010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4552 (
.a({\u_logic/H78ow6 ,\u_logic/H78ow6 }),
.b({\u_logic/_al_u4550_o ,\u_logic/_al_u4550_o }),
.c({\u_logic/_al_u4551_o ,\u_logic/_al_u4551_o }),
.d({\u_logic/Eccow6 ,\u_logic/Eccow6 }),
.mi({open_n166103,\u_logic/Vacow6 }),
.fx({open_n166108,\u_logic/_al_u4552_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(~0*~((~C*~B))*~(D)+~0*(~C*~B)*~(D)+~(~0)*(~C*~B)*D+~0*(~C*~B)*D))"),
//.LUT1("(~A*(~1*~((~C*~B))*~(D)+~1*(~C*~B)*~(D)+~(~1)*(~C*~B)*D+~1*(~C*~B)*D))"),
.INIT_LUT0(16'b0000000101010101),
.INIT_LUT1(16'b0000000100000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4555 (
.a({\u_logic/_al_u4460_o ,\u_logic/_al_u4460_o }),
.b({\u_logic/_al_u4462_o ,\u_logic/_al_u4462_o }),
.c({\u_logic/_al_u3890_o ,\u_logic/_al_u3890_o }),
.d({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.mi({open_n166123,\u_logic/Xfmow6 }),
.fx({open_n166128,\u_logic/_al_u4555_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~B*~(C*A))"),
//.LUT1("(~D*C*~(B*~A))"),
.INIT_LUT0(16'b0000000000010011),
.INIT_LUT1(16'b0000000010110000),
.MODE("LOGIC"))
\u_logic/_al_u4556|u_logic/_al_u3732 (
.a({\u_logic/_al_u4439_o ,\u_logic/Pk4ju6 }),
.b({\u_logic/_al_u4447_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/_al_u4555_o ,\u_logic/vis_ipsr_o[4] }),
.d({\u_logic/_al_u4449_o ,\u_logic/mult0_0_0_4 }),
.f({\u_logic/_al_u4556_o ,\u_logic/Uj4ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTF1("(B*~A*~(D*~C))"),
//.LUTG0("~((D*A)*~(B)*~(C)+(D*A)*B*~(C)+~((D*A))*B*C+(D*A)*B*C)"),
//.LUTG1("(B*~A*~(D*~C))"),
.INIT_LUTF0(16'b0011010100111111),
.INIT_LUTF1(16'b0100000001000100),
.INIT_LUTG0(16'b0011010100111111),
.INIT_LUTG1(16'b0100000001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4557|u_logic/_al_u4554 (
.a({\u_logic/Tucow6_lutinv ,\u_logic/_al_u145_o }),
.b({\u_logic/N98iu6_lutinv ,\u_logic/T23ju6_lutinv }),
.c({\u_logic/Yp8iu6 ,\u_logic/Vzupw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u4557_o ,\u_logic/Xfmow6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*~B))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0100010101010101),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4558|u_logic/_al_u4663 (
.a({open_n166175,\u_logic/_al_u4460_o }),
.b({open_n166176,\u_logic/Tucow6_lutinv }),
.c({\u_logic/_al_u4557_o ,\u_logic/_al_u145_o }),
.d({\u_logic/_al_u4460_o ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u4558_o ,\u_logic/Hlziu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~A*~(D*C*~B))"),
//.LUT1("~(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B)"),
.INIT_LUT0(16'b0100010101010101),
.INIT_LUT1(16'b0000001111001111),
.MODE("LOGIC"))
\u_logic/_al_u4559|u_logic/_al_u4471 (
.a({open_n166197,\u_logic/_al_u4451_o }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.c({\u_logic/Vo3ju6_lutinv ,\u_logic/_al_u145_o }),
.d({\u_logic/_al_u3890_o ,\u_logic/Xxupw6 }),
.f({\u_logic/Kldow6 ,\u_logic/_al_u4471_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~C)*~(~0*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(B*~(~D*~C)*~(~1*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4560|u_logic/_al_u4623 (
.a({\u_logic/_al_u4558_o ,\u_logic/_al_u4539_o }),
.b({\u_logic/Kldow6 ,\u_logic/_al_u4622_o }),
.c({\u_logic/Wlcow6 ,\u_logic/_al_u4547_o }),
.d({\u_logic/Gkcow6 ,\u_logic/_al_u4601_o }),
.e({open_n166220,\u_logic/Ycliu6 }),
.f({\u_logic/_al_u4560_o ,\u_logic/_al_u4623_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(C*~D)"),
//.LUTF1("(B*~(~D*~C*~A))"),
//.LUTG0("~(C*~D)"),
//.LUTG1("(B*~(~D*~C*~A))"),
.INIT_LUTF0(16'b1111111100001111),
.INIT_LUTF1(16'b1100110011001000),
.INIT_LUTG0(16'b1111111100001111),
.INIT_LUTG1(16'b1100110011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4562|u_logic/_al_u1735 (
.a({\u_logic/_al_u4431_o ,open_n166241}),
.b({\u_logic/B4mow6_lutinv ,open_n166242}),
.c({\u_logic/Jf6ju6 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.d({\u_logic/Ms5bx6 ,\u_logic/Cz8iu6 }),
.f({\u_logic/_al_u4562_o ,\u_logic/n602 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17319)
EG_PHY_LSLICE #(
//.LUTF0("~(~A*(~(B)*~(C)*~(D)*~(0)+B*~(C)*~(D)*~(0)+B*C*~(D)*~(0)+~(B)*C*D*~(0)+B*C*D*~(0)+B*C*~(D)*0+~(B)*C*D*0+B*C*D*0))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("~(~A*(~(B)*~(C)*~(D)*~(1)+B*~(C)*~(D)*~(1)+B*C*~(D)*~(1)+~(B)*C*D*~(1)+B*C*D*~(1)+B*C*~(D)*1+~(B)*C*D*1+B*C*D*1))"),
//.LUTG1("(D*~(~C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1010111110111010),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1010111110111111),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4563|u_logic/Pzkpw6_reg (
.a({open_n166267,\u_logic/_al_u4773_o }),
.b({\u_logic/_al_u4562_o ,\u_logic/_al_u4607_o }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/_al_u4774_o }),
.ce(\u_logic/Nn8iu6 ),
.clk(clk_pad),
.d({\u_logic/Eccow6 ,\u_logic/_al_u2567_o }),
.e({open_n166268,\u_logic/Tgkbx6 }),
.sr(cpuresetn),
.f({\u_logic/Pkdow6 ,open_n166283}),
.q({open_n166287,\u_logic/vis_tbit_o })); // ../rtl/topmodule/cortexm0ds_logic.v(17319)
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*~A)"),
//.LUTF1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*~(B)*C+~(D)*B*C+D*B*C)"),
//.LUTG0("(~D*C*~B*~A)"),
//.LUTG1("(~(D)*~(B)*~(C)+D*~(B)*~(C)+~(D)*~(B)*C+~(D)*B*C+D*B*C)"),
.INIT_LUTF0(16'b0000000000010000),
.INIT_LUTF1(16'b1100001111110011),
.INIT_LUTG0(16'b0000000000010000),
.INIT_LUTG1(16'b1100001111110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4564|u_logic/_al_u4598 (
.a({open_n166288,\u_logic/Tucow6_lutinv }),
.b({\u_logic/Ufopw6 ,\u_logic/P5vpw6 }),
.c({\u_logic/Xxupw6 ,\u_logic/S4kbx6 }),
.d({\u_logic/Yp8iu6 ,\u_logic/Xxupw6 }),
.f({\u_logic/_al_u4564_o ,\u_logic/G8how6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("~((D*~C)*~(A)*~(B)+(D*~C)*A*~(B)+~((D*~C))*A*B+(D*~C)*A*B)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0111010001110111),
.MODE("LOGIC"))
\u_logic/_al_u4565|u_logic/_al_u3755 (
.a({\u_logic/_al_u4462_o ,\u_logic/_al_u141_o }),
.b({\u_logic/Tucow6_lutinv ,\u_logic/F26bx6 }),
.c({\u_logic/_al_u4564_o ,\u_logic/R3vpw6 }),
.d({\u_logic/Ms5bx6 ,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u4565_o ,\u_logic/Rk5ju6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~B)*~(~0*~A))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~C*~(~D*~B)*~(~1*~A))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b0000101000001000),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b0000111100001100),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4568|u_logic/_al_u4626 (
.a({\u_logic/_al_u4437_o ,\u_logic/_al_u4550_o }),
.b({\u_logic/_al_u4558_o ,\u_logic/_al_u4597_o }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u4625_o }),
.d({\u_logic/_al_u4444_o ,\u_logic/_al_u4444_o }),
.e({open_n166335,\u_logic/Ycliu6 }),
.f({\u_logic/_al_u4568_o ,\u_logic/_al_u4626_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B)*~(~0*~A))"),
//.LUT1("(C*~(~D*~B)*~(~1*~A))"),
.INIT_LUT0(16'b1010000010000000),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4569 (
.a({\u_logic/H78ow6 ,\u_logic/H78ow6 }),
.b({\u_logic/_al_u4550_o ,\u_logic/_al_u4550_o }),
.c({\u_logic/_al_u4568_o ,\u_logic/_al_u4568_o }),
.d({\u_logic/Pkdow6 ,\u_logic/Pkdow6 }),
.mi({open_n166368,\u_logic/Kldow6 }),
.fx({open_n166373,\u_logic/_al_u4569_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1111110010101000),
.MODE("LOGIC"))
\u_logic/_al_u4571|u_logic/_al_u4517 (
.a({\u_logic/Pkdow6 ,open_n166376}),
.b({\u_logic/_al_u4487_o ,\u_logic/_al_u4516_o }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u4432_o }),
.d({\u_logic/Xv6ow6 ,\u_logic/_al_u3914_o }),
.f({\u_logic/_al_u4571_o ,\u_logic/P0cow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~(C*~(0*~A))))"),
//.LUT1("(B*~(~D*~(C*~(1*~A))))"),
.INIT_LUT0(16'b1100110011000000),
.INIT_LUT1(16'b1100110010000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4572 (
.a({\u_logic/_al_u4263_o ,\u_logic/_al_u4263_o }),
.b({\u_logic/_al_u4571_o ,\u_logic/_al_u4571_o }),
.c({\u_logic/_al_u4479_o ,\u_logic/_al_u4479_o }),
.d({\u_logic/Kldow6 ,\u_logic/Kldow6 }),
.mi({open_n166409,\u_logic/_al_u4434_o }),
.fx({open_n166414,\u_logic/_al_u4572_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(~D*~C))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0100010001000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4573|u_logic/_al_u4488 (
.a({open_n166417,\u_logic/_al_u4480_o }),
.b({open_n166418,\u_logic/Bddow6 }),
.c({\u_logic/_al_u4484_o ,\u_logic/_al_u4471_o }),
.d({\u_logic/_al_u4558_o ,\u_logic/_al_u4487_o }),
.f({\u_logic/_al_u4573_o ,\u_logic/_al_u4488_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~0*~B)*~(~D*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(~1*~B)*~(~D*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000110000001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100001010),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4576|u_logic/_al_u4577 (
.a({open_n166439,\u_logic/Pkdow6 }),
.b({open_n166440,\u_logic/_al_u4558_o }),
.c({\u_logic/Ahcow6 ,\u_logic/_al_u4576_o }),
.d({\u_logic/Kldow6 ,\u_logic/Tgcow6 }),
.e({open_n166443,\u_logic/Kfcow6 }),
.f({\u_logic/_al_u4576_o ,\u_logic/_al_u4577_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4578|u_logic/_al_u2653 (
.a({open_n166464,\u_logic/A3iiu6 }),
.b({\u_logic/Yfcow6 ,\u_logic/_al_u2297_o }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u697_o }),
.d({\u_logic/_al_u4577_o ,\u_logic/Nxkbx6 [2]}),
.f({\u_logic/_al_u4578_o ,\u_logic/_al_u2653_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(~D*A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(~(~C*~B)*~(~D*A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1111110001010100),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1111110001010100),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4580|u_logic/_al_u4669 (
.a({\u_logic/_al_u4558_o ,\u_logic/Xbcow6_lutinv }),
.b({\u_logic/_al_u4473_o ,\u_logic/_al_u4664_o }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u4465_o }),
.d({\u_logic/_al_u4465_o ,\u_logic/Rcliu6 }),
.f({\u_logic/_al_u4580_o ,\u_logic/_al_u4669_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~D*~B)*~(~0*~A))"),
//.LUT1("(C*~(~D*~B)*~(~1*~A))"),
.INIT_LUT0(16'b1010000010000000),
.INIT_LUT1(16'b1111000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4581 (
.a({\u_logic/_al_u4458_o ,\u_logic/_al_u4458_o }),
.b({\u_logic/Pkdow6 ,\u_logic/Pkdow6 }),
.c({\u_logic/_al_u4580_o ,\u_logic/_al_u4580_o }),
.d({\u_logic/Rcliu6 ,\u_logic/Rcliu6 }),
.mi({open_n166525,\u_logic/Kldow6 }),
.fx({open_n166530,\u_logic/_al_u4581_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19738)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(~D*B*~A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(C*~(1*~(~D*B*~A)))"),
//.LUTG1("(~C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111111110111111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4583|u_logic/J06bx6_reg (
.a({open_n166533,\u_logic/_al_u4556_o }),
.b({open_n166534,\u_logic/_al_u4572_o }),
.c({\u_logic/Pkdow6 ,\u_logic/_al_u4745_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4515_o ,\u_logic/_al_u4573_o }),
.e({open_n166536,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4583_o ,open_n166551}),
.q({open_n166555,\u_logic/vis_pc_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(19738)
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~C)*~(~B*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1110111011100000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4584|u_logic/_al_u4677 (
.a({open_n166556,\u_logic/Ukcow6 }),
.b({open_n166557,\u_logic/Kjziu6_lutinv }),
.c({\u_logic/K1cow6 ,\u_logic/_al_u4664_o }),
.d({\u_logic/Kldow6 ,\u_logic/Gkcow6 }),
.f({\u_logic/_al_u4584_o ,\u_logic/_al_u4677_o }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~(~C*~B)*~(~0*~A))"),
//.LUT1("(~D*~(~C*~B)*~(~1*~A))"),
.INIT_LUT0(16'b0000000010101000),
.INIT_LUT1(16'b0000000011111100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4585 (
.a({\u_logic/_al_u4558_o ,\u_logic/_al_u4558_o }),
.b({\u_logic/P0cow6 ,\u_logic/P0cow6 }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u4565_o }),
.d({\u_logic/_al_u4584_o ,\u_logic/_al_u4584_o }),
.mi({open_n166590,\u_logic/B0cow6 }),
.fx({open_n166595,\u_logic/_al_u4585_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*~B)*~(~C*A))"),
//.LUT1("(~(~D*~C)*~(~B*~A))"),
.INIT_LUT0(16'b1111010111000100),
.INIT_LUT1(16'b1110111011100000),
.MODE("LOGIC"))
\u_logic/_al_u4587|u_logic/_al_u4674 (
.a({\u_logic/_al_u4558_o ,\u_logic/Xbcow6_lutinv }),
.b({\u_logic/Piziu6 ,\u_logic/_al_u4664_o }),
.c({\u_logic/Kldow6 ,\u_logic/Xv6ow6 }),
.d({\u_logic/Alziu6 ,\u_logic/_al_u4484_o }),
.f({\u_logic/Qodow6 ,\u_logic/_al_u4674_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(~0*~D)*~(~B*~A))"),
//.LUT1("(C*~(~1*~D)*~(~B*~A))"),
.INIT_LUT0(16'b1110000000000000),
.INIT_LUT1(16'b1110000011100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4588 (
.a({\u_logic/_al_u4527_o ,\u_logic/_al_u4527_o }),
.b({\u_logic/Pkdow6 ,\u_logic/Pkdow6 }),
.c({\u_logic/Qodow6 ,\u_logic/Qodow6 }),
.d({\u_logic/Rjziu6 ,\u_logic/Rjziu6 }),
.mi({open_n166630,\u_logic/_al_u4565_o }),
.fx({open_n166635,\u_logic/_al_u4588_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*B)*~(~D*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111001110100010),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4590|u_logic/_al_u4532 (
.a({open_n166638,\u_logic/Eccow6 }),
.b({open_n166639,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/_al_u4543_o ,\u_logic/Piziu6 }),
.d({\u_logic/_al_u4558_o ,\u_logic/Alziu6 }),
.f({\u_logic/_al_u4590_o ,\u_logic/Nycow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(~D*~C))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0100010001000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4591|u_logic/_al_u4631 (
.a({open_n166660,\u_logic/_al_u4629_o }),
.b({open_n166661,\u_logic/Mt6ow6 }),
.c({\u_logic/_al_u4565_o ,\u_logic/_al_u4487_o }),
.d({\u_logic/_al_u4547_o ,\u_logic/_al_u4601_o }),
.f({\u_logic/_al_u4591_o ,\u_logic/_al_u4631_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~D*B)*~(~C*~A))"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111101000110010),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u4592|u_logic/_al_u4544 (
.a({open_n166682,\u_logic/Eccow6 }),
.b({open_n166683,\u_logic/Xbcow6_lutinv }),
.c({\u_logic/G6cow6 ,\u_logic/G6cow6 }),
.d({\u_logic/Kldow6 ,\u_logic/_al_u4543_o }),
.f({\u_logic/_al_u4592_o ,\u_logic/_al_u4544_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~B*~D))"),
//.LUTF1("(A*~(~D*~C*~B))"),
//.LUTG0("(~C*~(~B*~D))"),
//.LUTG1("(A*~(~D*~C*~B))"),
.INIT_LUTF0(16'b0000111100001100),
.INIT_LUTF1(16'b1010101010101000),
.INIT_LUTG0(16'b0000111100001100),
.INIT_LUTG1(16'b1010101010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4596|u_logic/_al_u4445 (
.a({\u_logic/_al_u4595_o ,open_n166704}),
.b({\u_logic/_al_u4431_o ,\u_logic/S4kbx6 }),
.c({\u_logic/Ms5bx6 ,\u_logic/Xxupw6 }),
.d({\u_logic/S4kbx6 ,\u_logic/Ms5bx6 }),
.f({\u_logic/_al_u4596_o ,\u_logic/_al_u4445_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~0*C)*~(D*A))"),
//.LUT1("(~B*~(~1*C)*~(D*A))"),
.INIT_LUT0(16'b0000000100000011),
.INIT_LUT1(16'b0001000100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4599 (
.a({\u_logic/_al_u3890_o ,\u_logic/_al_u3890_o }),
.b({\u_logic/_al_u4557_o ,\u_logic/_al_u4557_o }),
.c({\u_logic/G8how6 ,\u_logic/G8how6 }),
.d({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.mi({open_n166741,\u_logic/Ms5bx6 }),
.fx({open_n166746,\u_logic/Ycliu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(~D*~B)*~(~0*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~(~D*~B)*~(~1*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000101000001000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000111100001100),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4600|u_logic/_al_u4602 (
.a({open_n166749,\u_logic/_al_u4597_o }),
.b({open_n166750,\u_logic/_al_u4473_o }),
.c({\u_logic/Ycliu6 ,\u_logic/_al_u4600_o }),
.d({\u_logic/Rcliu6 ,\u_logic/_al_u4601_o }),
.e({open_n166753,\u_logic/_al_u4465_o }),
.f({\u_logic/_al_u4600_o ,\u_logic/_al_u4602_o }));
EG_PHY_MSLICE #(
//.LUT0("~((~C*~B)*~((~D*A))*~(0)+(~C*~B)*(~D*A)*~(0)+~((~C*~B))*(~D*A)*0+(~C*~B)*(~D*A)*0)"),
//.LUT1("~((~C*~B)*~((~D*A))*~(1)+(~C*~B)*(~D*A)*~(1)+~((~C*~B))*(~D*A)*1+(~C*~B)*(~D*A)*1)"),
.INIT_LUT0(16'b1111110011111100),
.INIT_LUT1(16'b1111111101010101),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4603 (
.a({\u_logic/Yp8iu6 ,\u_logic/Yp8iu6 }),
.b({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.c({\u_logic/S4kbx6 ,\u_logic/S4kbx6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.mi({open_n166786,\u_logic/Xxupw6 }),
.fx({open_n166791,\u_logic/_al_u4603_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*~C)*~(0*B))"),
//.LUTF1("~((0*~D)*~((~B*A))*~(C)+(0*~D)*(~B*A)*~(C)+~((0*~D))*(~B*A)*C+(0*~D)*(~B*A)*C)"),
//.LUTG0("(~A*~(D*~C)*~(1*B))"),
//.LUTG1("~((1*~D)*~((~B*A))*~(C)+(1*~D)*(~B*A)*~(C)+~((1*~D))*(~B*A)*C+(1*~D)*(~B*A)*C)"),
.INIT_LUTF0(16'b0101000001010101),
.INIT_LUTF1(16'b1101111111011111),
.INIT_LUTG0(16'b0001000000010001),
.INIT_LUTG1(16'b1101111111010000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4604|u_logic/_al_u4601 (
.a({\u_logic/_al_u4462_o ,\u_logic/_al_u4459_o }),
.b({\u_logic/R3how6_lutinv ,\u_logic/G8how6 }),
.c({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/_al_u4603_o ,\u_logic/Vo3ju6_lutinv }),
.e({\u_logic/Ms5bx6 ,\u_logic/Ms5bx6 }),
.f({\u_logic/Cfliu6 ,\u_logic/_al_u4601_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18598)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~(0*~A))))"),
//.LUTF1("(B*~(~C*~(~0*~D*~A)))"),
//.LUTG0("~(C*~(D*~(B*~(1*~A))))"),
//.LUTG1("(B*~(~C*~(~1*~D*~A)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011111100001111),
.INIT_LUTF1(16'b1100000011000100),
.INIT_LUTG0(16'b0111111100001111),
.INIT_LUTG1(16'b1100000011000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4605|u_logic/Ijiax6_reg (
.a({\u_logic/_al_u4455_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/_al_u4602_o ,\u_logic/_al_u4617_o }),
.c({\u_logic/Cfliu6 ,\u_logic/_al_u4702_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4456_o ,\u_logic/_al_u4696_o }),
.e({\u_logic/_al_u4457_o ,\u_logic/n3708 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4605_o ,open_n166831}),
.q({open_n166835,\u_logic/vis_ipsr_o[4] })); // ../rtl/topmodule/cortexm0ds_logic.v(18598)
EG_PHY_LSLICE #(
//.LUTF0("(~(0*~(~D*~C))*~(B*~A))"),
//.LUTF1("(B*~(0*~(D*~C*~A)))"),
//.LUTG0("(~(1*~(~D*~C))*~(B*~A))"),
//.LUTG1("(B*~(1*~(D*~C*~A)))"),
.INIT_LUTF0(16'b1011101110111011),
.INIT_LUTF1(16'b1100110011001100),
.INIT_LUTG0(16'b0000000000001011),
.INIT_LUTG1(16'b0000010000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4607|u_logic/_al_u2649 (
.a({\u_logic/_al_u4439_o ,\u_logic/LOCKUP }),
.b({\u_logic/_al_u4605_o ,\u_logic/_al_u2647_o }),
.c({\u_logic/_al_u4442_o ,\u_logic/Yi8iu6_lutinv }),
.d({\u_logic/Nhmow6 ,\u_logic/_al_u2648_o }),
.e({\u_logic/n3708 ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/_al_u4607_o ,\u_logic/Nn8iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*D))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000001100110011),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u4609|u_logic/_al_u4666 (
.b({open_n166860,\u_logic/_al_u4469_o }),
.c({\u_logic/_al_u4447_o ,\u_logic/Tucow6_lutinv }),
.d({\u_logic/_al_u4439_o ,\u_logic/_al_u3800_o }),
.f({\u_logic/_al_u4609_o ,\u_logic/Kjziu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(~0*~B)*~(~D*~A))"),
//.LUTF1("(B*~(~0*~C)*~(~D*~A))"),
//.LUTG0("(C*~(~1*~B)*~(~D*~A))"),
//.LUTG1("(B*~(~1*~C)*~(~D*~A))"),
.INIT_LUTF0(16'b1100000010000000),
.INIT_LUTF1(16'b1100000010000000),
.INIT_LUTG0(16'b1111000010100000),
.INIT_LUTG1(16'b1100110010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4611|u_logic/_al_u4617 (
.a({\u_logic/_al_u4597_o ,\u_logic/_al_u4515_o }),
.b({\u_logic/_al_u4610_o ,\u_logic/_al_u4597_o }),
.c({\u_logic/Ycliu6 ,\u_logic/_al_u4616_o }),
.d({\u_logic/Gkcow6 ,\u_logic/Ycliu6 }),
.e({\u_logic/Plcow6 ,\u_logic/B0cow6 }),
.f({\u_logic/_al_u4611_o ,\u_logic/_al_u4617_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~(~D*~C)*~(~0*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(B*~(~D*~C)*~(~1*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1000100010000000),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1100110011000000),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4613|u_logic/_al_u4614 (
.a({\u_logic/Cfliu6 ,\u_logic/_al_u4597_o }),
.b({\u_logic/Tgcow6 ,\u_logic/_al_u4613_o }),
.c({\u_logic/Ycliu6 ,\u_logic/Yfcow6 }),
.d({\u_logic/Ahcow6 ,\u_logic/_al_u4601_o }),
.e({open_n166905,\u_logic/Kfcow6 }),
.f({\u_logic/_al_u4613_o ,\u_logic/_al_u4614_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~C*~B)*~(~D*~A))"),
//.LUTF1("(~(~D*~B)*~(~C*~A))"),
//.LUTG0("(~(~C*~B)*~(~D*~A))"),
//.LUTG1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUTF0(16'b1111110010101000),
.INIT_LUTF1(16'b1111101011001000),
.INIT_LUTG0(16'b1111110010101000),
.INIT_LUTG1(16'b1111101011001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4616|u_logic/_al_u4622 (
.a({\u_logic/P0cow6 ,\u_logic/_al_u4597_o }),
.b({\u_logic/Cfliu6 ,\u_logic/Cfliu6 }),
.c({\u_logic/_al_u4601_o ,\u_logic/G6cow6 }),
.d({\u_logic/K1cow6 ,\u_logic/_al_u4543_o }),
.f({\u_logic/_al_u4616_o ,\u_logic/_al_u4622_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(~C*~B)*~(~D*~A))"),
//.LUT1("(~(~D*~B)*~(~C*~A))"),
.INIT_LUT0(16'b1111110010101000),
.INIT_LUT1(16'b1111101011001000),
.MODE("LOGIC"))
\u_logic/_al_u4619|u_logic/_al_u4630 (
.a({\u_logic/_al_u4597_o ,\u_logic/_al_u4597_o }),
.b({\u_logic/Cfliu6 ,\u_logic/Xv6ow6 }),
.c({\u_logic/Piziu6 ,\u_logic/Ycliu6 }),
.d({\u_logic/Alziu6 ,\u_logic/_al_u4484_o }),
.f({\u_logic/Gpeow6 ,\u_logic/Mt6ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~D*~C)*~(~0*~A))"),
//.LUT1("(B*~(~D*~C)*~(~1*~A))"),
.INIT_LUT0(16'b1000100010000000),
.INIT_LUT1(16'b1100110011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4620 (
.a({\u_logic/_al_u4527_o ,\u_logic/_al_u4527_o }),
.b({\u_logic/Gpeow6 ,\u_logic/Gpeow6 }),
.c({\u_logic/Rjziu6 ,\u_logic/Rjziu6 }),
.d({\u_logic/_al_u4601_o ,\u_logic/_al_u4601_o }),
.mi({open_n166982,\u_logic/Ycliu6 }),
.fx({open_n166987,\u_logic/_al_u4620_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17886)
EG_PHY_LSLICE #(
//.LUTF0("~(~D*~(C*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(~D*~(C*B))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111111000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1111111111000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4625|u_logic/Npypw6_reg (
.b({open_n166992,\u_logic/vis_pc_o[1] }),
.c({\u_logic/_al_u4601_o ,\u_logic/Zdiax6 }),
.ce(\u_logic/Fkliu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u4437_o ,\u_logic/_al_u1360_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4625_o ,open_n167009}),
.q({open_n167013,\u_logic/vis_control_o })); // ../rtl/topmodule/cortexm0ds_logic.v(17886)
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~B)*~(~C*~A))"),
//.LUTF1("(D*~(~C*~B))"),
//.LUTG0("(~(~D*~B)*~(~C*~A))"),
//.LUTG1("(D*~(~C*~B))"),
.INIT_LUTF0(16'b1111101011001000),
.INIT_LUTF1(16'b1111110000000000),
.INIT_LUTG0(16'b1111101011001000),
.INIT_LUTG1(16'b1111110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4627|u_logic/_al_u4610 (
.a({open_n167014,\u_logic/Ukcow6 }),
.b({\u_logic/H78ow6 ,\u_logic/Cfliu6 }),
.c({\u_logic/Cfliu6 ,\u_logic/_al_u4601_o }),
.d({\u_logic/_al_u4626_o ,\u_logic/Wlcow6 }),
.f({\u_logic/_al_u4627_o ,\u_logic/_al_u4610_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(0*~D*B))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~A*~(1*~D*B))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0101000001010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0101000000010000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u462|u_logic/_al_u3691 (
.a({open_n167039,\u_logic/Q07ju6_lutinv }),
.b({open_n167040,\u_logic/Qbfpw6 [26]}),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3690_o }),
.d({\u_logic/S20iu6 ,\u_logic/_al_u843_o }),
.e({open_n167043,\u_logic/Ys4ju6 }),
.f({\u_logic/Tgfpw6 [12],\u_logic/_al_u3691_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18604)
EG_PHY_MSLICE #(
//.LUT0("~(B*~(C*~D))"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001111110011),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4633|u_logic/Eliax6_reg (
.b({open_n167066,\u_logic/_al_u4695_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u4696_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2563_o ,\u_logic/_al_u4607_o }),
.sr(cpuresetn),
.f({\u_logic/_al_u4633_o ,open_n167080}),
.q({open_n167084,\u_logic/vis_ipsr_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18604)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u4634|u_logic/_al_u2901 (
.a({\u_logic/_al_u1075_o ,\u_logic/A25iu6 }),
.b({\u_logic/_al_u1063_o ,\u_logic/R05iu6 }),
.c({\u_logic/Halax6 ,\u_logic/Skjax6 }),
.d({\u_logic/Qakbx6 ,\u_logic/W0jax6 }),
.f({\u_logic/_al_u4634_o ,\u_logic/Wkciu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*B)*~(D*A))"),
//.LUTF1("(B*~(C*~D))"),
//.LUTG0("(C*~(1*B)*~(D*A))"),
//.LUTG1("(B*~(C*~D))"),
.INIT_LUTF0(16'b0101000011110000),
.INIT_LUTF1(16'b1100110000001100),
.INIT_LUTG0(16'b0001000000110000),
.INIT_LUTG1(16'b1100110000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4635|u_logic/_al_u2804 (
.a({open_n167105,\u_logic/Ok8iu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/Ql8iu6 }),
.c({\u_logic/_al_u4634_o ,\u_logic/Xfliu6 }),
.d({\u_logic/LOCKUP ,\u_logic/vis_pc_o[0] }),
.e({open_n167108,\u_logic/vis_ipsr_o[1] }),
.f({\u_logic/_al_u4635_o ,\u_logic/_al_u2804_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(D*~(C*B))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0011111100000000),
.MODE("LOGIC"))
\u_logic/_al_u4638|u_logic/_al_u4708 (
.a({open_n167129,\u_logic/Egziu6 }),
.b({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u4633_o }),
.c({\u_logic/Zsfpw6 [21],\u_logic/vis_pc_o[14] }),
.d({\u_logic/_al_u4636_o ,\u_logic/Nxkbx6 [16]}),
.f({\u_logic/_al_u4638_o ,\u_logic/_al_u4708_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18799)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u463|u_logic/Ipoax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Fnqow6 ,\u_logic/U9now6_lutinv }),
.c({\u_logic/vis_r6_o[5] ,\u_logic/vis_r1_o[6] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[5] ,\u_logic/vis_r0_o[6] }),
.mi({open_n167153,\u_logic/Rkkiu6 }),
.f({\u_logic/_al_u463_o ,\u_logic/Xb4pw6 }),
.q({open_n167169,\u_logic/vis_r6_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(18799)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4640|u_logic/_al_u4764 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[5] }),
.d({\u_logic/Zsfpw6 [15],\u_logic/Nxkbx6 [7]}),
.f({\u_logic/_al_u4640_o ,\u_logic/_al_u4764_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18468)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4641|u_logic/I8hax6_reg (
.a({open_n167190,\u_logic/_al_u4454_o }),
.b({\u_logic/Egziu6 ,\u_logic/_al_u4535_o }),
.c({\u_logic/Nxkbx6 [17],\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4640_o ,\u_logic/_al_u4656_o }),
.e({open_n167192,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4641_o ,open_n167207}),
.q({open_n167211,\u_logic/vis_pc_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18468)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4643|u_logic/_al_u4756 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[16] ,\u_logic/vis_pc_o[12] }),
.d({\u_logic/Zsfpw6 [16],\u_logic/Nxkbx6 [14]}),
.f({\u_logic/_al_u4643_o ,\u_logic/_al_u4756_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18492)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4644|u_logic/Wfhax6_reg (
.a({open_n167236,\u_logic/_al_u4454_o }),
.b({\u_logic/Egziu6 ,\u_logic/_al_u4488_o }),
.c({\u_logic/Nxkbx6 [18],\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4643_o ,\u_logic/_al_u4644_o }),
.e({open_n167238,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4644_o ,open_n167253}),
.q({open_n167257,\u_logic/vis_pc_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18492)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4647|u_logic/_al_u4655 (
.a({open_n167258,\u_logic/Egziu6 }),
.b({\u_logic/Egziu6 ,\u_logic/_al_u4633_o }),
.c({\u_logic/Nxkbx6 [19],\u_logic/vis_pc_o[20] }),
.d({\u_logic/_al_u4646_o ,\u_logic/Nxkbx6 [22]}),
.f({\u_logic/_al_u4647_o ,\u_logic/_al_u4655_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17626)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u464|u_logic/Emrpw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[5] ,\u_logic/vis_r2_o[7] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[5] ,\u_logic/vis_r4_o[7] }),
.mi({open_n167286,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u464_o ,\u_logic/_al_u487_o }),
.q({open_n167302,\u_logic/vis_r0_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17626)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4650|u_logic/_al_u4656 (
.b({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.c({\u_logic/Zsfpw6 [18],\u_logic/Zsfpw6 [20]}),
.d({\u_logic/_al_u4649_o ,\u_logic/_al_u4655_o }),
.f({\u_logic/_al_u4650_o ,\u_logic/_al_u4656_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4652|u_logic/_al_u4636 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[21] }),
.d({\u_logic/Zsfpw6 [19],\u_logic/Nxkbx6 [23]}),
.f({\u_logic/_al_u4652_o ,\u_logic/_al_u4636_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18474)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("(D*~(C*B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4653|u_logic/Fahax6_reg (
.a({open_n167349,\u_logic/_al_u4454_o }),
.b({\u_logic/Egziu6 ,\u_logic/_al_u4523_o }),
.c({\u_logic/Nxkbx6 [21],\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/_al_u4652_o ,\u_logic/_al_u4653_o }),
.e({open_n167351,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4653_o ,open_n167366}),
.q({open_n167370,\u_logic/vis_pc_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18474)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4658|u_logic/_al_u4759 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[13] }),
.d({\u_logic/Zsfpw6 [22],\u_logic/Nxkbx6 [15]}),
.f({\u_logic/_al_u4658_o ,\u_logic/_al_u4759_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(D*~(C*B))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(D*~(C*B))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0011111100000000),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0011111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4659|u_logic/_al_u4785 (
.a({open_n167391,\u_logic/Egziu6 }),
.b({\u_logic/Egziu6 ,\u_logic/_al_u4633_o }),
.c({\u_logic/Nxkbx6 [24],\u_logic/vis_pc_o[26] }),
.d({\u_logic/_al_u4658_o ,\u_logic/Nxkbx6 [28]}),
.f({\u_logic/_al_u4659_o ,\u_logic/_al_u4785_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17627)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u465|u_logic/Dorpw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r3_o[5] ,\u_logic/vis_r0_o[7] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[5] ,\u_logic/vis_r6_o[7] }),
.mi({open_n167419,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u465_o ,\u_logic/_al_u488_o }),
.q({open_n167435,\u_logic/vis_r1_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(17627)
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*A*~(0*C)))"),
//.LUT1("(~B*~(D*A*~(1*C)))"),
.INIT_LUT0(16'b0001000100110011),
.INIT_LUT1(16'b0011000100110011),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4661 (
.a({\u_logic/Jfmow6 ,\u_logic/Jfmow6 }),
.b({\u_logic/_al_u4453_o ,\u_logic/_al_u4453_o }),
.c({\u_logic/F93ju6_lutinv ,\u_logic/F93ju6_lutinv }),
.d({\u_logic/Tucow6_lutinv ,\u_logic/Tucow6_lutinv }),
.mi({open_n167448,\u_logic/Df3ju6 }),
.fx({open_n167453,\u_logic/_al_u4661_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~(B*~A))"),
//.LUTF1("(C*~(B*~D))"),
//.LUTG0("(~1*~D*~C*~(B*~A))"),
//.LUTG1("(C*~(B*~D))"),
.INIT_LUTF0(16'b0000000000001011),
.INIT_LUTF1(16'b1111000000110000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b1111000000110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4662|u_logic/_al_u4454 (
.a({open_n167456,\u_logic/_al_u4439_o }),
.b({\u_logic/_al_u4447_o ,\u_logic/_al_u4447_o }),
.c({\u_logic/_al_u4661_o ,\u_logic/_al_u4449_o }),
.d({\u_logic/_al_u4439_o ,\u_logic/_al_u4451_o }),
.e({open_n167459,\u_logic/_al_u4453_o }),
.f({\u_logic/_al_u4662_o ,\u_logic/_al_u4454_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*C)*~(~B*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(~(~D*C)*~(~B*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1110111000001110),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1110111000001110),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4665|u_logic/_al_u4551 (
.a({\u_logic/Hlziu6 ,\u_logic/_al_u4437_o }),
.b({\u_logic/_al_u4664_o ,\u_logic/_al_u4471_o }),
.c({\u_logic/Piziu6 ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Alziu6 ,\u_logic/_al_u4444_o }),
.f({\u_logic/_al_u4665_o ,\u_logic/_al_u4551_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~0*~D)*~(C*~A))"),
//.LUT1("(B*~(~1*~D)*~(C*~A))"),
.INIT_LUT0(16'b1000110000000000),
.INIT_LUT1(16'b1000110010001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4667 (
.a({\u_logic/_al_u4527_o ,\u_logic/_al_u4527_o }),
.b({\u_logic/_al_u4665_o ,\u_logic/_al_u4665_o }),
.c({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Rjziu6 ,\u_logic/Rjziu6 }),
.mi({open_n167516,\u_logic/Kjziu6_lutinv }),
.fx({open_n167521,\u_logic/_al_u4667_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18932)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u466|u_logic/N1wax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Dmqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r1_o[5] ,\u_logic/vis_r7_o[7] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[5] ,\u_logic/vis_r3_o[7] }),
.mi({open_n167534,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u466_o ,\u_logic/Gfoow6 }),
.q({open_n167539,\u_logic/vis_r7_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18932)
EG_PHY_MSLICE #(
//.LUT0("(B*~(C*~D))"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b1100110000001100),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u4670|u_logic/_al_u4437 (
.b({\u_logic/_al_u4473_o ,\u_logic/_al_u4436_o }),
.c({\u_logic/Kjziu6_lutinv ,\u_logic/_al_u4432_o }),
.d({\u_logic/_al_u4669_o ,\u_logic/Mg3ju6_lutinv }),
.f({\u_logic/_al_u4670_o ,\u_logic/_al_u4437_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~C*~(~0*~D*~A)))"),
//.LUT1("(B*~(~C*~(~1*~D*~A)))"),
.INIT_LUT0(16'b1100000011000100),
.INIT_LUT1(16'b1100000011000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4671 (
.a({\u_logic/_al_u4455_o ,\u_logic/_al_u4455_o }),
.b({\u_logic/_al_u4670_o ,\u_logic/_al_u4670_o }),
.c({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.d({\u_logic/_al_u4456_o ,\u_logic/_al_u4456_o }),
.mi({open_n167574,\u_logic/_al_u4457_o }),
.fx({open_n167579,\u_logic/_al_u4671_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(C*~(D*~A)))"),
//.LUT1("(~B*~(C*~(D*~A)))"),
.INIT_LUT0(16'b0001001100000011),
.INIT_LUT1(16'b0001001100000011),
.MODE("LOGIC"))
\u_logic/_al_u4673|u_logic/_al_u4480 (
.a({\u_logic/_al_u4263_o ,\u_logic/_al_u4263_o }),
.b({\u_logic/Hlziu6 ,\u_logic/Eccow6 }),
.c({\u_logic/_al_u4479_o ,\u_logic/_al_u4479_o }),
.d({\u_logic/_al_u4434_o ,\u_logic/_al_u4434_o }),
.f({\u_logic/_al_u4673_o ,\u_logic/_al_u4480_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~(~B*~A))"),
//.LUTF1("(B*~A*~(~D*~C))"),
//.LUTG0("(~1*~D*~C*~(~B*~A))"),
//.LUTG1("(B*~A*~(~D*~C))"),
.INIT_LUTF0(16'b0000000000001110),
.INIT_LUTF1(16'b0100010001000000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0100010001000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4675|u_logic/_al_u4593 (
.a({\u_logic/_al_u4673_o ,\u_logic/_al_u4539_o }),
.b({\u_logic/_al_u4674_o ,\u_logic/Pkdow6 }),
.c({\u_logic/_al_u4487_o ,\u_logic/_al_u4590_o }),
.d({\u_logic/Kjziu6_lutinv ,\u_logic/_al_u4591_o }),
.e({open_n167604,\u_logic/_al_u4592_o }),
.f({\u_logic/_al_u4675_o ,\u_logic/_al_u4593_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~0*C)*~(~D*~B))"),
//.LUT1("(A*~(~1*C)*~(~D*~B))"),
.INIT_LUT0(16'b0000101000001000),
.INIT_LUT1(16'b1010101010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4678 (
.a({\u_logic/_al_u4677_o ,\u_logic/_al_u4677_o }),
.b({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.c({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Wlcow6 ,\u_logic/Wlcow6 }),
.mi({open_n167637,\u_logic/Plcow6 }),
.fx({open_n167642,\u_logic/_al_u4678_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~(0*~(~A*~(D*B))))"),
//.LUTF1("(~(~D*~C)*~(~B*~A))"),
//.LUTG0("(C*~(1*~(~A*~(D*B))))"),
//.LUTG1("(~(~D*~C)*~(~B*~A))"),
.INIT_LUTF0(16'b1111000011110000),
.INIT_LUTF1(16'b1110111011100000),
.INIT_LUTG0(16'b0001000001010000),
.INIT_LUTG1(16'b1110111011100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4680|u_logic/_al_u4487 (
.a({\u_logic/Yfcow6 ,\u_logic/_al_u3919_o }),
.b({\u_logic/Kjziu6_lutinv ,\u_logic/_al_u3916_o }),
.c({\u_logic/_al_u4664_o ,\u_logic/_al_u4486_o }),
.d({\u_logic/Kfcow6 ,\u_logic/_al_u3892_o }),
.e({open_n167647,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4680_o ,\u_logic/_al_u4487_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*C)*~(~0*~B))"),
//.LUT1("(A*~(~D*C)*~(~1*~B))"),
.INIT_LUT0(16'b1000100000001000),
.INIT_LUT1(16'b1010101000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4681 (
.a({\u_logic/_al_u4680_o ,\u_logic/_al_u4680_o }),
.b({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.c({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/Tgcow6 ,\u_logic/Tgcow6 }),
.mi({open_n167680,\u_logic/Ahcow6 }),
.fx({open_n167685,\u_logic/_al_u4681_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000011110000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000011110000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4683|u_logic/_al_u4456 (
.c({\u_logic/_al_u4543_o ,\u_logic/_al_u4432_o }),
.d({\u_logic/_al_u4664_o ,\u_logic/Uk3ju6_lutinv }),
.f({\u_logic/_al_u4683_o ,\u_logic/_al_u4456_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~D*~C)*~(~0*~A))"),
//.LUT1("(~B*~(~D*~C)*~(~1*~A))"),
.INIT_LUT0(16'b0010001000100000),
.INIT_LUT1(16'b0011001100110000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4684 (
.a({\u_logic/Hlziu6 ,\u_logic/Hlziu6 }),
.b({\u_logic/_al_u4683_o ,\u_logic/_al_u4683_o }),
.c({\u_logic/_al_u4547_o ,\u_logic/_al_u4547_o }),
.d({\u_logic/Kjziu6_lutinv ,\u_logic/Kjziu6_lutinv }),
.mi({open_n167728,\u_logic/G6cow6 }),
.fx({open_n167733,\u_logic/_al_u4684_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~D*~C)*~(~B*~A))"),
//.LUTF1("(~(~C*~B)*~(~D*~A))"),
//.LUTG0("(~(~D*~C)*~(~B*~A))"),
//.LUTG1("(~(~C*~B)*~(~D*~A))"),
.INIT_LUTF0(16'b1110111011100000),
.INIT_LUTF1(16'b1111110010101000),
.INIT_LUTG0(16'b1110111011100000),
.INIT_LUTG1(16'b1111110010101000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4687|u_logic/_al_u4690 (
.a({\u_logic/Hlziu6 ,\u_logic/_al_u4437_o }),
.b({\u_logic/P0cow6 ,\u_logic/Kjziu6_lutinv }),
.c({\u_logic/Kjziu6_lutinv ,\u_logic/_al_u4664_o }),
.d({\u_logic/K1cow6 ,\u_logic/_al_u4444_o }),
.f({\u_logic/_al_u4687_o ,\u_logic/_al_u4690_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(~0*~D)*~(C*~A))"),
//.LUT1("(B*~(~1*~D)*~(C*~A))"),
.INIT_LUT0(16'b1000110000000000),
.INIT_LUT1(16'b1000110010001100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4688 (
.a({\u_logic/_al_u4515_o ,\u_logic/_al_u4515_o }),
.b({\u_logic/_al_u4687_o ,\u_logic/_al_u4687_o }),
.c({\u_logic/Xbcow6_lutinv ,\u_logic/Xbcow6_lutinv }),
.d({\u_logic/_al_u4664_o ,\u_logic/_al_u4664_o }),
.mi({open_n167772,\u_logic/B0cow6 }),
.fx({open_n167777,\u_logic/_al_u4688_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18733)
EG_PHY_MSLICE #(
//.LUT0("~(~(D*~B)*~(C*A))"),
//.LUT1("(C*~(B*~D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011001110100000),
.INIT_LUT1(16'b1111000000110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4693|u_logic/Halax6_reg (
.a({open_n167780,\u_logic/_al_u1081_o }),
.b({\u_logic/Uzaiu6 ,\u_logic/_al_u1078_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.clk(clk_pad),
.d({\u_logic/_al_u2347_o ,\u_logic/Halax6 }),
.sr(cpuresetn),
.f({\u_logic/_al_u4693_o ,open_n167794}),
.q({open_n167798,\u_logic/Halax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18733)
EG_PHY_MSLICE #(
//.LUT0("(0*~D*~C*~B*A)"),
//.LUT1("(1*~D*~C*~B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0000000000000010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4694 (
.a({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.b({\u_logic/_al_u2347_o ,\u_logic/_al_u2347_o }),
.c({\u_logic/_al_u145_o ,\u_logic/_al_u145_o }),
.d({\u_logic/Owoiu6 ,\u_logic/Owoiu6 }),
.mi({open_n167811,\u_logic/Vzjpw6 }),
.fx({open_n167816,\u_logic/_al_u4694_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(~C*D))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~B*~(~C*D))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0011000000110011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0011000000110011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4695|u_logic/_al_u1139 (
.a({\u_logic/_al_u4694_o ,open_n167819}),
.b({\u_logic/_al_u4693_o ,\u_logic/_al_u155_o }),
.c({\u_logic/vis_ipsr_o[0] ,\u_logic/Frziu6_lutinv }),
.d({\u_logic/Xdspw6 ,\u_logic/_al_u148_o }),
.f({\u_logic/_al_u4695_o ,\u_logic/Qk9pw6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4698|u_logic/_al_u4706 (
.a({\u_logic/_al_u4694_o ,\u_logic/_al_u4694_o }),
.b({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.c({\u_logic/vis_ipsr_o[2] ,\u_logic/vis_ipsr_o[1] }),
.d({\u_logic/Jpmpw6 ,\u_logic/T5mpw6 }),
.f({\u_logic/_al_u4698_o ,\u_logic/_al_u4706_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*~B)*~(C*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100110001011111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4700|u_logic/_al_u4704 (
.a({\u_logic/_al_u4694_o ,\u_logic/_al_u4694_o }),
.b({\u_logic/_al_u4693_o ,\u_logic/_al_u4693_o }),
.c({\u_logic/vis_ipsr_o[3] ,\u_logic/F4iax6 }),
.d({\u_logic/Xiipw6 ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/_al_u4700_o ,\u_logic/_al_u4704_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*B*~(C*A))"),
//.LUTF1("(~(D*~B)*~(C*A))"),
//.LUTG0("(D*B*~(C*A))"),
//.LUTG1("(~(D*~B)*~(C*A))"),
.INIT_LUTF0(16'b0100110000000000),
.INIT_LUTF1(16'b0100110001011111),
.INIT_LUTG0(16'b0100110000000000),
.INIT_LUTG1(16'b0100110001011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4702|u_logic/_al_u956 (
.a({\u_logic/_al_u4694_o ,\u_logic/T8row6 }),
.b({\u_logic/_al_u4693_o ,\u_logic/_al_u954_o }),
.c({\u_logic/G2iax6 ,\u_logic/Ukbpw6_lutinv }),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_pc_o[28] }),
.f({\u_logic/_al_u4702_o ,\u_logic/_al_u956_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4709|u_logic/_al_u4646 (
.a({\u_logic/_al_u4635_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u4708_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/vis_pc_o[17] }),
.d({\u_logic/Zsfpw6 [14],\u_logic/Zsfpw6 [17]}),
.f({\u_logic/_al_u4709_o ,\u_logic/_al_u4646_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17242)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("(~D*~(~C*B))"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("(~D*~(~C*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0000000011110011),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0000000011110011),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4711|u_logic/Qijpw6_reg (
.a({open_n167932,\u_logic/_al_u4662_o }),
.b({\u_logic/_al_u3894_o ,\u_logic/_al_u4667_o }),
.c({\u_logic/_al_u3924_o ,\u_logic/_al_u4762_o }),
.ce(\u_logic/Zf8iu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u3785_o ,\u_logic/Yi8iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/V7liu6_lutinv ,open_n167949}),
.q({open_n167953,\u_logic/vis_apsr_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17242)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1111000011101110),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1111000011101110),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4712|u_logic/_al_u3906 (
.a({\u_logic/V7liu6_lutinv ,open_n167954}),
.b({\u_logic/Yi8iu6_lutinv ,open_n167955}),
.c({\u_logic/_al_u1392_o ,\u_logic/_al_u3807_o }),
.d({\u_logic/Ph8iu6_lutinv ,\u_logic/_al_u3824_o }),
.f({\u_logic/_al_u4712_o ,\u_logic/_al_u3906_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
//.LUTF1("(~(~B*A)*~(C)*~(D)+~(~B*A)*C*~(D)+~(~(~B*A))*C*D+~(~B*A)*C*D)"),
//.LUTG0("(~(~B*~A)*~(C)*~(D)+~(~B*~A)*C*~(D)+~(~(~B*~A))*C*D+~(~B*~A)*C*D)"),
//.LUTG1("(~(~B*A)*~(C)*~(D)+~(~B*A)*C*~(D)+~(~(~B*A))*C*D+~(~B*A)*C*D)"),
.INIT_LUTF0(16'b1111000011101110),
.INIT_LUTF1(16'b1111000011011101),
.INIT_LUTG0(16'b1111000011101110),
.INIT_LUTG1(16'b1111000011011101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4715|u_logic/_al_u4762 (
.a({\u_logic/_al_u4714_o ,\u_logic/_al_u3942_o }),
.b({\u_logic/Yi8iu6_lutinv ,\u_logic/Yi8iu6_lutinv }),
.c({\u_logic/_al_u1398_o ,\u_logic/_al_u1406_o }),
.d({\u_logic/Ph8iu6_lutinv ,\u_logic/Ph8iu6_lutinv }),
.f({\u_logic/_al_u4715_o ,\u_logic/_al_u4762_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(0*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(D*~(1*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A)))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b1111111100000000),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1101100000000000),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4717|u_logic/_al_u4531 (
.a({\u_logic/Egziu6 ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u3868_o }),
.c({\u_logic/vis_pc_o[1] ,\u_logic/F14ju6 }),
.d({\u_logic/Nxkbx6 [3],\u_logic/_al_u4530_o }),
.e({open_n168006,\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4717_o ,\u_logic/Alziu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4718|u_logic/_al_u4721 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.b({\u_logic/_al_u4717_o ,\u_logic/_al_u4720_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Zsfpw6 [1],\u_logic/Zsfpw6 [2]}),
.f({\u_logic/_al_u4718_o ,\u_logic/_al_u4721_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(D*~(C*~(B)*~(A)+C*B*~(A)+~(C)*B*A+C*B*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0010011100000000),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0010011100000000),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4720|u_logic/_al_u4538 (
.a({\u_logic/Egziu6 ,\u_logic/Hv3ju6_lutinv }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u3859_o }),
.c({\u_logic/vis_pc_o[2] ,\u_logic/Id4ju6 }),
.d({\u_logic/Nxkbx6 [4],\u_logic/_al_u4432_o }),
.f({\u_logic/_al_u4720_o ,\u_logic/_al_u4538_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4724|u_logic/_al_u2591 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u2471_o }),
.b({\u_logic/_al_u4723_o ,\u_logic/_al_u2469_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/M94iu6 }),
.d({\u_logic/Zsfpw6 [3],\u_logic/Sx3qw6 }),
.f({\u_logic/_al_u4724_o ,\u_logic/Mj8iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(19969)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(0*D)*~(C*~B))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("~(A*~(1*D)*~(C*~B))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111010101110101),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111111101110101),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4726|u_logic/F6dbx6_reg (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u2595_o }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u2356_o }),
.c({\u_logic/vis_pc_o[4] ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Zsfpw6 [4],\u_logic/Ok8iu6 }),
.e({open_n168100,\u_logic/vis_pc_o[29] }),
.f({\u_logic/Xneow6 ,open_n168116}),
.q({open_n168120,\u_logic/F6dbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19969)
// ../rtl/topmodule/cortexm0ds_logic.v(18933)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u472|u_logic/M3wax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Cpqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r0_o[13] ,\u_logic/vis_r3_o[26] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[13] ,\u_logic/vis_r2_o[26] }),
.mi({open_n168131,\u_logic/Zvkiu6 }),
.f({\u_logic/F51pw6 ,\u_logic/_al_u571_o }),
.q({open_n168136,\u_logic/vis_r7_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(18933)
// ../rtl/topmodule/cortexm0ds_logic.v(18049)
EG_PHY_LSLICE #(
//.LUTF0("~(B*~(C*~D))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("~(B*~(C*~D))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001111110011),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0011001111110011),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4730|u_logic/Sx3qw6_reg (
.a({\u_logic/_al_u4635_o ,open_n168137}),
.b({\u_logic/_al_u4729_o ,\u_logic/_al_u2592_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Jl8iu6 }),
.clk(clk_pad),
.d({\u_logic/Zsfpw6 [0],\u_logic/_al_u2354_o }),
.f({\u_logic/_al_u4730_o ,open_n168156}),
.q({open_n168160,\u_logic/Sx3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18049)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4732|u_logic/_al_u4738 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[29] ,\u_logic/vis_pc_o[30] }),
.d({\u_logic/Zsfpw6 [29],\u_logic/Zsfpw6 [30]}),
.f({\u_logic/M2cow6 ,\u_logic/S98ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(B*~A*~(D*C))"),
//.LUT1("(B*~A*~(D*C))"),
.INIT_LUT0(16'b0000010001000100),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"))
\u_logic/_al_u4733|u_logic/_al_u4771 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.b({\u_logic/M2cow6 ,\u_logic/Cfziu6 }),
.c({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.d(\u_logic/Nxkbx6 [31:30]),
.f({\u_logic/_al_u4733_o ,\u_logic/_al_u4771_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18516)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(D*~B*~A)))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("~(C*~(1*~(D*~B*~A)))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1110111111111111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4735|u_logic/Knhax6_reg (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/_al_u4556_o }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4583_o }),
.c({\u_logic/vis_pc_o[27] ,\u_logic/_al_u4754_o }),
.clk(clk_pad),
.d({\u_logic/Zsfpw6 [27],\u_logic/_al_u4585_o }),
.e({open_n168202,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Qxbow6 ,open_n168217}),
.q({open_n168221,\u_logic/vis_pc_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(18516)
// ../rtl/topmodule/cortexm0ds_logic.v(18746)
EG_PHY_LSLICE #(
//.LUTF0("~(D*B*~(C*~A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("~(D*B*~(C*~A))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0111001111111111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0111001111111111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4736|u_logic/Zrlax6_reg (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/Qxbow6 ,\u_logic/_al_u4623_o }),
.c({\u_logic/Egziu6 ,\u_logic/n3708 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [29],\u_logic/_al_u3677_o }),
.f({\u_logic/_al_u4736_o ,\u_logic/Sokiu6 }),
.q({open_n168242,\u_logic/vis_r10_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(18746)
// ../rtl/topmodule/cortexm0ds_logic.v(18764)
EG_PHY_MSLICE #(
//.LUT0("~(D*~C*B*~A)"),
//.LUT1("(B*~A*~(D*C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111101111111111),
.INIT_LUT1(16'b0000010001000100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u4739|u_logic/Wrmax6_reg (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/S98ow6 ,\u_logic/_al_u4572_o }),
.c({\u_logic/Egziu6 ,\u_logic/_al_u4573_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [32],\u_logic/_al_u3762_o }),
.f({\u_logic/_al_u4739_o ,\u_logic/K3niu6 }),
.q({open_n168259,\u_logic/vis_r10_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18764)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4744|u_logic/_al_u4723 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[8] ,\u_logic/vis_pc_o[3] }),
.d({\u_logic/Zsfpw6 [8],\u_logic/Nxkbx6 [5]}),
.f({\u_logic/Gdjow6 ,\u_logic/_al_u4723_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17713)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(0*~(B*~(D*~A))))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("~(C*~(1*~(B*~(D*~A))))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000111100001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0111111100111111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4745|u_logic/Equpw6_reg (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4609_o }),
.b({\u_logic/Gdjow6 ,\u_logic/_al_u4627_o }),
.c({\u_logic/Egziu6 ,\u_logic/_al_u4768_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [10],\u_logic/n3708 }),
.e({open_n168281,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4745_o ,open_n168296}),
.q({open_n168300,\u_logic/vis_pc_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17713)
EG_PHY_MSLICE #(
//.LUT0("(~(C*~B)*~(D*A))"),
//.LUT1("(~(C*~B)*~(D*A))"),
.INIT_LUT0(16'b0100010111001111),
.INIT_LUT1(16'b0100010111001111),
.MODE("LOGIC"))
\u_logic/_al_u4747|u_logic/_al_u4767 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[6] }),
.d({\u_logic/Zsfpw6 [10],\u_logic/Zsfpw6 [6]}),
.f({\u_logic/Eriow6 ,\u_logic/W48ow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4748|u_logic/_al_u4754 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.b({\u_logic/Eriow6 ,\u_logic/Prdow6 }),
.c({\u_logic/Egziu6 ,\u_logic/Egziu6 }),
.d({\u_logic/Nxkbx6 [12],\u_logic/Nxkbx6 [13]}),
.f({\u_logic/_al_u4748_o ,\u_logic/_al_u4754_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18868)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u474|u_logic/Misax6_reg (
.a({open_n168345,\u_logic/O00iu6 }),
.b({open_n168346,\u_logic/_al_u1198_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u1199_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/L20iu6 ,\u_logic/Dfqow6 }),
.e({open_n168347,\u_logic/Nu5bx6 }),
.mi({open_n168349,\u_logic/Qcaiu6 }),
.f({\u_logic/Tgfpw6 [13],\u_logic/_al_u1200_o }),
.q({open_n168365,\u_logic/vis_r12_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18868)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*~D))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~C*~(B*~D))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0000111100000011),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0000111100000011),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4750|u_logic/_al_u1291 (
.a({\u_logic/Cmziu6_lutinv ,open_n168366}),
.b({\u_logic/_al_u4633_o ,\u_logic/I8lax6 }),
.c({\u_logic/vis_pc_o[7] ,\u_logic/Wvgax6 }),
.d({\u_logic/Zsfpw6 [7],\u_logic/_al_u1138_o }),
.f({\u_logic/Jvdow6 ,\u_logic/Lcqow6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18504)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(D*~(B*~A)))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("~(C*~(D*~(B*~A)))"),
//.LUTG1("(B*~A*~(D*C))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1011111100001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b1011111100001111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4751|u_logic/Qjhax6_reg (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4556_o }),
.b({\u_logic/Jvdow6 ,\u_logic/_al_u4593_o }),
.c({\u_logic/Egziu6 ,\u_logic/_al_u4760_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [9],\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4751_o ,open_n168408}),
.q({open_n168412,\u_logic/vis_pc_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18504)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4757|u_logic/_al_u4753 (
.a({\u_logic/_al_u4635_o ,\u_logic/Cmziu6_lutinv }),
.b({\u_logic/_al_u4756_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/vis_pc_o[11] }),
.d(\u_logic/Zsfpw6 [12:11]),
.f({\u_logic/_al_u4757_o ,\u_logic/Prdow6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4760|u_logic/_al_u4741 (
.a({\u_logic/_al_u4635_o ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4759_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/vis_pc_o[9] }),
.d({\u_logic/Zsfpw6 [13],\u_logic/Nxkbx6 [11]}),
.f({\u_logic/_al_u4760_o ,\u_logic/_al_u4741_o }));
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4765|u_logic/_al_u4727 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.b({\u_logic/_al_u4764_o ,\u_logic/Xneow6 }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.d({\u_logic/Zsfpw6 [5],\u_logic/Nxkbx6 [6]}),
.f({\u_logic/_al_u4765_o ,\u_logic/_al_u4727_o }));
EG_PHY_MSLICE #(
//.LUT0("(0*~(C*~(D*~(B*~A))))"),
//.LUT1("(1*~(C*~(D*~(B*~A))))"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b1011111100001111),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4773 (
.a({\u_logic/_al_u4439_o ,\u_logic/_al_u4439_o }),
.b({\u_logic/_al_u4447_o ,\u_logic/_al_u4447_o }),
.c({\u_logic/_al_u4671_o ,\u_logic/_al_u4671_o }),
.d({\u_logic/_al_u4661_o ,\u_logic/_al_u4661_o }),
.mi({open_n168497,\u_logic/Yi8iu6_lutinv }),
.fx({open_n168502,\u_logic/_al_u4773_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~(C*B*A))"),
//.LUTF1("(~A*~(D*C*~B))"),
//.LUTG0("(~D*~(C*B*A))"),
//.LUTG1("(~A*~(D*C*~B))"),
.INIT_LUTF0(16'b0000000001111111),
.INIT_LUTF1(16'b0100010101010101),
.INIT_LUTG0(16'b0000000001111111),
.INIT_LUTG1(16'b0100010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4774|u_logic/_al_u3671 (
.a({\u_logic/_al_u2648_o ,\u_logic/T8row6 }),
.b({\u_logic/_al_u685_o ,\u_logic/_al_u3645_o }),
.c({\u_logic/D1piu6_lutinv ,\u_logic/Ukbpw6_lutinv }),
.d({\u_logic/Nxkbx6 [1],\u_logic/mult0_0_0_3 }),
.f({\u_logic/_al_u4774_o ,\u_logic/_al_u3671_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18082)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~C*~(0*~(B*~A)))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("~(D*~C*~(1*~(B*~A)))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111000011111111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b1111101111111111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("SET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u4779|u_logic/C37ax6_reg (
.a({\u_logic/Egziu6 ,\u_logic/_al_u4454_o }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4552_o }),
.c({\u_logic/vis_pc_o[24] ,\u_logic/_al_u4635_o }),
.clk(clk_pad),
.d({\u_logic/Nxkbx6 [26],\u_logic/_al_u4659_o }),
.e({open_n168530,\u_logic/Zgziu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/_al_u4779_o ,open_n168545}),
.q({open_n168549,\u_logic/vis_pc_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(18082)
EG_PHY_LSLICE #(
//.LUTF0("(B*~A*~(D*C))"),
//.LUTF1("(B*~A*~(D*C))"),
//.LUTG0("(B*~A*~(D*C))"),
//.LUTG1("(B*~A*~(D*C))"),
.INIT_LUTF0(16'b0000010001000100),
.INIT_LUTF1(16'b0000010001000100),
.INIT_LUTG0(16'b0000010001000100),
.INIT_LUTG1(16'b0000010001000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4780|u_logic/_al_u4786 (
.a({\u_logic/_al_u4635_o ,\u_logic/_al_u4635_o }),
.b({\u_logic/_al_u4779_o ,\u_logic/_al_u4785_o }),
.c({\u_logic/Cmziu6_lutinv ,\u_logic/Cmziu6_lutinv }),
.d({\u_logic/Zsfpw6 [24],\u_logic/Zsfpw6 [26]}),
.f({\u_logic/_al_u4780_o ,\u_logic/_al_u4786_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*~B)*~(D*A))"),
//.LUTF1("(~(C*~B)*~(D*A))"),
//.LUTG0("(~(C*~B)*~(D*A))"),
//.LUTG1("(~(C*~B)*~(D*A))"),
.INIT_LUTF0(16'b0100010111001111),
.INIT_LUTF1(16'b0100010111001111),
.INIT_LUTG0(16'b0100010111001111),
.INIT_LUTG1(16'b0100010111001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4782|u_logic/_al_u4649 (
.a({\u_logic/Cmziu6_lutinv ,\u_logic/Egziu6 }),
.b({\u_logic/_al_u4633_o ,\u_logic/_al_u4633_o }),
.c({\u_logic/vis_pc_o[25] ,\u_logic/vis_pc_o[18] }),
.d({\u_logic/Zsfpw6 [25],\u_logic/Nxkbx6 [20]}),
.f({\u_logic/Vhcow6 ,\u_logic/_al_u4649_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*~A)"),
//.LUTF1("(C*~(B*D))"),
//.LUTG0("(~D*~C*B*~A)"),
//.LUTG1("(C*~(B*D))"),
.INIT_LUTF0(16'b0000000000000100),
.INIT_LUTF1(16'b0011000011110000),
.INIT_LUTG0(16'b0000000000000100),
.INIT_LUTG1(16'b0011000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4788|u_logic/_al_u3890 (
.a({open_n168598,\u_logic/_al_u3799_o }),
.b({\u_logic/P9niu6 ,\u_logic/_al_u3792_o }),
.c({\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o ,\u_logic/_al_u3790_o }),
.d({\u_logic/Ug8iu6_lutinv ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u4788_o ,\u_logic/_al_u3890_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(0*~D)*~(C*~A))"),
//.LUT1("(B*~(1*~D)*~(C*~A))"),
.INIT_LUT0(16'b1000110010001100),
.INIT_LUT1(16'b1000110000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u4789 (
.a({\u_logic/_al_u3946_o ,\u_logic/_al_u3946_o }),
.b({\u_logic/_al_u4788_o ,\u_logic/_al_u4788_o }),
.c({\u_logic/Ug8iu6_lutinv ,\u_logic/Ug8iu6_lutinv }),
.d({\u_logic/_al_u1384_o ,\u_logic/_al_u1384_o }),
.mi({open_n168635,\u_logic/Ph8iu6_lutinv }),
.fx({open_n168640,\u_logic/_al_u4789_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(D@(~(0*~B)*~(C*~A)))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("~(D@(~(1*~B)*~(C*~A)))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1010111101010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1000110001110011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u4790|u_logic/_al_u1627 (
.a({open_n168643,\u_logic/_al_u1135_o }),
.b({open_n168644,\u_logic/_al_u1626_o }),
.c({\u_logic/vis_apsr_o[0] ,\u_logic/_al_u1442_o }),
.d({\u_logic/_al_u4788_o ,\u_logic/_al_u1447_o }),
.e({open_n168647,\u_logic/Sqkax6 }),
.f({\u_logic/_al_u4790_o ,\u_logic/Qbfpw6 [0]}));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u480|u_logic/_al_u492 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Zvzhu6 ,\u_logic/Svzhu6 }),
.f({\u_logic/Tgfpw6 [6],\u_logic/Tgfpw6 [7]}));
// ../rtl/topmodule/cortexm0ds_logic.v(19785)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u481|u_logic/Zt7bx6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Mnqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r5_o[14] ,\u_logic/vis_r3_o[14] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[14] ,\u_logic/vis_r4_o[14] }),
.mi({open_n168695,\u_logic/Zfmiu6 }),
.f({\u_logic/Vl0pw6 ,\u_logic/_al_u209_o }),
.q({open_n168711,\u_logic/vis_r1_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19785)
// ../rtl/topmodule/cortexm0ds_logic.v(19784)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u482|u_logic/Zr7bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/C7now6_lutinv }),
.c({\u_logic/vis_r6_o[14] ,\u_logic/vis_r6_o[14] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r7_o[14] ,\u_logic/vis_r1_o[14] }),
.mi({open_n168715,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u482_o ,\u_logic/_al_u210_o }),
.q({open_n168731,\u_logic/vis_r0_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19784)
// ../rtl/topmodule/cortexm0ds_logic.v(19795)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u483|u_logic/Zd8bx6_reg (
.a({\u_logic/Voqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Cpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r0_o[14] ,\u_logic/vis_r7_o[14] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[14] ,\u_logic/vis_r2_o[14] }),
.mi({open_n168742,\u_logic/Zfmiu6 }),
.f({\u_logic/Sn0pw6 ,\u_logic/_al_u211_o }),
.q({open_n168747,\u_logic/vis_r7_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19795)
// ../rtl/topmodule/cortexm0ds_logic.v(19794)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u484|u_logic/Zb8bx6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r3_o[14] ,\u_logic/vis_r5_o[14] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[14] ,\u_logic/vis_r0_o[14] }),
.mi({open_n168751,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u484_o ,\u_logic/_al_u212_o }),
.q({open_n168767,\u_logic/vis_r6_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19794)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b1100101001000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b1100111101000101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u486|u_logic/_al_u3899 (
.a({open_n168768,\u_logic/_al_u3807_o }),
.b({open_n168769,\u_logic/_al_u3898_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/E20iu6 ,\u_logic/Dc0iu6 }),
.e({open_n168772,\u_logic/F60iu6 }),
.f({\u_logic/Tgfpw6 [14],\u_logic/_al_u3899_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18829)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u490|u_logic/Wcqax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/U9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r1_o[7] ,\u_logic/vis_r5_o[7] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[7] ,\u_logic/vis_r0_o[7] }),
.mi({open_n168796,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u490_o ,\u_logic/_al_u365_o }),
.q({open_n168812,\u_logic/vis_r2_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18829)
// ../rtl/topmodule/cortexm0ds_logic.v(18801)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u491|u_logic/Gtoax6_reg (
.a({\u_logic/_al_u487_o ,\u_logic/N9now6_lutinv }),
.b({\u_logic/_al_u488_o ,\u_logic/C7now6_lutinv }),
.c({\u_logic/_al_u489_o ,\u_logic/vis_r1_o[7] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/_al_u490_o ,\u_logic/vis_r6_o[7] }),
.mi({open_n168816,\u_logic/Drkiu6 }),
.f({\u_logic/Svzhu6 ,\u_logic/_al_u368_o }),
.q({open_n168832,\u_logic/vis_r6_o[7] })); // ../rtl/topmodule/cortexm0ds_logic.v(18801)
// ../rtl/topmodule/cortexm0ds_logic.v(18897)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u493|u_logic/B4uax6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/Xpqow6 }),
.b({\u_logic/Xpqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r5_o[15] ,\u_logic/vis_r3_o[25] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[15] ,\u_logic/vis_r7_o[25] }),
.mi({open_n168843,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u493_o ,\u_logic/Xbxow6 }),
.q({open_n168848,\u_logic/vis_r4_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18897)
// ../rtl/topmodule/cortexm0ds_logic.v(18805)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u494|u_logic/F1pax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Kmqow6 }),
.b({\u_logic/Eqqow6 ,\u_logic/Cpqow6 }),
.c({\u_logic/vis_r7_o[15] ,\u_logic/vis_r0_o[29] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[15] ,\u_logic/vis_r6_o[29] }),
.mi({open_n168859,\u_logic/P4liu6 }),
.f({\u_logic/_al_u494_o ,\u_logic/_al_u604_o }),
.q({open_n168864,\u_logic/vis_r6_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(18805)
// ../rtl/topmodule/cortexm0ds_logic.v(18822)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u496|u_logic/Azpax6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Cpqow6 }),
.b({\u_logic/Dmqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r6_o[15] ,\u_logic/vis_r4_o[15] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[15] ,\u_logic/vis_r0_o[15] }),
.mi({open_n168868,\u_logic/Vx9iu6 }),
.f({\u_logic/P40pw6 ,\u_logic/_al_u495_o }),
.q({open_n168884,\u_logic/vis_r2_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18822)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u498|u_logic/_al_u3840 (
.a({open_n168885,\u_logic/_al_u3807_o }),
.b({open_n168886,\u_logic/_al_u3839_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/X10iu6 ,\u_logic/E90iu6 }),
.e({open_n168889,\u_logic/K50iu6 }),
.f({\u_logic/Tgfpw6 [15],\u_logic/_al_u3840_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*~(~C*~A))"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(D*~B*~(~C*~A))"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011001000000000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011001000000000),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u49|u_logic/_al_u1925 (
.a({open_n168910,\u_logic/vis_ipsr_o[2] }),
.b({open_n168911,\u_logic/vis_ipsr_o[4] }),
.c({\u_logic/vis_ipsr_o[5] ,\u_logic/vis_ipsr_o[3] }),
.d({\u_logic/vis_ipsr_o[4] ,\u_logic/vis_ipsr_o[5] }),
.f({\u_logic/H9row6_lutinv ,\u_logic/_al_u1925_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u504|u_logic/_al_u612 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/J10iu6 ,\u_logic/Q10iu6 }),
.f(\u_logic/Tgfpw6 [17:16]));
// ../rtl/topmodule/cortexm0ds_logic.v(18825)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u509|u_logic/A5qax6_reg (
.a({\u_logic/Hmzow6 ,\u_logic/_al_u439_o }),
.b({\u_logic/_al_u506_o ,\u_logic/Fb2pw6 }),
.c({\u_logic/_al_u507_o ,\u_logic/Vc2pw6 }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/_al_u508_o ,\u_logic/_al_u442_o }),
.mi({open_n168970,\u_logic/Tx8iu6 }),
.f({\u_logic/O00iu6 ,\u_logic/Uwzhu6 }),
.q({open_n168975,\u_logic/vis_r2_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18825)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u515|u_logic/_al_u521 (
.a({\u_logic/_al_u511_o ,\u_logic/_al_u517_o }),
.b({\u_logic/_al_u512_o ,\u_logic/_al_u518_o }),
.c({\u_logic/_al_u513_o ,\u_logic/_al_u519_o }),
.d({\u_logic/_al_u514_o ,\u_logic/_al_u520_o }),
.f({\u_logic/C10iu6 ,\u_logic/V00iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u516|u_logic/_al_u576 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/C10iu6 ,\u_logic/Ryzhu6 }),
.f({\u_logic/Tgfpw6 [18],\u_logic/Tgfpw6 [26]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18914)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u517|u_logic/V1vax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Kmqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r6_o[19] ,\u_logic/vis_r7_o[18] }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[19] ,\u_logic/vis_r2_o[18] }),
.mi({open_n169038,\u_logic/C7miu6 }),
.f({\u_logic/_al_u517_o ,\u_logic/_al_u233_o }),
.q({open_n169043,\u_logic/vis_r4_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18914)
// ../rtl/topmodule/cortexm0ds_logic.v(19999)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u518|u_logic/Trebx6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Panow6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r7_o[19] ,\u_logic/vis_r3_o[19] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[19] ,\u_logic/vis_r4_o[19] }),
.mi({open_n169047,\u_logic/Zuliu6 }),
.f({\u_logic/_al_u518_o ,\u_logic/_al_u239_o }),
.q({open_n169063,\u_logic/vis_r2_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(19999)
// ../rtl/topmodule/cortexm0ds_logic.v(17831)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u519|u_logic/P4xpw6_reg (
.a({\u_logic/Fnqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Cpqow6 ,\u_logic/Mnqow6 }),
.c({\u_logic/vis_r0_o[19] ,\u_logic/vis_r5_o[21] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r5_o[19] ,\u_logic/vis_r4_o[21] }),
.mi({open_n169074,\u_logic/C7miu6 }),
.f({\u_logic/_al_u519_o ,\u_logic/Klyow6 }),
.q({open_n169079,\u_logic/vis_r1_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17831)
// ../rtl/topmodule/cortexm0ds_logic.v(17830)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u520|u_logic/P2xpw6_reg (
.a({\u_logic/Xpqow6 ,\u_logic/Dmqow6 }),
.b({\u_logic/Mnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r3_o[19] ,\u_logic/vis_r7_o[21] }),
.ce(\u_logic/Numiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[19] ,\u_logic/vis_r2_o[21] }),
.mi({open_n169090,\u_logic/C7miu6 }),
.f({\u_logic/_al_u520_o ,\u_logic/_al_u530_o }),
.q({open_n169095,\u_logic/vis_r0_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17830)
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u522|u_logic/_al_u540 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/V00iu6 ,\u_logic/Tzzhu6 }),
.f({\u_logic/Tgfpw6 [19],\u_logic/Tgfpw6 [22]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18837)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u523|u_logic/Usqax6_reg (
.a({\u_logic/Dmqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Xpqow6 }),
.c({\u_logic/vis_r5_o[20] ,\u_logic/vis_r5_o[27] }),
.ce(\u_logic/n1580 ),
.clk(clk_pad),
.d({\u_logic/vis_r2_o[20] ,\u_logic/vis_r3_o[27] }),
.mi({open_n169123,\u_logic/E1miu6 }),
.f({\u_logic/_al_u523_o ,\u_logic/_al_u577_o }),
.q({open_n169139,\u_logic/vis_r2_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18837)
// ../rtl/topmodule/cortexm0ds_logic.v(18940)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u524|u_logic/Lhwax6_reg (
.a({\u_logic/Voqow6 ,\u_logic/Mnqow6 }),
.b({\u_logic/Kmqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r6_o[20] ,\u_logic/vis_r7_o[27] }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r1_o[20] ,\u_logic/vis_r4_o[27] }),
.mi({open_n169150,\u_logic/E1miu6 }),
.f({\u_logic/_al_u524_o ,\u_logic/_al_u579_o }),
.q({open_n169155,\u_logic/vis_r7_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18940)
// ../rtl/topmodule/cortexm0ds_logic.v(17549)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u525|u_logic/I3qpw6_reg (
.a({\u_logic/Mnqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Eqqow6 ,\u_logic/E8now6_lutinv }),
.c({\u_logic/vis_r7_o[20] ,\u_logic/vis_r2_o[27] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r4_o[20] ,\u_logic/vis_r4_o[27] }),
.mi({open_n169159,\u_logic/E1miu6 }),
.f({\u_logic/_al_u525_o ,\u_logic/_al_u293_o }),
.q({open_n169175,\u_logic/vis_r1_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(17549)
// ../rtl/topmodule/cortexm0ds_logic.v(18809)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u526|u_logic/E9pax6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/N9now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/Wanow6_lutinv }),
.c({\u_logic/vis_r3_o[20] ,\u_logic/vis_r1_o[27] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_r0_o[20] ,\u_logic/vis_r7_o[27] }),
.mi({open_n169179,\u_logic/E1miu6 }),
.f({\u_logic/_al_u526_o ,\u_logic/_al_u294_o }),
.q({open_n169195,\u_logic/vis_r6_o[20] })); // ../rtl/topmodule/cortexm0ds_logic.v(18809)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u528|u_logic/_al_u582 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/H00iu6 ,\u_logic/Kyzhu6 }),
.f({\u_logic/Tgfpw6 [20],\u_logic/Tgfpw6 [27]}));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u533|u_logic/_al_u539 (
.a({\u_logic/Klyow6 ,\u_logic/_al_u535_o }),
.b({\u_logic/_al_u530_o ,\u_logic/Wdyow6 }),
.c({\u_logic/_al_u531_o ,\u_logic/_al_u537_o }),
.d({\u_logic/_al_u532_o ,\u_logic/_al_u538_o }),
.f({\u_logic/A00iu6 ,\u_logic/Tzzhu6 }));
EG_PHY_LSLICE #(
//.LUTF0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0010111000111111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0010111000111111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u53|u_logic/_al_u1024 (
.a({\u_logic/S2cbx6 ,\u_logic/_al_u632_o }),
.b({\u_logic/Stkpw6 ,\u_logic/_al_u981_o }),
.c({\u_logic/Wt3qw6 ,\u_logic/Rilpw6 }),
.d({\u_logic/Zwnpw6 ,\u_logic/Zwnpw6 }),
.f({\u_logic/_al_u53_o ,\u_logic/Ay1iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u546|u_logic/_al_u3827 (
.a({open_n169272,\u_logic/_al_u3807_o }),
.b({open_n169273,\u_logic/_al_u3826_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/Mzzhu6 ,\u_logic/U30iu6 }),
.e({open_n169276,\u_logic/Pb0iu6 }),
.f({\u_logic/Tgfpw6 [23],\u_logic/_al_u3827_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18911)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u551|u_logic/Vvuax6_reg (
.a({\u_logic/_al_u547_o ,\u_logic/_al_u203_o }),
.b({\u_logic/_al_u548_o ,\u_logic/J69pw6 }),
.c({\u_logic/_al_u549_o ,\u_logic/_al_u205_o }),
.ce(\u_logic/Xsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u550_o ,\u_logic/_al_u206_o }),
.mi({open_n169300,\u_logic/Fyliu6 }),
.f({\u_logic/Fzzhu6 ,\u_logic/Bb0iu6 }),
.q({open_n169316,\u_logic/vis_r4_o[21] })); // ../rtl/topmodule/cortexm0ds_logic.v(18911)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u564|u_logic/_al_u3851 (
.a({open_n169317,\u_logic/_al_u3807_o }),
.b({open_n169318,\u_logic/_al_u3850_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/Yyzhu6 ,\u_logic/X80iu6 }),
.e({open_n169321,\u_logic/J80iu6 }),
.f({\u_logic/Tgfpw6 [25],\u_logic/_al_u3851_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18947)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u575|u_logic/Lvwax6_reg (
.a({\u_logic/_al_u571_o ,\u_logic/_al_u457_o }),
.b({\u_logic/_al_u572_o ,\u_logic/_al_u458_o }),
.c({\u_logic/Zrwow6 ,\u_logic/_al_u459_o }),
.ce(\u_logic/Jsmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u574_o ,\u_logic/Vk1pw6 }),
.mi({open_n169345,\u_logic/Bomiu6 }),
.f({\u_logic/Ryzhu6 ,\u_logic/S20iu6 }),
.q({open_n169361,\u_logic/vis_r7_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18947)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000110000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u57|u_logic/_al_u59 (
.b({open_n169364,\u_logic/Sqwpw6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Zm8ax6 }),
.d({\u_logic/Di3qw6 ,\u_logic/Hw8ax6 }),
.f({\u_logic/Q4wiu6_lutinv ,\u_logic/Cpwiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u588|u_logic/_al_u376 (
.c({\u_logic/Xuzhu6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Dyzhu6 ,\u_logic/Wb0iu6 }),
.f({\u_logic/Tgfpw6 [28],\u_logic/Mifpw6 [10]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u594|u_logic/_al_u3877 (
.a({open_n169409,\u_logic/_al_u3807_o }),
.b({open_n169410,\u_logic/_al_u3876_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/Ixzhu6 ,\u_logic/Q80iu6 }),
.e({open_n169413,\u_logic/C80iu6 }),
.f({\u_logic/Tgfpw6 [30],\u_logic/_al_u3877_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18759)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u600|u_logic/Whmax6_reg (
.b({open_n169436,\u_logic/_al_u4474_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/Vdmiu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/Bxzhu6 ,\u_logic/_al_u4454_o }),
.f({\u_logic/Tgfpw6 [31],\u_logic/Admiu6 }),
.q({open_n169453,\u_logic/vis_r10_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(18759)
// ../rtl/topmodule/cortexm0ds_logic.v(19788)
EG_PHY_MSLICE #(
//.LUT0("~(~D*C*B*~A)"),
//.LUT1("(~C*~D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111110111111),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u606|u_logic/Zz7bx6_reg (
.a({open_n169454,\u_logic/_al_u4556_o }),
.b({open_n169455,\u_logic/_al_u4569_o }),
.c({\u_logic/Xuzhu6 ,\u_logic/_al_u3774_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/Wxzhu6 ,\u_logic/_al_u3775_o }),
.f({\u_logic/Tgfpw6 [29],\u_logic/Zfmiu6 }),
.q({open_n169472,\u_logic/vis_r8_o[15] })); // ../rtl/topmodule/cortexm0ds_logic.v(19788)
// ../rtl/topmodule/cortexm0ds_logic.v(17704)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u609|u_logic/Y9upw6_reg (
.a({\u_logic/Cpqow6 ,\u_logic/V6now6_lutinv }),
.b({\u_logic/Xpqow6 ,\u_logic/X7now6_lutinv }),
.c({\u_logic/vis_r0_o[16] ,\u_logic/vis_r2_o[17] }),
.ce(\u_logic/Gumiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r3_o[16] ,\u_logic/vis_r5_o[17] }),
.mi({open_n169476,\u_logic/Bamiu6 }),
.f({\u_logic/_al_u609_o ,\u_logic/_al_u227_o }),
.q({open_n169492,\u_logic/vis_r1_o[17] })); // ../rtl/topmodule/cortexm0ds_logic.v(17704)
// ../rtl/topmodule/cortexm0ds_logic.v(19720)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u610|u_logic/Nm5bx6_reg (
.a({\u_logic/Kmqow6 ,\u_logic/Fnqow6 }),
.b({\u_logic/Fnqow6 ,\u_logic/Eqqow6 }),
.c({\u_logic/vis_r5_o[16] ,\u_logic/vis_r7_o[17] }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r6_o[16] ,\u_logic/vis_r5_o[17] }),
.mi({open_n169503,\u_logic/X1liu6 }),
.f({\u_logic/_al_u610_o ,\u_logic/_al_u501_o }),
.q({open_n169508,\u_logic/vis_r3_o[27] })); // ../rtl/topmodule/cortexm0ds_logic.v(19720)
// ../rtl/topmodule/cortexm0ds_logic.v(17328)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(~B*~(C*A)))"),
//.LUTF1("(A*~(D*~(~C*B)))"),
//.LUTG0("(D*~(~B*~(C*A)))"),
//.LUTG1("(A*~(D*~(~C*B)))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110110000000000),
.INIT_LUTF1(16'b0000100010101010),
.INIT_LUTG0(16'b1110110000000000),
.INIT_LUTG1(16'b0000100010101010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u614|u_logic/B7lpw6_reg (
.a({\u_logic/Yn3iu6_lutinv ,\u_logic/Di1iu6 }),
.b({\u_logic/Krlpw6 ,\u_logic/B7lpw6 }),
.c({\u_logic/Oulpw6 ,\u_logic/L5lpw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Vplpw6 ,\u_logic/Ryfax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u614_o ,open_n169526}),
.q({open_n169530,\u_logic/B7lpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17328)
// ../rtl/topmodule/cortexm0ds_logic.v(18010)
EG_PHY_LSLICE #(
//.LUTF0("~(~(C*B)*~(0*~(D*A)))"),
//.LUTF1("(~A*~(~D*~C*~B))"),
//.LUTG0("~(~(C*B)*~(1*~(D*A)))"),
//.LUTG1("(~A*~(~D*~C*~B))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011000000),
.INIT_LUTF1(16'b0101010101010100),
.INIT_LUTG0(16'b1101010111111111),
.INIT_LUTG1(16'b0101010101010100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u615|u_logic/Ry2qw6_reg (
.a({\u_logic/_al_u614_o ,\u_logic/Di1iu6 }),
.b({\u_logic/A5ipw6 ,\u_logic/Fj1iu6 }),
.c({\u_logic/I0opw6 ,\u_logic/Yi1iu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ry2qw6 ,\u_logic/Bx2qw6 }),
.e({open_n169532,\u_logic/Ry2qw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u615_o ,open_n169547}),
.q({open_n169551,\u_logic/Ry2qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18010)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*C*B*~A)"),
//.LUTF1("(C@D)"),
//.LUTG0("(~1*~D*C*B*~A)"),
//.LUTG1("(C@D)"),
.INIT_LUTF0(16'b0000000001000000),
.INIT_LUTF1(16'b0000111111110000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000111111110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u619|u_logic/_al_u618 (
.a({open_n169552,\u_logic/_al_u615_o }),
.b({open_n169553,\u_logic/Iyyhu6 }),
.c({\u_logic/Y8lpw6 ,\u_logic/U5yhu6 }),
.d({\u_logic/Rilpw6 ,\u_logic/Bclpw6 }),
.e({open_n169556,\u_logic/Sdlpw6 }),
.f({\u_logic/Vp3iu6_lutinv ,\u_logic/Fj1iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17371)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(~D*C*~B))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("~(A*~(~D*C*~B))"),
//.LUTG1("(~D*~C*~B*A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010101110101),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0101010101110101),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u620|u_logic/Yklpw6_reg (
.a({\u_logic/Fj1iu6 ,\u_logic/_al_u1433_o }),
.b({\u_logic/Vp3iu6_lutinv ,\u_logic/Mmyhu6 }),
.c({\u_logic/Krlpw6 ,\u_logic/_al_u632_o }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Zslpw6 ,\u_logic/Kwlpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u620_o ,open_n169594}),
.q({open_n169598,\u_logic/Yklpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17371)
// ../rtl/topmodule/cortexm0ds_logic.v(17399)
EG_PHY_MSLICE #(
//.LUT0("(~A*~(B*~(D*C)))"),
//.LUT1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0101000100010001),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u621|u_logic/Gylpw6_reg (
.a({open_n169599,\u_logic/_al_u615_o }),
.b({open_n169600,\u_logic/_al_u625_o }),
.c({\u_logic/Golpw6 ,\u_logic/_al_u627_o }),
.ce(\u_logic/U73iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u620_o ,\u_logic/B7lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Tezhu6 ,\u_logic/Mmyhu6 }),
.q({open_n169616,\u_logic/Gylpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17399)
// ../rtl/topmodule/cortexm0ds_logic.v(17387)
EG_PHY_LSLICE #(
//.LUTF0("(0*B*A*~(~D*~C))"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(1*B*A*~(~D*~C))"),
//.LUTG1("(C*~B*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b1000100010000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u622|u_logic/Oulpw6_reg (
.a({open_n169617,\u_logic/Tezhu6 }),
.b({\u_logic/Oulpw6 ,\u_logic/Oulpw6 }),
.c({\u_logic/Vplpw6 ,\u_logic/Qa1qw6 }),
.ce(\u_logic/n327 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Tezhu6 ,\u_logic/Qj1qw6 }),
.e({open_n169618,\u_logic/Vplpw6 }),
.mi({open_n169620,\u_logic/L5lpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/n332 ,\u_logic/Sbyhu6 }),
.q({open_n169635,\u_logic/Oulpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17387)
EG_PHY_MSLICE #(
//.LUT0("(C*~B*D)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b0011000000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u623|u_logic/_al_u633 (
.b({\u_logic/Golpw6 ,\u_logic/_al_u627_o }),
.c({\u_logic/Vplpw6 ,\u_logic/Krlpw6 }),
.d({\u_logic/_al_u620_o ,\u_logic/_al_u632_o }),
.f({\u_logic/n327 ,\u_logic/U73iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18393)
EG_PHY_MSLICE #(
//.LUT0("~(~A*~(D*~(C*B)))"),
//.LUT1("(D*C*B*~A)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1011111110101010),
.INIT_LUT1(16'b0100000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u625|u_logic/Ryfax6_reg (
.a({\u_logic/_al_u614_o ,\u_logic/_al_u660_o }),
.b({\u_logic/Z63iu6_lutinv ,\u_logic/_al_u632_o }),
.c({\u_logic/Qynpw6 ,\u_logic/S63iu6_lutinv }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Ryfax6 ,\u_logic/Ryfax6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u625_o ,open_n169671}),
.q({open_n169675,\u_logic/Ryfax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18393)
// ../rtl/topmodule/cortexm0ds_logic.v(17384)
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(~0*~D*C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(~1*~D*C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0101010100010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0101010101010101),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u626|u_logic/Krlpw6_reg (
.a({open_n169676,\u_logic/Vp3iu6_lutinv }),
.b({open_n169677,\u_logic/Golpw6 }),
.c({\u_logic/Vplpw6 ,\u_logic/Krlpw6 }),
.ce(\u_logic/U03iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Golpw6 ,\u_logic/Vplpw6 }),
.e({open_n169678,\u_logic/Zslpw6 }),
.mi({open_n169680,\u_logic/Vplpw6 }),
.f({\u_logic/Pyyhu6_lutinv ,\u_logic/_al_u1419_o }),
.q({open_n169696,\u_logic/Krlpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17384)
// ../rtl/topmodule/cortexm0ds_logic.v(17711)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u62|u_logic/Coupw6_reg (
.b({\u_logic/W5ypw6 ,\u_logic/J8eiu6 }),
.c({\u_logic/Ztupw6 ,\u_logic/L6gpw6 [23]}),
.clk(clk_pad),
.d({\u_logic/R9yax6 ,\u_logic/_al_u1517_o }),
.f({\u_logic/Jcpow6 ,open_n169717}),
.q({open_n169721,\u_logic/Coupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17711)
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u631|u_logic/_al_u1966 (
.a({open_n169722,\u_logic/Iyyhu6 }),
.b({\u_logic/_al_u630_o ,\u_logic/Bclpw6 }),
.c({\u_logic/Rilpw6 ,\u_logic/Rilpw6 }),
.d({\u_logic/_al_u629_o ,\u_logic/Sdlpw6 }),
.f({\u_logic/_al_u631_o ,\u_logic/_al_u1966_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20153)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(~C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u63|u_logic/P0ibx6_reg (
.b({open_n169745,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Yzspw6 ,\u_logic/Oyhbx6 }),
.clk(clk_pad),
.d({\u_logic/I5xax6 ,\u_logic/_al_u1558_o }),
.f({\u_logic/K5eiu6 ,open_n169764}),
.q({open_n169768,\u_logic/P0ibx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20153)
EG_PHY_LSLICE #(
//.LUTF0("(~D*~A*~(C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~D*~A*~(C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000010101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000000000010101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u640|u_logic/_al_u4428 (
.a({open_n169769,\u_logic/_al_u1725_o }),
.b({open_n169770,\u_logic/_al_u1582_o }),
.c({\u_logic/T1vpw6 ,\u_logic/Yp8iu6 }),
.d({\u_logic/Us2ju6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u640_o ,\u_logic/_al_u4428_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*~D*~C*B))"),
//.LUTF1("(A*~(~C*~(D*B)))"),
//.LUTG0("(A*~(1*~D*~C*B))"),
//.LUTG1("(A*~(~C*~(D*B)))"),
.INIT_LUTF0(16'b1010101010101010),
.INIT_LUTF1(16'b1010100010100000),
.INIT_LUTG0(16'b1010101010100010),
.INIT_LUTG1(16'b1010100010100000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u642|u_logic/_al_u2559 (
.a({\u_logic/_al_u640_o ,\u_logic/_al_u2558_o }),
.b({\u_logic/_al_u153_o ,\u_logic/Llaow6_lutinv }),
.c({\u_logic/D6kiu6_lutinv ,\u_logic/Yecpw6_lutinv }),
.d({\u_logic/T23ju6_lutinv ,\u_logic/_al_u638_o }),
.e({open_n169797,\u_logic/_al_u1153_o }),
.f({\u_logic/_al_u642_o ,\u_logic/_al_u2559_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*A)"),
//.LUTF1("(~A*~(~D*C*B))"),
//.LUTG0("(~D*~C*~B*A)"),
//.LUTG1("(~A*~(~D*C*B))"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0101010100010101),
.INIT_LUTG0(16'b0000000000000010),
.INIT_LUTG1(16'b0101010100010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u646|u_logic/_al_u643 (
.a({\u_logic/_al_u643_o ,\u_logic/_al_u158_o }),
.b({\u_logic/Ru3pw6_lutinv ,\u_logic/T1vpw6 }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/Vzupw6 }),
.d({\u_logic/Vygax6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/Yavow6 ,\u_logic/_al_u643_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17679)
EG_PHY_MSLICE #(
//.LUT0("~(A*~(C*~(D*B)))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0111010111110101),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u64|u_logic/Zdtpw6_reg (
.a({open_n169842,\u_logic/Ag5iu6 }),
.b({open_n169843,HWDATA[25]}),
.c({\u_logic/K5eiu6 ,\u_logic/_al_u1942_o }),
.clk(clk_pad),
.d({\u_logic/Jcpow6 ,\u_logic/Ch5iu6_lutinv }),
.sr(cpuresetn),
.f({\u_logic/Hqgiu6 ,open_n169857}),
.q({open_n169861,\u_logic/Zdtpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17679)
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*B*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUT1("(~(A)*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*B*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
.INIT_LUT0(16'b0101111101011101),
.INIT_LUT1(16'b1111010011110100),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u654 (
.a({\u_logic/_al_u616_o ,\u_logic/_al_u616_o }),
.b({\u_logic/Bclpw6 ,\u_logic/Bclpw6 }),
.c({\u_logic/Jflpw6 ,\u_logic/Jflpw6 }),
.d({\u_logic/Krlpw6 ,\u_logic/Krlpw6 }),
.mi({open_n169874,\u_logic/Sdlpw6 }),
.fx({open_n169879,\u_logic/_al_u654_o }));
EG_PHY_MSLICE #(
//.LUT0("(~A*(C*~(D)*~(B)+C*D*~(B)+~(C)*D*B+C*D*B))"),
//.LUT1("(C*A*~(~D*B))"),
.INIT_LUT0(16'b0101010000010000),
.INIT_LUT1(16'b1010000000100000),
.MODE("LOGIC"))
\u_logic/_al_u655|u_logic/_al_u1046 (
.a({\u_logic/U03iu6 ,\u_logic/_al_u1045_o }),
.b({\u_logic/_al_u653_o ,\u_logic/Ahlpw6 }),
.c({\u_logic/_al_u654_o ,\u_logic/Pmlpw6 }),
.d({\u_logic/Yklpw6 ,\u_logic/Rilpw6 }),
.f({\u_logic/_al_u655_o ,\u_logic/T8yhu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(20269)
EG_PHY_LSLICE #(
//.LUTF0("~(~B*~(0*D)*~(C*~A))"),
//.LUTF1("(~B*(A*~(C)*~(D)+A*C*~(D)+~(A)*C*D+A*C*D))"),
//.LUTG0("~(~B*~(1*D)*~(C*~A))"),
//.LUTG1("(~B*(A*~(C)*~(D)+A*C*~(D)+~(A)*C*D+A*C*D))"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101110011011100),
.INIT_LUTF1(16'b0011000000100010),
.INIT_LUTG0(16'b1111111111011100),
.INIT_LUTG1(16'b0011000000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u656|u_logic/Dqkbx6_reg (
.a({\u_logic/_al_u655_o ,\u_logic/_al_u1110_o }),
.b({\u_logic/Ahlpw6 ,\u_logic/_al_u632_o }),
.c({\u_logic/Krlpw6 ,\u_logic/U5yhu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Pmlpw6 ,\u_logic/_al_u981_o }),
.e({open_n169903,SWDOEN}),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/Tw2iu6 ,open_n169918}),
.q({open_n169922,SWDOEN})); // ../rtl/topmodule/cortexm0ds_logic.v(20269)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~(B*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*~(B*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000001100001111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000001100001111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u657|u_logic/_al_u627 (
.b({open_n169925,\u_logic/Krlpw6 }),
.c({\u_logic/Krlpw6 ,\u_logic/Zslpw6 }),
.d({\u_logic/Vp3iu6_lutinv ,\u_logic/Pyyhu6_lutinv }),
.f({\u_logic/Yi1iu6_lutinv ,\u_logic/_al_u627_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(D*C*~B*A)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(D*C*~B*A)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0010000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0010000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u658|u_logic/_al_u613 (
.a({\u_logic/Fj1iu6 ,open_n169950}),
.b({\u_logic/Yi1iu6_lutinv ,open_n169951}),
.c({\u_logic/Qynpw6 ,\u_logic/Zslpw6 }),
.d({\u_logic/Zslpw6 ,\u_logic/Golpw6 }),
.f({\u_logic/_al_u658_o ,\u_logic/Yn3iu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(C@D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000111111110000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u660|u_logic/_al_u624 (
.c({\u_logic/_al_u659_o ,\u_logic/Utqpw6 }),
.d({\u_logic/_al_u658_o ,\u_logic/Qwfax6 }),
.f({\u_logic/_al_u660_o ,\u_logic/Z63iu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17569)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("(~A*~(D*C*~B))"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("(~A*~(D*C*~B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0100010101010101),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0100010101010101),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u661|u_logic/Xkqpw6_reg (
.a({\u_logic/_al_u660_o ,\u_logic/Cjqpw6 }),
.b({\u_logic/Cjqpw6 ,\u_logic/Ehqpw6 }),
.c({\u_logic/Qwfax6 ,\u_logic/T0ipw6 }),
.ce(\u_logic/n276 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Utqpw6 ,CDBGPWRUPREQ}),
.mi({open_n170003,\u_logic/Cjqpw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/C53iu6 ,\u_logic/_al_u659_o }),
.q({open_n170018,CDBGPWRUPREQ})); // ../rtl/topmodule/cortexm0ds_logic.v(17569)
EG_PHY_LSLICE #(
//.LUTF0("(~C*~A*(~D*~(B)*~(0)+~D*B*~(0)+~(~D)*B*0+~D*B*0))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~C*~A*(~D*~(B)*~(1)+~D*B*~(1)+~(~D)*B*1+~D*B*1))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000010000000100),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u663|u_logic/_al_u960 (
.a({open_n170019,\u_logic/_al_u957_o }),
.b({open_n170020,\u_logic/_al_u958_o }),
.c({\u_logic/R3vpw6 ,\u_logic/_al_u959_o }),
.d({\u_logic/Cc2ju6_lutinv ,\u_logic/_al_u394_o }),
.e({open_n170023,\u_logic/Ufopw6 }),
.f({\u_logic/Xc2ju6_lutinv ,\u_logic/_al_u960_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u665|u_logic/_al_u304 (
.b({\u_logic/Shopw6 ,open_n170046}),
.c({\u_logic/Wxjpw6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/_al_u303_o }),
.f({\u_logic/_al_u665_o ,\u_logic/Mifpw6 [28]}));
EG_PHY_MSLICE #(
//.LUT0("(~D*B*~(~A*~(~0*C)))"),
//.LUT1("(~D*B*~(~A*~(~1*C)))"),
.INIT_LUT0(16'b0000000011001000),
.INIT_LUT1(16'b0000000010001000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u667 (
.a({\u_logic/Md0iu6_lutinv ,\u_logic/Md0iu6_lutinv }),
.b({\u_logic/Frziu6_lutinv ,\u_logic/Frziu6_lutinv }),
.c({\u_logic/R3vpw6 ,\u_logic/R3vpw6 }),
.d({\u_logic/Ufopw6 ,\u_logic/Ufopw6 }),
.mi({open_n170083,\u_logic/Ydopw6 }),
.fx({open_n170088,\u_logic/_al_u667_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(0*D*B)*~(~C*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(1*D*B)*~(~C*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111010111110101),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011000111110101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u669|u_logic/_al_u195 (
.a({open_n170091,\u_logic/_al_u191_o }),
.b({open_n170092,\u_logic/Md0iu6_lutinv }),
.c({\u_logic/Vzupw6 ,\u_logic/Ae0iu6_lutinv }),
.d({\u_logic/Mfjiu6 ,\u_logic/_al_u194_o }),
.e({open_n170095,\u_logic/Ydopw6 }),
.f({\u_logic/_al_u669_o ,\u_logic/Xuzhu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18110)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(C*~D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u66|u_logic/Pt7ax6_reg (
.b({open_n170118,\u_logic/J8eiu6 }),
.c({\u_logic/Yzspw6 ,\u_logic/L6gpw6 [11]}),
.clk(clk_pad),
.d({\u_logic/I5xax6 ,\u_logic/_al_u1542_o }),
.f({\u_logic/Vynow6_lutinv ,open_n170133}),
.q({open_n170137,\u_logic/Pt7ax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18110)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000001111),
.MODE("LOGIC"))
\u_logic/_al_u670|u_logic/_al_u149 (
.c({\u_logic/Yvjpw6 ,\u_logic/Yvjpw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u670_o ,\u_logic/S2ziu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(~D*~(~0*~C)))"),
//.LUT1("(~B*A*~(~D*~(~1*~C)))"),
.INIT_LUT0(16'b0010001000000010),
.INIT_LUT1(16'b0010001000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u672 (
.a({\u_logic/_al_u666_o ,\u_logic/_al_u666_o }),
.b({\u_logic/_al_u667_o ,\u_logic/_al_u667_o }),
.c({\u_logic/_al_u669_o ,\u_logic/_al_u669_o }),
.d({\u_logic/_al_u670_o ,\u_logic/_al_u670_o }),
.mi({open_n170174,\u_logic/Btoiu6_lutinv }),
.fx({open_n170179,\u_logic/_al_u672_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*D)"),
//.LUTF1("(C*~B*D)"),
//.LUTG0("(~C*~B*D)"),
//.LUTG1("(C*~B*D)"),
.INIT_LUTF0(16'b0000001100000000),
.INIT_LUTF1(16'b0011000000000000),
.INIT_LUTG0(16'b0000001100000000),
.INIT_LUTG1(16'b0011000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u673|u_logic/_al_u676 (
.b({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.c({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/Fb9pw6_lutinv }),
.f({\u_logic/Jo4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*C*B*~A)"),
//.LUT1("(D*~C*B*~A)"),
.INIT_LUT0(16'b0000000001000000),
.INIT_LUT1(16'b0000010000000000),
.MODE("LOGIC"))
\u_logic/_al_u674|u_logic/_al_u680 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Wr4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(~D*~C*B*~A)"),
//.LUT1("(D*C*B*~A)"),
.INIT_LUT0(16'b0000000000000100),
.INIT_LUT1(16'b0100000000000000),
.MODE("LOGIC"))
\u_logic/_al_u677|u_logic/_al_u679 (
.a({\u_logic/Dzvpw6 ,\u_logic/Dzvpw6 }),
.b({\u_logic/M6kax6 ,\u_logic/M6kax6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Wxjpw6 ,\u_logic/Wxjpw6 }),
.f({\u_logic/Nq4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUTF1("~(~0*~((D*C*A))*~(B)+~0*(D*C*A)*~(B)+~(~0)*(D*C*A)*B+~0*(D*C*A)*B)"),
//.LUTG0("(~(A)*~(B)*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
//.LUTG1("~(~1*~((D*C*A))*~(B)+~1*(D*C*A)*~(B)+~(~1)*(D*C*A)*B+~1*(D*C*A)*B)"),
.INIT_LUTF0(16'b0011101000010000),
.INIT_LUTF1(16'b0100110011001100),
.INIT_LUTG0(16'b0011111100010101),
.INIT_LUTG1(16'b0111111111111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u682|u_logic/_al_u3868 (
.a({\u_logic/Dc0iu6 ,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u672_o ,\u_logic/_al_u3867_o }),
.c({\u_logic/Es9pw6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/Wu9pw6 ,\u_logic/H70iu6 }),
.e({\u_logic/I1lpw6 ,\u_logic/_al_u303_o }),
.f({\u_logic/Go0iu6_lutinv ,\u_logic/_al_u3868_o }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(D*~(~C*A)))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000001000110011),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u683|u_logic/_al_u2471 (
.a({open_n170270,\u_logic/_al_u2467_o }),
.b({open_n170271,\u_logic/_al_u2469_o }),
.c({\u_logic/Hirpw6 ,\u_logic/_al_u2470_o }),
.d({\u_logic/Vo3ju6_lutinv ,\Interconncet/SlaveMUX/eq3/or_or_xor_i0[0]_i1[0_o }),
.f({\u_logic/F3aiu6 ,\u_logic/_al_u2471_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u684|u_logic/_al_u2067 (
.c({\u_logic/Ldoiu6_lutinv ,\u_logic/Xxupw6 }),
.d({\u_logic/F3aiu6 ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u684_o ,\u_logic/Qyniu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~(~C*~B)))"),
//.LUTF1("(D*~C*~B*~A)"),
//.LUTG0("(A*~(D*~(~C*~B)))"),
//.LUTG1("(D*~C*~B*~A)"),
.INIT_LUTF0(16'b0000001010101010),
.INIT_LUTF1(16'b0000000100000000),
.INIT_LUTG0(16'b0000001010101010),
.INIT_LUTG1(16'b0000000100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u686|u_logic/_al_u1731 (
.a({\u_logic/_al_u684_o ,\u_logic/_al_u1729_o }),
.b({\u_logic/Xc2ju6_lutinv ,\u_logic/Yecpw6_lutinv }),
.c({\u_logic/_al_u685_o ,\u_logic/_al_u410_o }),
.d({\u_logic/Vgjpw6 ,\u_logic/_al_u1582_o }),
.f({\u_logic/_al_u686_o ,\u_logic/_al_u1731_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*(A*~((C*B))*~(0)+A*(C*B)*~(0)+~(A)*(C*B)*0+A*(C*B)*0))"),
//.LUTF1("(~B*~(~A*~(~D*C)))"),
//.LUTG0("(D*(A*~((C*B))*~(1)+A*(C*B)*~(1)+~(A)*(C*B)*1+A*(C*B)*1))"),
//.LUTG1("(~B*~(~A*~(~D*C)))"),
.INIT_LUTF0(16'b1010101000000000),
.INIT_LUTF1(16'b0010001000110010),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0010001000110010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u687|u_logic/_al_u2560 (
.a({\u_logic/_al_u121_o ,\u_logic/_al_u1625_o }),
.b({\u_logic/P5vpw6 ,\u_logic/F3aiu6 }),
.c({\u_logic/R3vpw6 ,\u_logic/N98iu6_lutinv }),
.d({\u_logic/T1vpw6 ,\u_logic/Ae0iu6_lutinv }),
.e({open_n170342,\u_logic/R3vpw6 }),
.f({\u_logic/_al_u687_o ,\u_logic/_al_u2560_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(A)*~(B)*~(C)*~(D)+A*~(B)*~(C)*~(D)+~(A)*B*~(C)*~(D)+~(A)*~(B)*C*~(D)+A*~(B)*C*~(D)+~(A)*B*C*~(D)+A*~(B)*~(C)*D+~(A)*~(B)*C*D+~(A)*B*C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0101001001110111),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u690|u_logic/_al_u2626 (
.a({open_n170363,\u_logic/Dxvpw6 }),
.b({open_n170364,\u_logic/Hgrpw6 }),
.c({\u_logic/T1vpw6 ,\u_logic/Skjax6 }),
.d({\u_logic/P5vpw6 ,\u_logic/U9ypw6 }),
.f({\u_logic/_al_u690_o ,\u_logic/Y7cpw6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~D*~C*B))"),
//.LUT1("(~D*C*~B*A)"),
.INIT_LUT0(16'b1010101010100010),
.INIT_LUT1(16'b0000000000100000),
.MODE("LOGIC"))
\u_logic/_al_u692|u_logic/_al_u2295 (
.a({\u_logic/_al_u686_o ,\u_logic/_al_u2294_o }),
.b({\u_logic/_al_u640_o ,\u_logic/Fq8iu6 }),
.c({\u_logic/_al_u689_o ,\u_logic/P5vpw6 }),
.d({\u_logic/_al_u691_o ,\u_logic/Ufopw6 }),
.f({\u_logic/_al_u692_o ,\u_logic/_al_u2295_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u693|u_logic/_al_u3677 (
.a({open_n170405,\u_logic/Fk6ju6_lutinv }),
.b({open_n170406,\u_logic/E2epw6 }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3676_o }),
.d({\u_logic/Go0iu6_lutinv ,\u_logic/_al_u878_o }),
.e({open_n170409,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [0],\u_logic/_al_u3677_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u694|u_logic/_al_u695 (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/_al_u694_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.c({\u_logic/vis_r9_o[1] ,\u_logic/Rs4ju6_lutinv }),
.d({\u_logic/vis_r10_o[1] ,\u_logic/vis_r14_o[1] }),
.e({open_n170432,\u_logic/vis_r8_o[1] }),
.f({\u_logic/_al_u694_o ,\u_logic/_al_u695_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18882)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(~D*~((B*A))*~(C)+~D*(B*A)*~(C)+~(~D)*(B*A)*C+~D*(B*A)*C)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0111111101110000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u697|u_logic/Fatax6_reg (
.a({\u_logic/_al_u696_o ,open_n170453}),
.b({\u_logic/E90iu6 ,\u_logic/W40iu6 }),
.c({\u_logic/_al_u672_o ,\u_logic/I40iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/Nu5bx6 ,\u_logic/Y1qow6 }),
.mi({open_n170464,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u697_o ,\u_logic/_al_u3834_o }),
.q({open_n170469,\u_logic/vis_r12_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(18882)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u698|u_logic/_al_u3734 (
.a({open_n170470,\u_logic/Kl4ju6_lutinv }),
.b({open_n170471,\u_logic/_al_u3733_o }),
.c({\u_logic/_al_u692_o ,\u_logic/By4ju6 }),
.d({\u_logic/_al_u697_o ,\u_logic/Nxkbx6 [5]}),
.f({\u_logic/Idfpw6 [1],\u_logic/Kkkiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~0*~D*~C*B*A)"),
//.LUT1("(~1*~D*~C*B*A)"),
.INIT_LUT0(16'b0000000000001000),
.INIT_LUT1(16'b0000000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u699 (
.a({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.b({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.c({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.d({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.mi({open_n170508,\u_logic/U9ypw6 }),
.fx({open_n170513,\u_logic/Srbow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u6|u_logic/_al_u7 (
.c({\u_logic/Wwiax6 ,\u_logic/Wyiax6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/Vzjpw6 }),
.f({\u_logic/Vnfpw6 [4],\u_logic/Vnfpw6 [5]}));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u700|u_logic/_al_u386 (
.c({\u_logic/Ssjax6 ,\u_logic/Ydopw6 }),
.d({\u_logic/Rwjax6 ,\u_logic/P14qw6 }),
.f({\u_logic/Qxoiu6 ,\u_logic/_al_u386_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(D*~C)))"),
//.LUTF1("(C*D)"),
//.LUTG0("(A*~(B*~(D*~C)))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0010101000100010),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0010101000100010),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u702|u_logic/_al_u1590 (
.a({open_n170564,\u_logic/Z4jiu6_lutinv }),
.b({open_n170565,\u_logic/Rwjax6 }),
.c({\u_logic/U9ypw6 ,\u_logic/Sojax6 }),
.d({\u_logic/Skjax6 ,\u_logic/Ssjax6 }),
.f({\u_logic/Aujiu6 ,\u_logic/_al_u1590_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*C*~(~B*~A))"),
//.LUTF1("(C*B*D)"),
//.LUTG0("(D*C*~(~B*~A))"),
//.LUTG1("(C*B*D)"),
.INIT_LUTF0(16'b1110000000000000),
.INIT_LUTF1(16'b1100000000000000),
.INIT_LUTG0(16'b1110000000000000),
.INIT_LUTG1(16'b1100000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u703|u_logic/_al_u1055 (
.a({open_n170590,\u_logic/_al_u386_o }),
.b({\u_logic/Aujiu6 ,\u_logic/_al_u400_o }),
.c({\u_logic/Dxvpw6 ,\u_logic/Ssjax6 }),
.d({\u_logic/Llaow6_lutinv ,\u_logic/W4jax6 }),
.f({\u_logic/Hs8ow6 ,\u_logic/_al_u1055_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*~B*~D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000000000110000),
.MODE("LOGIC"))
\u_logic/_al_u704|u_logic/_al_u705 (
.b({\u_logic/Wfspw6 ,open_n170617}),
.c({\u_logic/Wkipw6 ,\u_logic/Ufopw6 }),
.d({\u_logic/S7mpw6 ,\u_logic/P5vpw6 }),
.f({\u_logic/_al_u704_o ,\u_logic/_al_u705_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*A*~(D@B))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b1000000000100000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u708|u_logic/_al_u2324 (
.a({open_n170638,\u_logic/_al_u2323_o }),
.b({open_n170639,\u_logic/Jckax6 }),
.c({\u_logic/U9ypw6 ,\u_logic/N4kax6 }),
.d({\u_logic/Skjax6 ,\u_logic/W4jax6 }),
.f({\u_logic/Ya1ju6_lutinv ,\u_logic/Xuyiu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~D*C*B*A)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~D*C*B*A)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000000010000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000000010000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u709|u_logic/_al_u1058 (
.a({\u_logic/Llaow6_lutinv ,open_n170660}),
.b({\u_logic/Ya1ju6_lutinv ,open_n170661}),
.c({\u_logic/P14qw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Sojax6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u709_o ,\u_logic/Pu1ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17300)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u70|u_logic/Tjkpw6_reg (
.b({open_n170688,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/Vynow6_lutinv ,\u_logic/Rhkpw6 }),
.clk(clk_pad),
.d({\u_logic/Wjyiu6 ,\u_logic/_al_u1522_o }),
.f({\u_logic/Y5eiu6 ,open_n170707}),
.q({open_n170711,\u_logic/Tjkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17300)
EG_PHY_MSLICE #(
//.LUT0("(D@C@B@A)"),
//.LUT1("(~B*~(C*D))"),
.INIT_LUT0(16'b0110100110010110),
.INIT_LUT1(16'b0000001100110011),
.MODE("LOGIC"))
\u_logic/_al_u710|u_logic/_al_u1512 (
.a({open_n170712,\u_logic/_al_u1511_o }),
.b({\u_logic/_al_u709_o ,\u_logic/Jckax6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Jgxpw6 }),
.d({\u_logic/Fb1ju6 ,\u_logic/W4jax6 }),
.f({\u_logic/B91ju6 ,\u_logic/_al_u1512_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17419)
EG_PHY_LSLICE #(
//.LUTF0("~(A*~(B*~(0@D@C)))"),
//.LUTF1("(B*A*~(D*~C))"),
//.LUTG0("~(A*~(B*~(1@D@C)))"),
//.LUTG1("(B*A*~(D*~C))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1101010101011101),
.INIT_LUTF1(16'b1000000010001000),
.INIT_LUTG0(16'b0101110111010101),
.INIT_LUTG1(16'b1000000010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u712|u_logic/R9mpw6_reg (
.a({\u_logic/Mb1ju6 ,\u_logic/_al_u1834_o }),
.b({\u_logic/B91ju6 ,\u_logic/E88iu6_lutinv }),
.c({\u_logic/_al_u711_o ,\u_logic/W7biu6 }),
.ce(\u_logic/n1360 ),
.clk(clk_pad),
.d({\u_logic/S7mpw6 ,\u_logic/Ewjiu6_lutinv }),
.e({open_n170733,\u_logic/_al_u1838_o }),
.f({\u_logic/_al_u712_o ,open_n170749}),
.q({open_n170753,\u_logic/R9mpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17419)
EG_PHY_MSLICE #(
//.LUT0("(A*~(B)*~(C)*~(D)*~(0)+~(A)*B*~(C)*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+~(A)*B*C*~(D)*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+A*~(B)*C*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+A*~(B)*C*D*0)"),
//.LUT1("(A*~(B)*~(C)*~(D)*~(1)+~(A)*B*~(C)*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+~(A)*B*C*~(D)*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+A*~(B)*C*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+A*~(B)*C*D*1)"),
.INIT_LUT0(16'b0100010001100110),
.INIT_LUT1(16'b0011011101100110),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u713 (
.a({\u_logic/Dxvpw6 ,\u_logic/Dxvpw6 }),
.b({\u_logic/P14qw6 ,\u_logic/P14qw6 }),
.c({\u_logic/Rwjax6 ,\u_logic/Rwjax6 }),
.d({\u_logic/Skjax6 ,\u_logic/Skjax6 }),
.mi({open_n170766,\u_logic/U9ypw6 }),
.fx({open_n170771,\u_logic/_al_u713_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*(C@D))"),
//.LUT1("(C*~D)"),
.INIT_LUT0(16'b0000110011000000),
.INIT_LUT1(16'b0000000011110000),
.MODE("LOGIC"))
\u_logic/_al_u714|u_logic/_al_u1835 (
.b({open_n170776,\u_logic/L88iu6_lutinv }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/N4kax6 }),
.d({\u_logic/_al_u713_o ,\u_logic/_al_u1512_o }),
.f({\u_logic/P91ju6 ,\u_logic/W7biu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*~(~C*D))"),
//.LUT1("(~C*~A*~(D*~B))"),
.INIT_LUT0(16'b0011000000110011),
.INIT_LUT1(16'b0000010000000101),
.MODE("LOGIC"))
\u_logic/_al_u716|u_logic/_al_u1990 (
.a({\u_logic/_al_u395_o ,open_n170797}),
.b({\u_logic/_al_u401_o ,\u_logic/P5vpw6 }),
.c({\u_logic/_al_u397_o ,\u_logic/T1vpw6 }),
.d({\u_logic/Jgxpw6 ,\u_logic/U98iu6 }),
.f({\u_logic/_al_u716_o ,\u_logic/_al_u1990_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D@C@B@A)"),
//.LUTF1("(B*A*~(D*C))"),
//.LUTG0("(D@C@B@A)"),
//.LUTG1("(B*A*~(D*C))"),
.INIT_LUTF0(16'b0110100110010110),
.INIT_LUTF1(16'b0000100010001000),
.INIT_LUTG0(16'b0110100110010110),
.INIT_LUTG1(16'b0000100010001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u719|u_logic/_al_u1511 (
.a({\u_logic/_al_u716_o ,\u_logic/Irmpw6 }),
.b({\u_logic/_al_u717_o ,\u_logic/S7mpw6 }),
.c({\u_logic/_al_u718_o ,\u_logic/Wfspw6 }),
.d({\u_logic/N4kax6 ,\u_logic/Wkipw6 }),
.f({\u_logic/_al_u719_o ,\u_logic/_al_u1511_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u71|u_logic/_al_u3286 (
.a({open_n170842,\u_logic/Y5eiu6 }),
.b({open_n170843,\u_logic/_al_u1937_o }),
.c({\u_logic/C1wpw6 ,\u_logic/vis_ipsr_o[2] }),
.d({\u_logic/Y5eiu6 ,\u_logic/Lp7ax6 }),
.f({\u_logic/n1008 ,\u_logic/_al_u3286_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u720 (
.c({open_n170868,\u_logic/Ubypw6 }),
.d({open_n170871,\u_logic/_al_u391_o }),
.f({open_n170885,\u_logic/_al_u720_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u721|u_logic/_al_u1636 (
.c({\u_logic/Fkrpw6 ,\u_logic/W4jax6 }),
.d({\u_logic/_al_u720_o ,\u_logic/_al_u1451_o }),
.f({\u_logic/_al_u721_o ,\u_logic/_al_u1636_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~D*~C*~B*~A)"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u723|u_logic/_al_u1530 (
.a({\u_logic/Amupw6 ,\u_logic/J8eiu6 }),
.b({\u_logic/Coupw6 ,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/F9gbx6 ,\u_logic/Amupw6 }),
.d({\u_logic/Z8jpw6 ,\u_logic/L6gpw6 [17]}),
.f({\u_logic/_al_u723_o ,\u_logic/_al_u1530_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~D*~C*~B*A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~D*~C*~B*A)"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0000000000000010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000000000000010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u726|u_logic/_al_u3491 (
.a({\u_logic/_al_u725_o ,\u_logic/_al_u3490_o }),
.b({\u_logic/Rv7ax6 ,\u_logic/Yvgiu6 }),
.c({\u_logic/Ss0qw6 ,\u_logic/M6eiu6 }),
.d({\u_logic/T9kpw6 ,\u_logic/M6rpw6 }),
.e({open_n170945,\u_logic/N8rpw6 }),
.f({\u_logic/_al_u726_o ,\u_logic/_al_u3491_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20126)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*~B*A)"),
//.LUTF1("(~D*~C*~B*~A)"),
//.LUTG0("(~1*~D*~C*~B*A)"),
//.LUTG1("(~D*~C*~B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000000000000010),
.INIT_LUTF1(16'b0000000000000001),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000000001),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u728|u_logic/Imhbx6_reg (
.a({\u_logic/N0xpw6 ,\u_logic/_al_u723_o }),
.b({\u_logic/Nr7ax6 ,\u_logic/Uojbx6 }),
.c({\u_logic/Oarpw6 ,\u_logic/Vrtpw6 }),
.ce(\u_logic/n1009 ),
.clk(clk_pad),
.d({\u_logic/P0ibx6 ,\u_logic/Wlspw6 }),
.e({open_n170966,\u_logic/Y7opw6 }),
.mi({open_n170968,HWDATA[4]}),
.f({\u_logic/_al_u728_o ,\u_logic/_al_u724_o }),
.q({open_n170984,\u_logic/Imhbx6 })); // ../rtl/topmodule/cortexm0ds_logic.v(20126)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(D*C*B*A)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"))
\u_logic/_al_u729|u_logic/_al_u3179 (
.a({\u_logic/_al_u724_o ,\u_logic/Yvgiu6 }),
.b({\u_logic/_al_u726_o ,\u_logic/M6eiu6 }),
.c({\u_logic/_al_u727_o ,\u_logic/Tmjbx6 }),
.d({\u_logic/_al_u728_o ,\u_logic/Uojbx6 }),
.f({\u_logic/Azeiu6 ,\u_logic/_al_u3179_o }));
EG_PHY_LSLICE #(
//.LUTF0("(D*~C*~B*A)"),
//.LUTF1("(~C*B*~D)"),
//.LUTG0("(D*~C*~B*A)"),
//.LUTG1("(~C*B*~D)"),
.INIT_LUTF0(16'b0000001000000000),
.INIT_LUTF1(16'b0000000000001100),
.INIT_LUTG0(16'b0000001000000000),
.INIT_LUTG1(16'b0000000000001100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u72|u_logic/_al_u67 (
.a({open_n171005,\u_logic/Vynow6_lutinv }),
.b({\u_logic/W5ypw6 ,\u_logic/R9yax6 }),
.c({\u_logic/Ztupw6 ,\u_logic/W5ypw6 }),
.d({\u_logic/R9yax6 ,\u_logic/Ztupw6 }),
.f({\u_logic/Xznow6 ,\u_logic/M6eiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(0*D*C*~B*A)"),
//.LUT1("(1*D*C*~B*A)"),
.INIT_LUT0(16'b0000000000000000),
.INIT_LUT1(16'b0010000000000000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u730 (
.a({\u_logic/Azeiu6 ,\u_logic/Azeiu6 }),
.b({\u_logic/Wofiu6_lutinv ,\u_logic/Wofiu6_lutinv }),
.c({\u_logic/Lp7ax6 ,\u_logic/Lp7ax6 }),
.d({\u_logic/N8rpw6 ,\u_logic/N8rpw6 }),
.mi({open_n171042,\u_logic/Zszax6 }),
.fx({open_n171047,\u_logic/_al_u730_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*B*D)"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(C*B*D)"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b1100000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1100000000000000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u732|u_logic/_al_u2987 (
.b({\u_logic/Y5eiu6 ,\u_logic/Wjyiu6 }),
.c({\u_logic/C1wpw6 ,\u_logic/Rzciu6_lutinv }),
.d({\u_logic/Ur4iu6 ,\u_logic/Ur4iu6 }),
.f({\u_logic/_al_u732_o ,\u_logic/St1iu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~A*~(D*C)*~(0*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("(~A*~(D*C)*~(1*B))"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000010101010101),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000100010001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u733|u_logic/_al_u3028 (
.a({open_n171076,\u_logic/_al_u2276_o }),
.b({open_n171077,\u_logic/F0eow6 }),
.c({\u_logic/Vynow6_lutinv ,\u_logic/M0eow6 }),
.d({\u_logic/Jcpow6 ,\u_logic/Bc3bx6 }),
.e({open_n171080,\u_logic/Kojpw6 }),
.f({\u_logic/Yvgiu6 ,\u_logic/U6wiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17227)
EG_PHY_MSLICE #(
//.LUT0("~(D*~(C*B))"),
//.LUT1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1100000011111111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u734|u_logic/V0jpw6_reg (
.b({open_n171103,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/C1wpw6 ,\u_logic/Tyipw6 }),
.clk(clk_pad),
.d({\u_logic/Yvgiu6 ,\u_logic/_al_u1540_o }),
.f({\u_logic/_al_u734_o ,open_n171118}),
.q({open_n171122,\u_logic/V0jpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17227)
// ../rtl/topmodule/cortexm0ds_logic.v(17780)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u738|u_logic/Jpvpw6_reg (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/_al_u1261_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Ljqow6 }),
.c({\u_logic/vis_r11_o[12] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[12] ,\u_logic/vis_r11_o[12] }),
.e({open_n171123,\u_logic/vis_r9_o[12] }),
.mi({open_n171125,\u_logic/D4miu6 }),
.f({\u_logic/_al_u738_o ,\u_logic/_al_u1262_o }),
.q({open_n171141,\u_logic/vis_r11_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(17780)
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(C*~B*D)"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b0011000000000000),
.MODE("LOGIC"))
\u_logic/_al_u739|u_logic/_al_u740 (
.b({\u_logic/Jrypw6 ,\u_logic/Jrypw6 }),
.c({\u_logic/Shopw6 ,\u_logic/Shopw6 }),
.d({\u_logic/Fb9pw6_lutinv ,\u_logic/Fb9pw6_lutinv }),
.f({\u_logic/_al_u739_o ,\u_logic/_al_u740_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~B*~D)"),
//.LUTF1("(~C*~D)"),
//.LUTG0("(~C*~B*~D)"),
//.LUTG1("(~C*~D)"),
.INIT_LUTF0(16'b0000000000000011),
.INIT_LUTF1(16'b0000000000001111),
.INIT_LUTG0(16'b0000000000000011),
.INIT_LUTG1(16'b0000000000001111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u73|u_logic/_al_u1518 (
.b({open_n171166,\u_logic/L9eiu6_lutinv }),
.c({\u_logic/Yzspw6 ,\u_logic/_al_u734_o }),
.d({\u_logic/I5xax6 ,\u_logic/_al_u1514_o }),
.f({\u_logic/Rzciu6_lutinv ,\u_logic/J8eiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(D*C)*~(0*B))"),
//.LUT1("(A*~(D*C)*~(1*B))"),
.INIT_LUT0(16'b0000101010101010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u741 (
.a({\u_logic/_al_u738_o ,\u_logic/_al_u738_o }),
.b({\u_logic/_al_u739_o ,\u_logic/_al_u739_o }),
.c({\u_logic/_al_u740_o ,\u_logic/_al_u740_o }),
.d({\u_logic/vis_psp_o[10] ,\u_logic/vis_psp_o[10] }),
.mi({open_n171203,\u_logic/vis_msp_o[10] }),
.fx({open_n171208,\u_logic/_al_u741_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(A)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u744 (
.a({open_n171211,\u_logic/Ib0iu6 }),
.b({open_n171212,\u_logic/_al_u741_o }),
.c({open_n171213,\u_logic/_al_u742_o }),
.d({open_n171216,\u_logic/_al_u743_o }),
.f({open_n171230,\u_logic/_al_u744_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"))
\u_logic/_al_u745|u_logic/_al_u1248 (
.a({open_n171236,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Ahqow6 }),
.c({\u_logic/Dm6bx6 ,\u_logic/Gwxpw6 }),
.d({\u_logic/_al_u744_o ,\u_logic/vis_r14_o[10] }),
.f({\u_logic/_al_u745_o ,\u_logic/_al_u1248_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18970)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u746|u_logic/Vvxax6_reg (
.b({open_n171259,\u_logic/_al_u4552_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Evkiu6 }),
.ce(\u_logic/Ztmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u745_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/Idfpw6 [12],\u_logic/Vx9iu6 }),
.q({open_n171280,\u_logic/vis_r3_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18970)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u747|u_logic/_al_u811 (
.a({\u_logic/_al_u740_o ,\u_logic/_al_u810_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r8_o[13] ,\u_logic/_al_u740_o }),
.d({\u_logic/vis_psp_o[11] ,\u_logic/vis_msp_o[20] }),
.e({open_n171283,\u_logic/vis_psp_o[20] }),
.f({\u_logic/_al_u747_o ,\u_logic/_al_u811_o }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*~B*A)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0010000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u74|u_logic/_al_u1937 (
.a({open_n171304,\u_logic/K5eiu6 }),
.b({open_n171305,\u_logic/R9yax6 }),
.c({\u_logic/Rzciu6_lutinv ,\u_logic/W5ypw6 }),
.d({\u_logic/Xznow6 ,\u_logic/Ztupw6 }),
.f({\u_logic/Fpgiu6 ,\u_logic/_al_u1937_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17232)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u751|u_logic/Bbjpw6_reg (
.a({\u_logic/Bb0iu6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u748_o ,\u_logic/Rs4ju6_lutinv }),
.c({\u_logic/_al_u749_o ,\u_logic/vis_r14_o[12] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u750_o ,\u_logic/vis_r8_o[12] }),
.mi({open_n171336,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u751_o ,\u_logic/_al_u743_o }),
.q({open_n171341,\u_logic/vis_r11_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(17232)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u752|u_logic/_al_u1327 (
.a({open_n171342,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Ahqow6 }),
.c({\u_logic/Xpxax6 ,\u_logic/M2ebx6 }),
.d({\u_logic/_al_u751_o ,\u_logic/vis_r14_o[21] }),
.f({\u_logic/_al_u752_o ,\u_logic/_al_u1327_o }));
EG_PHY_LSLICE #(
//.LUTF0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0011000011111100),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0011000011111100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u753|u_logic/_al_u899 (
.b({open_n171369,\u_logic/_al_u672_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Wtxax6 }),
.d({\u_logic/_al_u752_o ,\u_logic/_al_u898_o }),
.f({\u_logic/Idfpw6 [13],\u_logic/_al_u899_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18115)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u756|u_logic/S38ax6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u817_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r12_o[14] ,\u_logic/_al_u740_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[14] ,\u_logic/vis_msp_o[21] }),
.e({open_n171394,\u_logic/vis_psp_o[21] }),
.mi({open_n171396,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u756_o ,\u_logic/_al_u818_o }),
.q({open_n171412,\u_logic/vis_r11_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18115)
// ../rtl/topmodule/cortexm0ds_logic.v(18862)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u757|u_logic/O6sax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1278_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r8_o[14] ,\u_logic/Yfqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[14] ,\u_logic/vis_msp_o[12] }),
.e({open_n171413,\u_logic/vis_psp_o[12] }),
.mi({open_n171415,\u_logic/Rimiu6 }),
.f({\u_logic/_al_u757_o ,\u_logic/_al_u1279_o }),
.q({open_n171431,\u_logic/vis_r8_o[14] })); // ../rtl/topmodule/cortexm0ds_logic.v(18862)
// ../rtl/topmodule/cortexm0ds_logic.v(19798)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u758|u_logic/Zj8bx6_reg (
.a({\u_logic/Ua0iu6 ,\u_logic/_al_u1293_o }),
.b({\u_logic/_al_u755_o ,\u_logic/Ljqow6 }),
.c({\u_logic/_al_u756_o ,\u_logic/Sjqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u757_o ,\u_logic/vis_r10_o[17] }),
.e({open_n171432,\u_logic/vis_r11_o[17] }),
.mi({open_n171434,\u_logic/Zfmiu6 }),
.f({\u_logic/_al_u758_o ,\u_logic/_al_u1294_o }),
.q({open_n171450,\u_logic/vis_msp_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(19798)
// ../rtl/topmodule/cortexm0ds_logic.v(17710)
EG_PHY_LSLICE #(
//.LUTF0("~(D*~(C*B))"),
//.LUTF1("(C*D)"),
//.LUTG0("~(D*~(C*B))"),
//.LUTG1("(C*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1100000011111111),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b1100000011111111),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u75|u_logic/Amupw6_reg (
.b({open_n171453,\u_logic/Q8eiu6_lutinv }),
.c({\u_logic/C1wpw6 ,\u_logic/Yjupw6 }),
.clk(clk_pad),
.d({\u_logic/Fpgiu6 ,\u_logic/_al_u1530_o }),
.f({\u_logic/n1199 ,open_n171472}),
.q({open_n171476,\u_logic/Amupw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17710)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u760|u_logic/_al_u950 (
.c({\u_logic/_al_u692_o ,\u_logic/_al_u692_o }),
.d({\u_logic/_al_u759_o ,\u_logic/_al_u949_o }),
.f({\u_logic/Idfpw6 [14],\u_logic/Idfpw6 [11]}));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1100010011001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u767|u_logic/_al_u3774 (
.a({open_n171505,\u_logic/L2epw6 }),
.b({open_n171506,\u_logic/_al_u3773_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u766_o }),
.d({\u_logic/_al_u766_o ,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [15],\u_logic/_al_u3774_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*~B*~A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*~B*~A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000000001),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000000001),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u76|u_logic/_al_u727 (
.a({open_n171527,\u_logic/Johbx6 }),
.b({open_n171528,\u_logic/Kzabx6 }),
.c({\u_logic/Yzspw6 ,\u_logic/Nbxax6 }),
.d({\u_logic/I5xax6 ,\u_logic/Pt7ax6 }),
.f({\u_logic/Pjyiu6 ,\u_logic/_al_u727_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17833)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u771|u_logic/P8xpw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u838_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r14_o[16] ,\u_logic/_al_u740_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[16] ,\u_logic/vis_msp_o[24] }),
.e({open_n171553,\u_logic/vis_psp_o[24] }),
.mi({open_n171555,\u_logic/C7miu6 }),
.f({\u_logic/_al_u771_o ,\u_logic/_al_u839_o }),
.q({open_n171571,\u_logic/vis_r11_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(17833)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u773|u_logic/_al_u1314 (
.a({open_n171572,\u_logic/_al_u1313_o }),
.b({\u_logic/_al_u672_o ,\u_logic/V00iu6 }),
.c({\u_logic/Chwpw6 ,\u_logic/Dfqow6 }),
.d({\u_logic/_al_u772_o ,\u_logic/T6kbx6 }),
.f({\u_logic/_al_u773_o ,\u_logic/_al_u1314_o }));
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000100001001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u774|u_logic/_al_u3775 (
.a({open_n171597,\u_logic/L2epw6 }),
.b({open_n171598,\u_logic/_al_u766_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u773_o ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/Idfpw6 [16],\u_logic/_al_u3775_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*~C*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(A*~(D*~C*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010001010101010),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010001010101010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u781|u_logic/_al_u3767 (
.a({open_n171619,\u_logic/_al_u3766_o }),
.b({open_n171620,\u_logic/B4epw6 }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u801_o }),
.d({\u_logic/_al_u780_o ,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [17],\u_logic/Z1miu6 }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u782|u_logic/_al_u785 (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/_al_u740_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r14_o[18] ,\u_logic/vis_r8_o[18] }),
.d({\u_logic/vis_psp_o[16] ,\u_logic/vis_r9_o[18] }),
.f({\u_logic/_al_u782_o ,\u_logic/_al_u785_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u783 (
.a({\u_logic/_al_u782_o ,\u_logic/_al_u782_o }),
.b({\u_logic/Gq4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/_al_u739_o ,\u_logic/_al_u739_o }),
.d({\u_logic/vis_r12_o[18] ,\u_logic/vis_r12_o[18] }),
.mi({open_n171681,\u_logic/vis_msp_o[16] }),
.fx({open_n171686,\u_logic/_al_u783_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(D*C*B*A)"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u786|u_logic/_al_u803 (
.a({\u_logic/S90iu6 ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u783_o ,\u_logic/_al_u740_o }),
.c({\u_logic/_al_u784_o ,\u_logic/vis_r14_o[21] }),
.d({\u_logic/_al_u785_o ,\u_logic/vis_psp_o[19] }),
.f({\u_logic/_al_u786_o ,\u_logic/_al_u803_o }));
EG_PHY_MSLICE #(
//.LUT0("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUT0(16'b0011000011111100),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"))
\u_logic/_al_u787|u_logic/_al_u808 (
.b({\u_logic/_al_u672_o ,\u_logic/_al_u672_o }),
.c({\u_logic/Syjbx6 ,\u_logic/M2ebx6 }),
.d({\u_logic/_al_u786_o ,\u_logic/_al_u807_o }),
.f({\u_logic/_al_u787_o ,\u_logic/_al_u808_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u788|u_logic/_al_u929 (
.c({\u_logic/_al_u692_o ,\u_logic/_al_u692_o }),
.d({\u_logic/_al_u787_o ,\u_logic/_al_u928_o }),
.f({\u_logic/Idfpw6 [18],\u_logic/Idfpw6 [8]}));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(C*B)*~(D*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001010100111111),
.MODE("LOGIC"))
\u_logic/_al_u789|u_logic/_al_u810 (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/vis_r11_o[19] ,\u_logic/vis_r11_o[22] }),
.d({\u_logic/vis_r8_o[19] ,\u_logic/vis_r14_o[22] }),
.f({\u_logic/_al_u789_o ,\u_logic/_al_u810_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*~C*B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*~C*B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000001000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u78|u_logic/_al_u81 (
.a({open_n171783,\u_logic/Pjyiu6 }),
.b({open_n171784,\u_logic/R9yax6 }),
.c({\u_logic/Xznow6 ,\u_logic/W5ypw6 }),
.d({\u_logic/Kkyiu6 ,\u_logic/Ztupw6 }),
.f({\u_logic/n987 ,\u_logic/U2fiu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(18863)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u790|u_logic/O8sax6_reg (
.a({\u_logic/_al_u789_o ,\u_logic/Ahqow6 }),
.b({\u_logic/_al_u739_o ,\u_logic/Hhqow6 }),
.c({\u_logic/_al_u740_o ,\u_logic/vis_r12_o[22] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[17] ,\u_logic/vis_r14_o[22] }),
.e({\u_logic/vis_msp_o[17] ,open_n171809}),
.mi({open_n171811,\u_logic/Jlmiu6 }),
.f({\u_logic/_al_u790_o ,\u_logic/K7yow6 }),
.q({open_n171827,\u_logic/vis_r8_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18863)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u792|u_logic/_al_u748 (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u747_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_r9_o[19] ,\u_logic/_al_u739_o }),
.d({\u_logic/vis_r14_o[19] ,\u_logic/vis_r12_o[13] }),
.e({open_n171830,\u_logic/vis_msp_o[11] }),
.f({\u_logic/_al_u792_o ,\u_logic/_al_u748_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u795|u_logic/_al_u816 (
.c({\u_logic/_al_u692_o ,\u_logic/_al_u692_o }),
.d({\u_logic/_al_u794_o ,\u_logic/_al_u815_o }),
.f({\u_logic/Idfpw6 [19],\u_logic/Idfpw6 [22]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17665)
EG_PHY_LSLICE #(
//.LUTF0("(D*C*B*A)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(D*C*B*A)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000000000000),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u801|u_logic/Yxspw6_reg (
.a({open_n171879,\u_logic/Pb0iu6 }),
.b({\u_logic/_al_u672_o ,\u_logic/_al_u945_o }),
.c({\u_logic/Fjdbx6 ,\u_logic/_al_u946_o }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u800_o ,\u_logic/_al_u947_o }),
.mi({open_n171883,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u801_o ,\u_logic/_al_u948_o }),
.q({open_n171899,\u_logic/vis_r8_o[24] })); // ../rtl/topmodule/cortexm0ds_logic.v(17665)
EG_PHY_LSLICE #(
//.LUTF0("(C*(~(0*B)*~(A)*~(D)+~(0*B)*A*~(D)+~(~(0*B))*A*D+~(0*B)*A*D))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*(~(1*B)*~(A)*~(D)+~(1*B)*A*~(D)+~(~(1*B))*A*D+~(1*B)*A*D))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1010000011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1010000000110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u802|u_logic/_al_u3699 (
.a({open_n171900,\u_logic/Ge9ju6_lutinv }),
.b({open_n171901,\u_logic/Z2epw6 }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3698_o }),
.d({\u_logic/_al_u801_o ,\u_logic/_al_u773_o }),
.e({open_n171904,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [20],\u_logic/Vdmiu6 }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(0*C)*~(D*B))"),
//.LUT1("(A*~(1*C)*~(D*B))"),
.INIT_LUT0(16'b0010001010101010),
.INIT_LUT1(16'b0000001000001010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u804 (
.a({\u_logic/_al_u803_o ,\u_logic/_al_u803_o }),
.b({\u_logic/Gq4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/_al_u739_o ,\u_logic/_al_u739_o }),
.d({\u_logic/vis_r12_o[21] ,\u_logic/vis_r12_o[21] }),
.mi({open_n171937,\u_logic/vis_msp_o[19] }),
.fx({open_n171942,\u_logic/_al_u804_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18892)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u807|u_logic/Eutax6_reg (
.a({\u_logic/Q80iu6 ,\u_logic/_al_u1411_o }),
.b({\u_logic/_al_u804_o ,\u_logic/_al_u1412_o }),
.c({\u_logic/_al_u805_o ,\u_logic/Fgqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u806_o ,\u_logic/vis_msp_o[14] }),
.mi({open_n171948,\u_logic/Bomiu6 }),
.f({\u_logic/_al_u807_o ,\u_logic/_al_u1413_o }),
.q({open_n171964,\u_logic/vis_r12_o[12] })); // ../rtl/topmodule/cortexm0ds_logic.v(18892)
EG_PHY_MSLICE #(
//.LUT0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b0000100001001100),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u809|u_logic/_al_u3764 (
.a({open_n171965,\u_logic/B4epw6 }),
.b({open_n171966,\u_logic/_al_u801_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u808_o ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/Idfpw6 [21],\u_logic/_al_u3764_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18859)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u815|u_logic/O0sax6_reg (
.a({open_n171987,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/_al_u672_o ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/Tlebx6 ,\u_logic/vis_r14_o[24] }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u814_o ,\u_logic/vis_r11_o[24] }),
.mi({open_n171991,\u_logic/C7miu6 }),
.f({\u_logic/_al_u815_o ,\u_logic/_al_u824_o }),
.q({open_n172007,\u_logic/vis_r8_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18859)
// ../rtl/topmodule/cortexm0ds_logic.v(17926)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u817|u_logic/So0qw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u1339_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r11_o[23] ,\u_logic/Sjqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[23] ,\u_logic/vis_r10_o[23] }),
.e({open_n172008,\u_logic/vis_r8_o[23] }),
.mi({open_n172010,\u_logic/X6niu6 }),
.f({\u_logic/_al_u817_o ,\u_logic/_al_u1340_o }),
.q({open_n172026,\u_logic/vis_msp_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17926)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*~(B)*~(C)*~(D)*~(0)+A*~(B)*~(C)*~(D)*~(0)+~(A)*~(B)*C*~(D)*~(0)+A*~(B)*C*~(D)*~(0)+A*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+A*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*~(B)*C*D*~(0)+A*~(B)*C*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*~(B)*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+~(A)*~(B)*C*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*~(B)*~(C)*~(D)*~(1)+A*~(B)*~(C)*~(D)*~(1)+~(A)*~(B)*C*~(D)*~(1)+A*~(B)*C*~(D)*~(1)+A*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+A*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*~(B)*C*D*~(1)+A*~(B)*C*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*~(B)*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+~(A)*~(B)*C*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1111011110110011),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1101010110010001),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u823|u_logic/_al_u3692 (
.a({open_n172027,\u_logic/Qbfpw6 [24]}),
.b({open_n172028,\u_logic/_al_u829_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u822_o ,\u_logic/Cg5ju6_lutinv }),
.e({open_n172031,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [23],\u_logic/Rx6ju6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*~D)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~C*~D)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b0000000000001111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0000000000001111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u829|u_logic/_al_u534 (
.b({\u_logic/_al_u672_o ,open_n172054}),
.c({\u_logic/Tgkbx6 ,\u_logic/Xuzhu6 }),
.d({\u_logic/_al_u828_o ,\u_logic/A00iu6 }),
.f({\u_logic/_al_u829_o ,\u_logic/Tgfpw6 [21]}));
// ../rtl/topmodule/cortexm0ds_logic.v(19122)
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u82|u_logic/Wmzax6_reg (
.c({\u_logic/C1wpw6 ,\u_logic/C1wpw6 }),
.ce(\u_logic/n987 ),
.clk(clk_pad),
.d({\u_logic/U2fiu6 ,\u_logic/Q0fiu6 }),
.mi({open_n172093,\u_logic/Fsdiu6 }),
.sr(cpuresetn),
.f({\u_logic/n1115 ,\u_logic/n1111 }),
.q({open_n172097,\u_logic/Wmzax6 })); // ../rtl/topmodule/cortexm0ds_logic.v(19122)
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTG0("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u830 (
.c({open_n172102,\u_logic/_al_u692_o }),
.d({open_n172105,\u_logic/_al_u829_o }),
.f({open_n172123,\u_logic/Idfpw6 [24]}));
// ../rtl/topmodule/cortexm0ds_logic.v(18063)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u831|u_logic/P54qw6_reg (
.a({\u_logic/Ds4ju6_lutinv ,\u_logic/Uq5pw6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r10_o[25] ,\u_logic/_al_u740_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[25] ,\u_logic/vis_msp_o[23] }),
.e({open_n172129,\u_logic/vis_psp_o[23] }),
.mi({open_n172131,\u_logic/Vx9iu6 }),
.f({\u_logic/Uq5pw6 ,\u_logic/_al_u832_o }),
.q({open_n172147,\u_logic/vis_r11_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18063)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u836|u_logic/_al_u3876 (
.b({\u_logic/_al_u672_o ,\u_logic/X80iu6 }),
.c({\u_logic/Nwbbx6 ,\u_logic/J80iu6 }),
.d({\u_logic/_al_u835_o ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u836_o ,\u_logic/_al_u3876_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*~A*~(0*~D*B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~A*~(1*~D*B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0101000001010000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0101000000010000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u837|u_logic/_al_u3716 (
.a({open_n172174,\u_logic/Yh8ju6_lutinv }),
.b({open_n172175,\u_logic/Qbfpw6 [25]}),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3715_o }),
.d({\u_logic/_al_u836_o ,\u_logic/_al_u836_o }),
.e({open_n172178,\u_logic/Ys4ju6 }),
.f({\u_logic/Idfpw6 [25],\u_logic/_al_u3716_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17912)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u843|u_logic/Twzpw6_reg (
.a({open_n172199,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Hhqow6 }),
.c({\u_logic/F8cbx6 ,\u_logic/vis_r12_o[27] }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/_al_u842_o ,\u_logic/Nybbx6 }),
.mi({open_n172203,\u_logic/Zvkiu6 }),
.f({\u_logic/_al_u843_o ,\u_logic/_al_u1375_o }),
.q({open_n172219,\u_logic/vis_msp_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(17912)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100101001000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100111101000101),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u844|u_logic/_al_u3818 (
.a({open_n172220,\u_logic/_al_u3807_o }),
.b({open_n172221,\u_logic/_al_u3817_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/_al_u843_o ,\u_logic/K50iu6 }),
.e({open_n172224,\u_logic/W40iu6 }),
.f({\u_logic/Idfpw6 [26],\u_logic/_al_u3818_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18886)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u847|u_logic/Eitax6_reg (
.a({\u_logic/Nq4ju6_lutinv ,\u_logic/Ysyow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Gkqow6 }),
.c({\u_logic/vis_r11_o[27] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[27] ,\u_logic/vis_r8_o[20] }),
.e({open_n172245,\u_logic/vis_r9_o[20] }),
.mi({open_n172247,\u_logic/D4miu6 }),
.f({\u_logic/_al_u847_o ,\u_logic/_al_u1318_o }),
.q({open_n172263,\u_logic/vis_r12_o[19] })); // ../rtl/topmodule/cortexm0ds_logic.v(18886)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(B*~(D*~(C)*~(A)+D*C*~(A)+~(D)*C*A+D*C*A))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000100001001100),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000100001001100),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u851|u_logic/_al_u3688 (
.a({open_n172264,\u_logic/Qbfpw6 [26]}),
.b({open_n172265,\u_logic/_al_u843_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Wc5ju6_lutinv }),
.d({\u_logic/_al_u850_o ,\u_logic/Cg5ju6_lutinv }),
.f({\u_logic/Idfpw6 [27],\u_logic/Q07ju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17674)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u852|u_logic/Z5tpw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/vis_r14_o[28] ,\u_logic/vis_r8_o[28] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[28] ,\u_logic/vis_r9_o[28] }),
.mi({open_n172300,\u_logic/Hsliu6 }),
.f({\u_logic/_al_u852_o ,\u_logic/_al_u855_o }),
.q({open_n172305,\u_logic/vis_r9_o[25] })); // ../rtl/topmodule/cortexm0ds_logic.v(17674)
// ../rtl/topmodule/cortexm0ds_logic.v(18818)
EG_PHY_LSLICE #(
//.LUTF0("(~(D*B)*~(C*A))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(~(D*B)*~(C*A))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001001101011111),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0001001101011111),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u853|u_logic/Erpax6_reg (
.a({\u_logic/_al_u852_o ,\u_logic/Ds4ju6_lutinv }),
.b({\u_logic/_al_u739_o ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/_al_u740_o ,\u_logic/vis_r9_o[30] }),
.ce(\u_logic/n1573 ),
.clk(clk_pad),
.d({\u_logic/vis_msp_o[26] ,\u_logic/vis_r11_o[30] }),
.e({\u_logic/vis_psp_o[26] ,open_n172306}),
.mi({open_n172308,\u_logic/K3niu6 }),
.f({\u_logic/_al_u853_o ,\u_logic/_al_u866_o }),
.q({open_n172324,\u_logic/vis_r6_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(18818)
EG_PHY_LSLICE #(
//.LUTF0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1100110011110000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100110011110000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u858|u_logic/_al_u3721 (
.b({open_n172327,\u_logic/Wc5ju6_lutinv }),
.c({\u_logic/_al_u692_o ,\u_logic/Cg5ju6_lutinv }),
.d({\u_logic/_al_u857_o ,\u_logic/P4epw6 }),
.f({\u_logic/Idfpw6 [28],\u_logic/Z5aju6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(18744)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u860|u_logic/Bolax6_reg (
.a({\u_logic/_al_u859_o ,\u_logic/_al_u930_o }),
.b({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/_al_u739_o ,\u_logic/_al_u740_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[29] ,\u_logic/vis_psp_o[5] }),
.e({\u_logic/vis_msp_o[27] ,\u_logic/vis_msp_o[5] }),
.mi({open_n172353,\u_logic/Jgkiu6 }),
.f({\u_logic/_al_u860_o ,\u_logic/_al_u931_o }),
.q({open_n172369,\u_logic/vis_r10_o[3] })); // ../rtl/topmodule/cortexm0ds_logic.v(18744)
// ../rtl/topmodule/cortexm0ds_logic.v(20170)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u861|u_logic/Rdibx6_reg (
.a({\u_logic/Nq4ju6_lutinv ,\u_logic/Fgqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r11_o[29] ,\u_logic/vis_r9_o[30] }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[29] ,\u_logic/vis_msp_o[28] }),
.mi({open_n172380,\u_logic/Gfniu6 }),
.f({\u_logic/_al_u861_o ,\u_logic/_al_u1390_o }),
.q({open_n172385,\u_logic/vis_r9_o[28] })); // ../rtl/topmodule/cortexm0ds_logic.v(20170)
// ../rtl/topmodule/cortexm0ds_logic.v(17899)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u862|u_logic/A7zpw6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/vis_r8_o[29] ,\u_logic/vis_r11_o[7] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[29] ,\u_logic/vis_r14_o[7] }),
.mi({open_n172396,\u_logic/Drkiu6 }),
.f({\u_logic/_al_u862_o ,\u_logic/_al_u930_o }),
.q({open_n172401,\u_logic/vis_psp_o[5] })); // ../rtl/topmodule/cortexm0ds_logic.v(17899)
// ../rtl/topmodule/cortexm0ds_logic.v(18766)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u864|u_logic/Uvmax6_reg (
.a({open_n172402,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Ahqow6 }),
.c({\u_logic/Sx3qw6 ,\u_logic/vis_r14_o[2] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u863_o ,\u_logic/Xrxax6 }),
.mi({open_n172406,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u864_o ,\u_logic/_al_u1160_o }),
.q({open_n172422,\u_logic/vis_r14_o[2] })); // ../rtl/topmodule/cortexm0ds_logic.v(18766)
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+~(A)*~(B)*~(C)*D*~(0)+~(A)*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*~(B)*~(C)*~(D)*0+A*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+A*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+~(A)*~(B)*~(C)*D*~(1)+~(A)*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*~(B)*~(C)*~(D)*1+A*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+A*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0100010101000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1100111111001010),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u865|u_logic/_al_u3909 (
.a({open_n172423,\u_logic/_al_u3807_o }),
.b({open_n172424,\u_logic/_al_u3908_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3786_o }),
.d({\u_logic/_al_u864_o ,\u_logic/E90iu6 }),
.e({open_n172427,\u_logic/R50iu6 }),
.f({\u_logic/Idfpw6 [29],\u_logic/_al_u3909_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17247)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u867|u_logic/Kkjpw6_reg (
.a({\u_logic/_al_u866_o ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u739_o ,\u_logic/Gkqow6 }),
.c({\u_logic/_al_u740_o ,\u_logic/vis_r8_o[3] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[28] ,\u_logic/vis_r11_o[3] }),
.e({\u_logic/vis_msp_o[28] ,open_n172448}),
.mi({open_n172450,\u_logic/P4liu6 }),
.f({\u_logic/_al_u867_o ,\u_logic/_al_u1164_o }),
.q({open_n172466,\u_logic/vis_r11_o[29] })); // ../rtl/topmodule/cortexm0ds_logic.v(17247)
// ../rtl/demodulation/FM_Demodulation.v(56)
EG_PHY_LSLICE #(
//.LUTF0("(D*~B*A*~(~0*~C))"),
//.LUTF1("(~D*~C*B*~A)"),
//.LUTG0("(D*~B*A*~(~1*~C))"),
//.LUTG1("(~D*~C*B*~A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010000000000000),
.INIT_LUTF1(16'b0000000000000100),
.INIT_LUTG0(16'b0010001000000000),
.INIT_LUTG1(16'b0000000000000100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u86|FM_HW/FM_Demodulation/reg0_b7 (
.a({\u_logic/D43qw6 ,\u_logic/Nkwiu6 }),
.b({\u_logic/Di3qw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Di3qw6 }),
.ce(\FM_HW/FM_Demodulation/mux4_b0_sel_is_3_o ),
.clk(\FM_HW/EOC_gclk_net ),
.d({\u_logic/Pe7ax6 ,\u_logic/Le2qw6 }),
.e({open_n172467,\u_logic/Pe7ax6 }),
.mi({open_n172469,\FM_HW/ADC_Data [11]}),
.f({\u_logic/Vuciu6 ,\u_logic/_al_u3493_o }),
.q({open_n172485,\FM_HW/FM_Demodulation/IdataN_1 [7]})); // ../rtl/demodulation/FM_Demodulation.v(56)
// ../rtl/topmodule/cortexm0ds_logic.v(18709)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u871|u_logic/Qukax6_reg (
.b({\u_logic/_al_u672_o ,\u_logic/C80iu6 }),
.c({\u_logic/F6dbx6 ,\u_logic/O70iu6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u870_o ,\u_logic/Y1qow6 }),
.mi({open_n172491,\u_logic/Tx8iu6 }),
.f({\u_logic/_al_u871_o ,\u_logic/_al_u3873_o }),
.q({open_n172507,\u_logic/vis_r9_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(18709)
// ../rtl/topmodule/cortexm0ds_logic.v(20179)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0011001100001111),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0011001100001111),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u878|u_logic/Rvibx6_reg (
.b({\u_logic/_al_u672_o ,\u_logic/L90iu6 }),
.c({\u_logic/Ua9bx6 ,\u_logic/Q80iu6 }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u877_o ,\u_logic/Y1qow6 }),
.mi({open_n172513,\u_logic/K3niu6 }),
.f({\u_logic/_al_u878_o ,\u_logic/_al_u3850_o }),
.q({open_n172529,\u_logic/vis_r12_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(20179)
EG_PHY_LSLICE #(
//.LUTF0("(0*D*C*B*A)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(1*D*C*B*A)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000000000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u879|u_logic/_al_u3663 (
.a({open_n172530,\u_logic/_al_u3649_o }),
.b({open_n172531,\u_logic/_al_u3652_o }),
.c({\u_logic/_al_u692_o ,\u_logic/Evkiu6 }),
.d({\u_logic/_al_u878_o ,\u_logic/_al_u3659_o }),
.e({open_n172534,\u_logic/X7miu6 }),
.f({\u_logic/Idfpw6 [6],\u_logic/_al_u3663_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(19770)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u880|u_logic/Ca7bx6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/_al_u1203_o }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Yfqow6 }),
.c({\u_logic/vis_r9_o[9] ,\u_logic/Ljqow6 }),
.ce(\u_logic/Stmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[9] ,\u_logic/vis_r11_o[9] }),
.e({open_n172555,\u_logic/vis_psp_o[7] }),
.mi({open_n172557,\u_logic/Vrmiu6 }),
.f({\u_logic/_al_u880_o ,\u_logic/_al_u1204_o }),
.q({open_n172573,\u_logic/vis_r8_o[11] })); // ../rtl/topmodule/cortexm0ds_logic.v(19770)
// ../rtl/topmodule/cortexm0ds_logic.v(17947)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u882|u_logic/Ht1qw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u1204_o }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/vis_r12_o[9] ,\u_logic/Qiqow6 }),
.ce(\u_logic/Ltmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[9] ,\u_logic/vis_r9_o[9] }),
.e({open_n172574,\u_logic/vis_msp_o[7] }),
.mi({open_n172576,\u_logic/K3niu6 }),
.f({\u_logic/_al_u882_o ,\u_logic/_al_u1205_o }),
.q({open_n172592,\u_logic/vis_r9_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17947)
// ../rtl/topmodule/cortexm0ds_logic.v(17948)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(C*B)*~(D*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(C*B)*~(D*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001010100111111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001010100111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u883|u_logic/Gv1qw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u880_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r11_o[9] ,\u_logic/_al_u740_o }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r14_o[9] ,\u_logic/vis_psp_o[7] }),
.e({open_n172593,\u_logic/vis_msp_o[7] }),
.mi({open_n172595,\u_logic/K3niu6 }),
.f({\u_logic/_al_u883_o ,\u_logic/_al_u881_o }),
.q({open_n172611,\u_logic/vis_r11_o[9] })); // ../rtl/topmodule/cortexm0ds_logic.v(17948)
// ../rtl/topmodule/cortexm0ds_logic.v(18761)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u885|u_logic/Wlmax6_reg (
.b({\u_logic/_al_u672_o ,\u_logic/_al_u4588_o }),
.c({\u_logic/Kn1qw6 ,\u_logic/_al_u3659_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u884_o ,\u_logic/_al_u4556_o }),
.f({\u_logic/_al_u885_o ,\u_logic/Jlmiu6 }),
.q({open_n172630,\u_logic/vis_r10_o[13] })); // ../rtl/topmodule/cortexm0ds_logic.v(18761)
EG_PHY_LSLICE #(
//.LUTF0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(B*~(C)*~(D)+B*C*~(D)+~(B)*C*D+B*C*D)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b1111000011001100),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b1111000011001100),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u892|u_logic/_al_u3828 (
.b({\u_logic/_al_u672_o ,\u_logic/U30iu6 }),
.c({\u_logic/Usnpw6 ,\u_logic/I40iu6 }),
.d({\u_logic/_al_u891_o ,\u_logic/Y1qow6 }),
.f({\u_logic/To2ju6_lutinv ,\u_logic/_al_u3828_o }));
EG_PHY_MSLICE #(
//.LUT0("(~C*~D)"),
//.LUT1("(C*D)"),
.INIT_LUT0(16'b0000000000001111),
.INIT_LUT1(16'b1111000000000000),
.MODE("LOGIC"))
\u_logic/_al_u8|u_logic/_al_u4343 (
.c({\u_logic/Xuiax6 ,\u_logic/Vzjpw6 }),
.d({\u_logic/Vzjpw6 ,\u_logic/H2ciu6_lutinv }),
.f({\u_logic/Vnfpw6 [3],\u_logic/A2ciu6_lutinv }));
// ../rtl/topmodule/cortexm0ds_logic.v(17900)
EG_PHY_LSLICE #(
//.LUTF0("~(C*B*~D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("~(C*B*~D)"),
//.LUTG1("(~C*D)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111111100111111),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1111111100111111),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u900|u_logic/Z8zpw6_reg (
.b({open_n172683,\u_logic/_al_u4671_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3694_o }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u899_o ,\u_logic/_al_u4662_o }),
.f({\u_logic/Idfpw6 [4],\u_logic/Zvkiu6 }),
.q({open_n172704,\u_logic/vis_psp_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(17900)
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(A)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b1010101010101010),
.MODE("LOGIC"))
\u_logic/_al_u901 (
.a({open_n172705,\u_logic/Ds4ju6_lutinv }),
.b({open_n172706,\u_logic/Nq4ju6_lutinv }),
.c({open_n172707,\u_logic/vis_r11_o[2] }),
.d({open_n172710,\u_logic/vis_r9_o[2] }),
.f({open_n172724,\u_logic/_al_u901_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(17892)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u903|u_logic/Ftypw6_reg (
.a({\u_logic/Gq4ju6_lutinv ,\u_logic/_al_u695_o }),
.b({\u_logic/Rs4ju6_lutinv ,\u_logic/Gq4ju6_lutinv }),
.c({\u_logic/vis_r12_o[2] ,\u_logic/Nq4ju6_lutinv }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r8_o[2] ,\u_logic/vis_r12_o[1] }),
.e({open_n172730,\u_logic/vis_r11_o[1] }),
.mi({open_n172732,\u_logic/Qcaiu6 }),
.f({\u_logic/_al_u903_o ,\u_logic/_al_u696_o }),
.q({open_n172748,\u_logic/vis_psp_o[0] })); // ../rtl/topmodule/cortexm0ds_logic.v(17892)
// ../rtl/topmodule/cortexm0ds_logic.v(17882)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b1000000000000000),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u905|u_logic/Qjypw6_reg (
.a({\u_logic/F60iu6 ,\u_logic/Rs4ju6_lutinv }),
.b({\u_logic/_al_u902_o ,\u_logic/Ds4ju6_lutinv }),
.c({\u_logic/_al_u903_o ,\u_logic/vis_r8_o[0] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u904_o ,\u_logic/vis_r9_o[0] }),
.mi({open_n172759,\u_logic/Uoliu6 }),
.f({\u_logic/_al_u905_o ,\u_logic/Wu9pw6 }),
.q({open_n172764,\u_logic/vis_r11_o[1] })); // ../rtl/topmodule/cortexm0ds_logic.v(17882)
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(0*D*C))"),
//.LUT1("(~B*A*~(1*D*C))"),
.INIT_LUT0(16'b0010001000100010),
.INIT_LUT1(16'b0000001000100010),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u908 (
.a({\u_logic/Gh0iu6_lutinv ,\u_logic/Gh0iu6_lutinv }),
.b({\u_logic/_al_u692_o ,\u_logic/_al_u692_o }),
.c({\u_logic/_al_u148_o ,\u_logic/_al_u148_o }),
.d({\u_logic/_al_u907_o ,\u_logic/_al_u907_o }),
.mi({open_n172777,\u_logic/Vgjpw6 }),
.fx({open_n172782,\u_logic/Idfpw6 [2]}));
EG_PHY_LSLICE #(
//.LUTF0("(~(A)*B*C*~(D)*~(0)+A*~(B)*~(C)*D*~(0)+A*B*~(C)*D*~(0)+~(A)*B*C*D*~(0)+A*B*C*D*~(0)+~(A)*~(B)*~(C)*~(D)*0+~(A)*B*~(C)*~(D)*0+~(A)*B*C*~(D)*0+~(A)*~(B)*~(C)*D*0+A*~(B)*~(C)*D*0+~(A)*B*~(C)*D*0+A*B*~(C)*D*0+~(A)*B*C*D*0+A*B*C*D*0)"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(~(A)*B*C*~(D)*~(1)+A*~(B)*~(C)*D*~(1)+A*B*~(C)*D*~(1)+~(A)*B*C*D*~(1)+A*B*C*D*~(1)+~(A)*~(B)*~(C)*~(D)*1+~(A)*B*~(C)*~(D)*1+~(A)*B*C*~(D)*1+~(A)*~(B)*~(C)*D*1+A*~(B)*~(C)*D*1+~(A)*B*~(C)*D*1+A*B*~(C)*D*1+~(A)*B*C*D*1+A*B*C*D*1)"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b1100101001000000),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b1100111101000101),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u914|u_logic/_al_u3829 (
.a({open_n172785,\u_logic/_al_u3807_o }),
.b({\u_logic/_al_u672_o ,\u_logic/_al_u3828_o }),
.c({\u_logic/T5yax6 ,\u_logic/_al_u3786_o }),
.d({\u_logic/_al_u913_o ,\u_logic/B40iu6 }),
.e({open_n172788,\u_logic/Wb0iu6 }),
.f({\u_logic/_al_u914_o ,\u_logic/_al_u3829_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u915|u_logic/_al_u3652 (
.a({open_n172809,\u_logic/_al_u3650_o }),
.b({open_n172810,\u_logic/_al_u1266_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3651_o }),
.d({\u_logic/_al_u914_o ,\u_logic/_al_u3647_o }),
.f({\u_logic/Idfpw6 [3],\u_logic/_al_u3652_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(18742)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(D*C)*~(0*B))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(A*~(D*C)*~(1*B))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000101010101010),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0000001000100010),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u916|u_logic/Cklax6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/_al_u916_o }),
.b({\u_logic/Nq4ju6_lutinv ,\u_logic/_al_u739_o }),
.c({\u_logic/vis_r14_o[5] ,\u_logic/_al_u740_o }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r11_o[5] ,\u_logic/vis_psp_o[3] }),
.e({open_n172835,\u_logic/vis_msp_o[3] }),
.mi({open_n172837,\u_logic/D39iu6 }),
.f({\u_logic/_al_u916_o ,\u_logic/_al_u917_o }),
.q({open_n172853,\u_logic/vis_r10_o[31] })); // ../rtl/topmodule/cortexm0ds_logic.v(18742)
EG_PHY_LSLICE #(
//.LUTF0("(~0*~D*~C*B*A)"),
//.LUTF1("(~D*~C*B*A)"),
//.LUTG0("(~1*~D*~C*B*A)"),
//.LUTG1("(~D*~C*B*A)"),
.INIT_LUTF0(16'b0000000000001000),
.INIT_LUTF1(16'b0000000000001000),
.INIT_LUTG0(16'b0000000000000000),
.INIT_LUTG1(16'b0000000000001000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u91|u_logic/_al_u3203 (
.a({\u_logic/D43qw6 ,\u_logic/_al_u3202_o }),
.b({\u_logic/Di3qw6 ,\u_logic/D43qw6 }),
.c({\u_logic/Le2qw6 ,\u_logic/Pe7ax6 }),
.d({\u_logic/Pe7ax6 ,\u_logic/Sqwpw6 }),
.e({open_n172856,\u_logic/Zm8ax6 }),
.f({\u_logic/Avwiu6 ,\u_logic/_al_u3203_o }));
// ../rtl/topmodule/cortexm0ds_logic.v(20003)
EG_PHY_MSLICE #(
//.LUT0("~(C*B*~D)"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b1111111100111111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u921|u_logic/Tzebx6_reg (
.b({\u_logic/_al_u672_o ,\u_logic/_al_u4548_o }),
.c({\u_logic/Qc5bx6 ,\u_logic/Uvliu6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/_al_u920_o ,\u_logic/_al_u4454_o }),
.f({\u_logic/_al_u921_o ,\u_logic/Zuliu6 }),
.q({open_n172895,\u_logic/vis_r10_o[22] })); // ../rtl/topmodule/cortexm0ds_logic.v(20003)
EG_PHY_MSLICE #(
//.LUT0("(~C*D)"),
//.LUT1("(D)"),
.INIT_LUT0(16'b0000111100000000),
.INIT_LUT1(16'b1111111100000000),
.MODE("LOGIC"))
\u_logic/_al_u922 (
.c({open_n172900,\u_logic/_al_u692_o }),
.d({open_n172903,\u_logic/_al_u921_o }),
.f({open_n172917,\u_logic/Idfpw6 [5]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17934)
EG_PHY_LSLICE #(
//.LUTF0("(~(C*B)*~(D*A))"),
//.LUTF1("(~(D*B)*~(C*A))"),
//.LUTG0("(~(C*B)*~(D*A))"),
//.LUTG1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0001010100111111),
.INIT_LUTF1(16'b0001001101011111),
.INIT_LUTG0(16'b0001010100111111),
.INIT_LUTG1(16'b0001001101011111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u923|u_logic/O41qw6_reg (
.a({\u_logic/Jo4ju6_lutinv ,\u_logic/Ljqow6 }),
.b({\u_logic/_al_u740_o ,\u_logic/Qiqow6 }),
.c({\u_logic/vis_r14_o[8] ,\u_logic/vis_r9_o[23] }),
.ce(\u_logic/Ydkiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[6] ,\u_logic/vis_r11_o[23] }),
.mi({open_n172926,\u_logic/X6niu6 }),
.f({\u_logic/_al_u923_o ,\u_logic/_al_u1339_o }),
.q({open_n172942,\u_logic/vis_psp_o[6] })); // ../rtl/topmodule/cortexm0ds_logic.v(17934)
// ../rtl/topmodule/cortexm0ds_logic.v(18740)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(A*~(0*C)*~(D*B))"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(A*~(1*C)*~(D*B))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b0010001010101010),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b0000001000001010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u924|u_logic/Cglax6_reg (
.a({\u_logic/_al_u923_o ,\u_logic/_al_u1340_o }),
.b({\u_logic/Gq4ju6_lutinv ,\u_logic/Fgqow6 }),
.c({\u_logic/_al_u739_o ,\u_logic/Yfqow6 }),
.ce(\u_logic/n1577 ),
.clk(clk_pad),
.d({\u_logic/vis_r12_o[8] ,\u_logic/vis_msp_o[21] }),
.e({\u_logic/vis_msp_o[6] ,\u_logic/vis_psp_o[21] }),
.mi({open_n172944,\u_logic/Vx9iu6 }),
.f({\u_logic/_al_u924_o ,\u_logic/_al_u1341_o }),
.q({open_n172960,\u_logic/vis_r10_o[23] })); // ../rtl/topmodule/cortexm0ds_logic.v(18740)
// ../rtl/topmodule/cortexm0ds_logic.v(17932)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u925|u_logic/Q01qw6_reg (
.a({\u_logic/Nq4ju6_lutinv ,\u_logic/Ljqow6 }),
.b({\u_logic/Wr4ju6_lutinv ,\u_logic/Sjqow6 }),
.c({\u_logic/vis_r11_o[8] ,\u_logic/vis_r11_o[14] }),
.ce(\u_logic/Etmiu6 ),
.clk(clk_pad),
.d({\u_logic/vis_r10_o[8] ,\u_logic/vis_r10_o[14] }),
.mi({open_n172971,\u_logic/X6niu6 }),
.f({\u_logic/_al_u925_o ,\u_logic/_al_u1277_o }),
.q({open_n172976,\u_logic/vis_r11_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(17932)
// ../rtl/topmodule/cortexm0ds_logic.v(18791)
EG_PHY_MSLICE #(
//.LUT0("(~(D*B)*~(C*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUT0(16'b0001001101011111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u926|u_logic/N9oax6_reg (
.a({\u_logic/Rs4ju6_lutinv ,\u_logic/Jo4ju6_lutinv }),
.b({\u_logic/Ds4ju6_lutinv ,\u_logic/Nq4ju6_lutinv }),
.c({\u_logic/vis_r8_o[8] ,\u_logic/vis_r14_o[14] }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/vis_r9_o[8] ,\u_logic/vis_r11_o[14] }),
.mi({open_n172987,\u_logic/X6niu6 }),
.f({\u_logic/_al_u926_o ,\u_logic/_al_u754_o }),
.q({open_n172992,\u_logic/vis_r14_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18791)
// ../rtl/topmodule/cortexm0ds_logic.v(18894)
EG_PHY_LSLICE #(
//.LUTF0("(A*~(0*C)*~(D*B))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(A*~(1*C)*~(D*B))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001010101010),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000001000001010),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u927|u_logic/Eytax6_reg (
.a({\u_logic/B40iu6 ,\u_logic/_al_u754_o }),
.b({\u_logic/_al_u924_o ,\u_logic/_al_u739_o }),
.c({\u_logic/_al_u925_o ,\u_logic/_al_u740_o }),
.ce(\u_logic/Csmiu6 ),
.clk(clk_pad),
.d({\u_logic/_al_u926_o ,\u_logic/vis_msp_o[12] }),
.e({open_n172993,\u_logic/vis_psp_o[12] }),
.mi({open_n172995,\u_logic/X6niu6 }),
.f({\u_logic/_al_u927_o ,\u_logic/_al_u755_o }),
.q({open_n173011,\u_logic/vis_r12_o[8] })); // ../rtl/topmodule/cortexm0ds_logic.v(18894)
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"))
\u_logic/_al_u935|u_logic/_al_u3883 (
.b({\u_logic/_al_u672_o ,\u_logic/Q80iu6 }),
.c({\u_logic/Asupw6 ,\u_logic/C80iu6 }),
.d({\u_logic/_al_u934_o ,\u_logic/Y1qow6 }),
.f({\u_logic/_al_u935_o ,\u_logic/_al_u3883_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~C*D)"),
//.LUTF1("(~C*D)"),
//.LUTG0("(~C*D)"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b0000111100000000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b0000111100000000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u936|u_logic/_al_u886 (
.c({\u_logic/_al_u692_o ,\u_logic/_al_u692_o }),
.d({\u_logic/_al_u935_o ,\u_logic/_al_u885_o }),
.f({\u_logic/Idfpw6 [7],\u_logic/Idfpw6 [9]}));
// ../rtl/topmodule/cortexm0ds_logic.v(17920)
EG_PHY_LSLICE #(
//.LUTF0("(B*A*~(D*C))"),
//.LUTF1("(A*~(D*C)*~(0*B))"),
//.LUTG0("(B*A*~(D*C))"),
//.LUTG1("(A*~(D*C)*~(1*B))"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0000100010001000),
.INIT_LUTF1(16'b0000101010101010),
.INIT_LUTG0(16'b0000100010001000),
.INIT_LUTG1(16'b0000001000100010),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u938|u_logic/Tc0qw6_reg (
.a({\u_logic/_al_u937_o ,\u_logic/_al_u1273_o }),
.b({\u_logic/_al_u739_o ,\u_logic/L20iu6 }),
.c({\u_logic/_al_u740_o ,\u_logic/Dfqow6 }),
.ce(\u_logic/n1568 ),
.clk(clk_pad),
.d({\u_logic/vis_psp_o[8] ,\u_logic/Xpxax6 }),
.e({\u_logic/vis_msp_o[8] ,open_n173062}),
.mi({open_n173064,\u_logic/C7miu6 }),
.f({\u_logic/_al_u938_o ,\u_logic/_al_u1274_o }),
.q({open_n173080,\u_logic/vis_msp_o[16] })); // ../rtl/topmodule/cortexm0ds_logic.v(17920)
// ../rtl/topmodule/cortexm0ds_logic.v(18784)
EG_PHY_LSLICE #(
//.LUTF0("(C*B*A*~(0*D))"),
//.LUTF1("(D*C*B*A)"),
//.LUTG0("(C*B*A*~(1*D))"),
//.LUTG1("(D*C*B*A)"),
.CEMUX("CE"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1000000010000000),
.INIT_LUTF1(16'b1000000000000000),
.INIT_LUTG0(16'b0000000010000000),
.INIT_LUTG1(16'b1000000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u941|u_logic/Nvnax6_reg (
.a({\u_logic/Wb0iu6 ,\u_logic/_al_u1326_o }),
.b({\u_logic/_al_u938_o ,\u_logic/A00iu6 }),
.c({\u_logic/_al_u939_o ,\u_logic/_al_u1327_o }),
.ce(\u_logic/n1571 ),
.clk(clk_pad),
.d({\u_logic/_al_u940_o ,\u_logic/Hhqow6 }),
.e({open_n173081,\u_logic/vis_r12_o[21] }),
.mi({open_n173083,\u_logic/C7miu6 }),
.f({\u_logic/_al_u941_o ,\u_logic/_al_u1328_o }),
.q({open_n173099,\u_logic/vis_r14_o[18] })); // ../rtl/topmodule/cortexm0ds_logic.v(18784)
EG_PHY_LSLICE #(
//.LUTF0("(D*~(C*B))"),
//.LUTF1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
//.LUTG0("(D*~(C*B))"),
//.LUTG1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUTF0(16'b0011111100000000),
.INIT_LUTF1(16'b0011000011111100),
.INIT_LUTG0(16'b0011111100000000),
.INIT_LUTG1(16'b0011000011111100),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u942|u_logic/_al_u2534 (
.b({\u_logic/_al_u672_o ,\u_logic/_al_u2297_o }),
.c({\u_logic/Gwxpw6 ,\u_logic/_al_u752_o }),
.d({\u_logic/_al_u941_o ,\u_logic/_al_u2533_o }),
.f({\u_logic/_al_u942_o ,\u_logic/_al_u2534_o }));
EG_PHY_LSLICE #(
//.LUTF0("(C*A*~(D*~B))"),
//.LUTF1("(~C*D)"),
//.LUTG0("(C*A*~(D*~B))"),
//.LUTG1("(~C*D)"),
.INIT_LUTF0(16'b1000000010100000),
.INIT_LUTF1(16'b0000111100000000),
.INIT_LUTG0(16'b1000000010100000),
.INIT_LUTG1(16'b0000111100000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u943|u_logic/_al_u3659 (
.a({open_n173126,\u_logic/_al_u3657_o }),
.b({open_n173127,\u_logic/_al_u1274_o }),
.c({\u_logic/_al_u692_o ,\u_logic/_al_u3658_o }),
.d({\u_logic/_al_u942_o ,\u_logic/_al_u3647_o }),
.f({\u_logic/Idfpw6 [10],\u_logic/_al_u3659_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("~(~C*~(D)*~(B)+~C*D*~(B)+~(~C)*D*B+~C*D*B)"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0011000011111100),
.MODE("LOGIC"))
\u_logic/_al_u949|u_logic/_al_u1349 (
.a({open_n173152,\u_logic/Dfqow6 }),
.b({\u_logic/_al_u672_o ,\u_logic/Ahqow6 }),
.c({\u_logic/C07bx6 ,\u_logic/vis_r14_o[24] }),
.d({\u_logic/_al_u948_o ,\u_logic/Tgkbx6 }),
.f({\u_logic/_al_u949_o ,\u_logic/_al_u1349_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~D*C*~B*A)"),
//.LUTF1("(C*D)"),
//.LUTG0("(~D*C*~B*A)"),
//.LUTG1("(C*D)"),
.INIT_LUTF0(16'b0000000000100000),
.INIT_LUTF1(16'b1111000000000000),
.INIT_LUTG0(16'b0000000000100000),
.INIT_LUTG1(16'b1111000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u94|u_logic/_al_u92 (
.a({open_n173173,\u_logic/Avwiu6 }),
.b({open_n173174,\u_logic/Hw8ax6 }),
.c({\u_logic/Cpwiu6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/Avwiu6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/Cs1iu6 ,\u_logic/Dw1iu6 }));
EG_PHY_MSLICE #(
//.LUT0("(~B*A*~(~C*~(~0*D)))"),
//.LUT1("(~B*A*~(~C*~(~1*D)))"),
.INIT_LUT0(16'b0010001000100000),
.INIT_LUT1(16'b0010000000100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u952 (
.a({\u_logic/Mb1ju6 ,\u_logic/Mb1ju6 }),
.b({\u_logic/_al_u951_o ,\u_logic/_al_u951_o }),
.c({\u_logic/Llaow6_lutinv ,\u_logic/Llaow6_lutinv }),
.d({\u_logic/_al_u705_o ,\u_logic/_al_u705_o }),
.mi({open_n173211,\u_logic/Wkipw6 }),
.fx({open_n173216,\u_logic/Ir6ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(C*D)"),
//.LUT1("(~C*D)"),
.INIT_LUT0(16'b1111000000000000),
.INIT_LUT1(16'b0000111100000000),
.MODE("LOGIC"))
\u_logic/_al_u957|u_logic/_al_u393 (
.c({\u_logic/P5vpw6 ,\u_logic/P5vpw6 }),
.d({\u_logic/Ae0iu6_lutinv ,\u_logic/Aujpw6 }),
.f({\u_logic/_al_u957_o ,\u_logic/D6kiu6_lutinv }));
EG_PHY_MSLICE #(
//.LUT0("~(C*~(B)*~(D)+C*B*~(D)+~(C)*B*D+C*B*D)"),
//.LUT1("(D*~(~C*~B))"),
.INIT_LUT0(16'b0011001100001111),
.INIT_LUT1(16'b1111110000000000),
.MODE("LOGIC"))
\u_logic/_al_u958|u_logic/_al_u959 (
.b({\u_logic/S7mpw6 ,\u_logic/R3vpw6 }),
.c({\u_logic/Wfspw6 ,\u_logic/Wkipw6 }),
.d({\u_logic/P5vpw6 ,\u_logic/Hirpw6 }),
.f({\u_logic/_al_u958_o ,\u_logic/_al_u959_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*~(D*~B*A))"),
//.LUT1("~((D*B)*~(A)*~(C)+(D*B)*A*~(C)+~((D*B))*A*C+(D*B)*A*C)"),
.INIT_LUT0(16'b1101000011110000),
.INIT_LUT1(16'b0101001101011111),
.MODE("LOGIC"))
\u_logic/_al_u962|u_logic/_al_u1574 (
.a({\u_logic/_al_u961_o ,\u_logic/Vo3ju6_lutinv }),
.b({\u_logic/Llaow6_lutinv ,\u_logic/_al_u685_o }),
.c({\u_logic/Ufopw6 ,\u_logic/Hirpw6 }),
.d({\u_logic/Wfspw6 ,\u_logic/Yvjpw6 }),
.f({\u_logic/G7aiu6_lutinv ,\u_logic/_al_u1574_o }));
EG_PHY_MSLICE #(
//.LUT0("(~(C*B)*~(D*A))"),
//.LUT1("(~(D*B)*~(C*A))"),
.INIT_LUT0(16'b0001010100111111),
.INIT_LUT1(16'b0001001101011111),
.MODE("LOGIC"))
\u_logic/_al_u966|u_logic/_al_u968 (
.a({\u_logic/P91ju6 ,\u_logic/P91ju6 }),
.b({\u_logic/Fb1ju6 ,\u_logic/Fb1ju6 }),
.c({\u_logic/Jckax6 ,\u_logic/P0kax6 }),
.d({\u_logic/Ssjax6 ,\u_logic/Wkipw6 }),
.f({\u_logic/Fobow6 ,\u_logic/Nq6ow6 }));
EG_PHY_MSLICE #(
//.LUT0("(D*C*B*A)"),
//.LUT1("(~C*B*D)"),
.INIT_LUT0(16'b1000000000000000),
.INIT_LUT1(16'b0000110000000000),
.MODE("LOGIC"))
\u_logic/_al_u96|u_logic/_al_u3265 (
.a({open_n173305,\u_logic/Di3qw6 }),
.b({\u_logic/Sqwpw6 ,\u_logic/Le2qw6 }),
.c({\u_logic/Zm8ax6 ,\u_logic/Sqwpw6 }),
.d({\u_logic/Hw8ax6 ,\u_logic/Zm8ax6 }),
.f({\u_logic/Nkwiu6 ,\u_logic/_al_u3265_o }));
EG_PHY_MSLICE #(
//.LUT0("(C*B*D)"),
//.LUT1("(D*~(~A*~(C*~B)))"),
.INIT_LUT0(16'b1100000000000000),
.INIT_LUT1(16'b1011101000000000),
.MODE("LOGIC"))
\u_logic/_al_u972|u_logic/_al_u3947 (
.a({\u_logic/Vs0iu6 ,open_n173326}),
.b({\u_logic/_al_u971_o ,\u_logic/_al_u1445_o }),
.c({\u_logic/vis_apsr_o[1] ,\u_logic/Aujpw6 }),
.d({\u_logic/Ydopw6 ,\u_logic/_al_u410_o }),
.f({\u_logic/_al_u972_o ,\u_logic/_al_u3947_o }));
EG_PHY_LSLICE #(
//.LUTF0("(A*~(B*~(D*~C)))"),
//.LUTF1("(~D*~(C*B))"),
//.LUTG0("(A*~(B*~(D*~C)))"),
//.LUTG1("(~D*~(C*B))"),
.INIT_LUTF0(16'b0010101000100010),
.INIT_LUTF1(16'b0000000000111111),
.INIT_LUTG0(16'b0010101000100010),
.INIT_LUTG1(16'b0000000000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u974|u_logic/_al_u1689 (
.a({open_n173347,\u_logic/_al_u1688_o }),
.b({\u_logic/Mfjiu6 ,\u_logic/Cc2ju6_lutinv }),
.c({\u_logic/Sq3ju6 ,\u_logic/_al_u1095_o }),
.d({\u_logic/_al_u973_o ,\u_logic/Aujpw6 }),
.f({\u_logic/Lu0iu6 ,\u_logic/_al_u1689_o }));
EG_PHY_MSLICE #(
//.LUT0("(A*~(~C*~(0*D*B)))"),
//.LUT1("(A*~(~C*~(1*D*B)))"),
.INIT_LUT0(16'b1010000010100000),
.INIT_LUT1(16'b1010100010100000),
.MODE("LOGIC"),
.MSFXMUX("ON"))
\u_logic/_al_u976 (
.a({\u_logic/_al_u148_o ,\u_logic/_al_u148_o }),
.b({\u_logic/Ldoiu6_lutinv ,\u_logic/Ldoiu6_lutinv }),
.c({\u_logic/_al_u690_o ,\u_logic/_al_u690_o }),
.d({\u_logic/Fr0iu6_lutinv ,\u_logic/Fr0iu6_lutinv }),
.mi({open_n173384,\u_logic/Yvjpw6 }),
.fx({open_n173389,\u_logic/_al_u976_o }));
EG_PHY_LSLICE #(
//.LUTF0("(0*~(~B*A*~(D*~C)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("(1*~(~B*A*~(D*~C)))"),
//.LUTG1("(~C*B*D)"),
.INIT_LUTF0(16'b0000000000000000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b1101111111011101),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u977|u_logic/_al_u1442 (
.a({open_n173392,\u_logic/_al_u1437_o }),
.b({\u_logic/Fr0iu6_lutinv ,\u_logic/_al_u1439_o }),
.c({\u_logic/T1vpw6 ,\u_logic/_al_u1441_o }),
.d({\u_logic/Cc2ju6_lutinv ,\u_logic/Ufopw6 }),
.e({open_n173395,\u_logic/Vgjpw6 }),
.f({\u_logic/_al_u977_o ,\u_logic/_al_u1442_o }));
EG_PHY_LSLICE #(
//.LUTF0("(~B*~(C*D))"),
//.LUTF1("(D*C*~B*~A)"),
//.LUTG0("(~B*~(C*D))"),
//.LUTG1("(D*C*~B*~A)"),
.INIT_LUTF0(16'b0000001100110011),
.INIT_LUTF1(16'b0001000000000000),
.INIT_LUTG0(16'b0000001100110011),
.INIT_LUTG1(16'b0001000000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u979|u_logic/_al_u1112 (
.a({\u_logic/Z63iu6_lutinv ,open_n173416}),
.b({\u_logic/B7lpw6 ,\u_logic/Z63iu6_lutinv }),
.c({\u_logic/Qynpw6 ,\u_logic/Krlpw6 }),
.d({\u_logic/Ryfax6 ,\u_logic/_al_u627_o }),
.f({\u_logic/_al_u979_o ,\u_logic/S63iu6_lutinv }));
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("(C*~D)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("(C*~D)"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0000000011110000),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0000000011110000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"))
\u_logic/_al_u981|u_logic/_al_u1011 (
.a({open_n173441,\u_logic/_al_u632_o }),
.b({open_n173442,\u_logic/_al_u981_o }),
.c({\u_logic/Pmlpw6 ,\u_logic/J4cbx6 }),
.d({\u_logic/Ahlpw6 ,\u_logic/S2cbx6 }),
.f({\u_logic/_al_u981_o ,\u_logic/P92iu6 }));
// ../rtl/topmodule/cortexm0ds_logic.v(17182)
EG_PHY_LSLICE #(
//.LUTF0("~(~(D*~B)*~(C*~(0*A)))"),
//.LUTF1("(~C*B*D)"),
//.LUTG0("~(~(D*~B)*~(C*~(1*A)))"),
//.LUTG1("(~C*B*D)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1111001111110000),
.INIT_LUTF1(16'b0000110000000000),
.INIT_LUTG0(16'b0111001101010000),
.INIT_LUTG1(16'b0000110000000000),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("INV"))
\u_logic/_al_u983|u_logic/A5ipw6_reg (
.a({open_n173467,\u_logic/Di1iu6 }),
.b({\u_logic/Yn3iu6_lutinv ,\u_logic/_al_u1211_o }),
.c({\u_logic/Vplpw6 ,\u_logic/A5ipw6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/_al_u632_o ,\u_logic/Kwlpw6 }),
.e({open_n173469,\u_logic/Z73qw6 }),
.sr(\u_logic/Kxhpw6 ),
.f({\u_logic/_al_u983_o ,open_n173484}),
.q({open_n173488,\u_logic/A5ipw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17182)
// ../rtl/topmodule/cortexm0ds_logic.v(17310)
EG_PHY_LSLICE #(
//.LUTF0("~(C*~B*~(D*A))"),
//.LUTF1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
//.LUTG0("~(C*~B*~(D*A))"),
//.LUTG1("~((D*~A)*~(C)*~(B)+(D*~A)*C*~(B)+~((D*~A))*C*B+(D*~A)*C*B)"),
.CEMUX("1"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b1110111111001111),
.INIT_LUTF1(16'b0010111000111111),
.INIT_LUTG0(16'b1110111111001111),
.INIT_LUTG1(16'b0010111000111111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("F"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u987|u_logic/Stkpw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/Vk1iu6 }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u983_o }),
.c({\u_logic/E97ax6 ,\u_logic/Oc2iu6 }),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Xf8ax6 ,\u_logic/Nrkpw6 }),
.f({\u_logic/_al_u987_o ,open_n173507}),
.q({open_n173511,\u_logic/Stkpw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(17310)
// ../rtl/topmodule/cortexm0ds_logic.v(18048)
EG_PHY_LSLICE #(
//.LUTF0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTF1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG0("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
//.LUTG1("~((C*~A)*~(D)*~(B)+(C*~A)*D*~(B)+~((C*~A))*D*B+(C*~A)*D*B)"),
.CEMUX("INV"),
.CLKMUX("CLK"),
.DFFMODE("FF"),
.INIT_LUTF0(16'b0010001111101111),
.INIT_LUTF1(16'b0010001111101111),
.INIT_LUTG0(16'b0010001111101111),
.INIT_LUTG1(16'b0010001111101111),
.LSFMUX0("FUNC5"),
.LSFMUX1("FUNC5"),
.MODE("LOGIC"),
.REG0_REGSET("RESET"),
.REG0_SD("MI"),
.SRMODE("ASYNC"),
.SRMUX("0"))
\u_logic/_al_u989|u_logic/Nv3qw6_reg (
.a({\u_logic/_al_u632_o ,\u_logic/_al_u632_o }),
.b({\u_logic/_al_u981_o ,\u_logic/_al_u981_o }),
.c({\u_logic/E97ax6 ,\u_logic/Oh8ax6 }),
.ce(\u_logic/C53iu6 ),
.clk(\u_logic/SWCLKTCK_pad_gclk_net ),
.d({\u_logic/Hlwpw6 ,\u_logic/Xf8ax6 }),
.mi({open_n173515,\u_logic/Wt3qw6 }),
.f({\u_logic/_al_u989_o ,\u_logic/_al_u985_o }),
.q({open_n173531,\u_logic/Nv3qw6 })); // ../rtl/topmodule/cortexm0ds_logic.v(18048)
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u0|add0/ucin (
.a({\u_logic/Qehbx6 ,1'b0}),
.b({\u_logic/E4yhu6 ,open_n173532}),
.f({\u_logic/Vrkbx6 [1],open_n173552}),
.fco(\u_logic/add0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u2|add0/u1 (
.a({\u_logic/Xn7ax6 ,\u_logic/Vj3qw6 }),
.b({\u_logic/Dugax6 ,\u_logic/Ksgax6 }),
.fci(\u_logic/add0/c1 ),
.f(\u_logic/Vrkbx6 [3:2]),
.fco(\u_logic/add0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u4|add0/u3 (
.a({\u_logic/Pg3qw6 ,\u_logic/P23qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c3 ),
.f(\u_logic/Vrkbx6 [5:4]),
.fco(\u_logic/add0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u6|add0/u5 (
.a({\u_logic/Vn9bx6 ,\u_logic/Bf3qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c5 ),
.f(\u_logic/Vrkbx6 [7:6]),
.fco(\u_logic/add0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u8|add0/u7 (
.a({\u_logic/Ke1qw6 ,\u_logic/Nd3qw6 }),
.b(2'b00),
.fci(\u_logic/add0/c7 ),
.f(\u_logic/Vrkbx6 [9:8]),
.fco(\u_logic/add0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/add0/u0|add0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/add0/u9_al_u4817 (
.a({open_n173645,\u_logic/Yf1qw6 }),
.b({open_n173646,1'b0}),
.fci(\u_logic/add0/c9 ),
.f({open_n173665,\u_logic/Vrkbx6 [10]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u11_al_u4804 (
.a({\u_logic/vis_pc_o[13] ,\u_logic/vis_pc_o[11] }),
.b({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[12] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c11 ),
.f({\u_logic/Zsfpw6 [13],\u_logic/Zsfpw6 [11]}),
.fco(\u_logic/add1/c15 ),
.fx({\u_logic/Zsfpw6 [14],\u_logic/Zsfpw6 [12]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u15_al_u4805 (
.a({\u_logic/vis_pc_o[17] ,\u_logic/vis_pc_o[15] }),
.b({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[16] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c15 ),
.f({\u_logic/Zsfpw6 [17],\u_logic/Zsfpw6 [15]}),
.fco(\u_logic/add1/c19 ),
.fx({\u_logic/Zsfpw6 [18],\u_logic/Zsfpw6 [16]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u19_al_u4806 (
.a({\u_logic/vis_pc_o[21] ,\u_logic/vis_pc_o[19] }),
.b({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[20] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c19 ),
.f({\u_logic/Zsfpw6 [21],\u_logic/Zsfpw6 [19]}),
.fco(\u_logic/add1/c23 ),
.fx({\u_logic/Zsfpw6 [22],\u_logic/Zsfpw6 [20]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u23_al_u4807 (
.a({\u_logic/vis_pc_o[25] ,\u_logic/vis_pc_o[23] }),
.b({\u_logic/vis_pc_o[26] ,\u_logic/vis_pc_o[24] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c23 ),
.f({\u_logic/Zsfpw6 [25],\u_logic/Zsfpw6 [23]}),
.fco(\u_logic/add1/c27 ),
.fx({\u_logic/Zsfpw6 [26],\u_logic/Zsfpw6 [24]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u27_al_u4808 (
.a({\u_logic/vis_pc_o[29] ,\u_logic/vis_pc_o[27] }),
.b({\u_logic/vis_pc_o[30] ,\u_logic/vis_pc_o[28] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c27 ),
.f({\u_logic/Zsfpw6 [29],\u_logic/Zsfpw6 [27]}),
.fx({\u_logic/Zsfpw6 [30],\u_logic/Zsfpw6 [28]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u3_al_u4802 (
.a({\u_logic/vis_pc_o[5] ,\u_logic/vis_pc_o[3] }),
.b({\u_logic/vis_pc_o[6] ,\u_logic/vis_pc_o[4] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c3 ),
.f({\u_logic/Zsfpw6 [5],\u_logic/Zsfpw6 [3]}),
.fco(\u_logic/add1/c7 ),
.fx({\u_logic/Zsfpw6 [6],\u_logic/Zsfpw6 [4]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/u7_al_u4803 (
.a({\u_logic/vis_pc_o[9] ,\u_logic/vis_pc_o[7] }),
.b({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[8] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add1/c7 ),
.f({\u_logic/Zsfpw6 [9],\u_logic/Zsfpw6 [7]}),
.fco(\u_logic/add1/c11 ),
.fx({\u_logic/Zsfpw6 [10],\u_logic/Zsfpw6 [8]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add1/ucin_al_u4801"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add1/ucin_al_u4801 (
.a({\u_logic/vis_pc_o[1] ,1'b0}),
.b({\u_logic/vis_pc_o[2] ,\u_logic/vis_pc_o[0] }),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\u_logic/Zsfpw6 [1],open_n173815}),
.fco(\u_logic/add1/c3 ),
.fx({\u_logic/Zsfpw6 [2],\u_logic/Zsfpw6 [0]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u11_al_u4812 (
.a({\u_logic/vis_pc_o[14] ,\u_logic/vis_pc_o[12] }),
.b({\u_logic/vis_pc_o[15] ,\u_logic/vis_pc_o[13] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c11 ),
.f({\u_logic/N5fpw6 [14],\u_logic/N5fpw6 [12]}),
.fco(\u_logic/add2/c15 ),
.fx({\u_logic/N5fpw6 [15],\u_logic/N5fpw6 [13]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u15_al_u4813 (
.a({\u_logic/vis_pc_o[18] ,\u_logic/vis_pc_o[16] }),
.b({\u_logic/vis_pc_o[19] ,\u_logic/vis_pc_o[17] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c15 ),
.f({\u_logic/N5fpw6 [18],\u_logic/N5fpw6 [16]}),
.fco(\u_logic/add2/c19 ),
.fx({\u_logic/N5fpw6 [19],\u_logic/N5fpw6 [17]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u19_al_u4814 (
.a({\u_logic/vis_pc_o[22] ,\u_logic/vis_pc_o[20] }),
.b({\u_logic/vis_pc_o[23] ,\u_logic/vis_pc_o[21] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c19 ),
.f({\u_logic/N5fpw6 [22],\u_logic/N5fpw6 [20]}),
.fco(\u_logic/add2/c23 ),
.fx({\u_logic/N5fpw6 [23],\u_logic/N5fpw6 [21]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u23_al_u4815 (
.a({\u_logic/vis_pc_o[26] ,\u_logic/vis_pc_o[24] }),
.b({\u_logic/vis_pc_o[27] ,\u_logic/vis_pc_o[25] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c23 ),
.f({\u_logic/N5fpw6 [26],\u_logic/N5fpw6 [24]}),
.fco(\u_logic/add2/c27 ),
.fx({\u_logic/N5fpw6 [27],\u_logic/N5fpw6 [25]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u27_al_u4816 (
.a({\u_logic/vis_pc_o[30] ,\u_logic/vis_pc_o[28] }),
.b({open_n173890,\u_logic/vis_pc_o[29] }),
.c(2'b00),
.d(2'b00),
.e({open_n173893,1'b0}),
.fci(\u_logic/add2/c27 ),
.f({\u_logic/N5fpw6 [30],\u_logic/N5fpw6 [28]}),
.fx({open_n173909,\u_logic/N5fpw6 [29]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u3_al_u4810 (
.a({\u_logic/vis_pc_o[6] ,\u_logic/vis_pc_o[4] }),
.b({\u_logic/vis_pc_o[7] ,\u_logic/vis_pc_o[5] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c3 ),
.f({\u_logic/N5fpw6 [6],\u_logic/N5fpw6 [4]}),
.fco(\u_logic/add2/c7 ),
.fx({\u_logic/N5fpw6 [7],\u_logic/N5fpw6 [5]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/u7_al_u4811 (
.a({\u_logic/vis_pc_o[10] ,\u_logic/vis_pc_o[8] }),
.b({\u_logic/vis_pc_o[11] ,\u_logic/vis_pc_o[9] }),
.c(2'b00),
.d(2'b00),
.e(2'b00),
.fci(\u_logic/add2/c7 ),
.f({\u_logic/N5fpw6 [10],\u_logic/N5fpw6 [8]}),
.fco(\u_logic/add2/c11 ),
.fx({\u_logic/N5fpw6 [11],\u_logic/N5fpw6 [9]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add2/ucin_al_u4809"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add2/ucin_al_u4809 (
.a({\u_logic/vis_pc_o[2] ,1'b0}),
.b({\u_logic/vis_pc_o[3] ,\u_logic/R0ghu6 }),
.c(2'b00),
.d(2'b01),
.e(2'b01),
.f({\u_logic/N5fpw6 [2],open_n173965}),
.fco(\u_logic/add2/c3 ),
.fx({\u_logic/N5fpw6 [3],open_n173966}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y1Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u11_al_u4795 (
.a({\u_logic/Q1epw6 ,\u_logic/C1epw6 }),
.b({\u_logic/X1epw6 ,\u_logic/J1epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [13],\u_logic/Idfpw6 [11]}),
.e({\u_logic/Idfpw6 [14],\u_logic/Idfpw6 [12]}),
.fci(\u_logic/add3_add4/c11 ),
.f({\u_logic/Nxkbx6 [14],\u_logic/Nxkbx6 [12]}),
.fco(\u_logic/add3_add4/c15 ),
.fx({\u_logic/Nxkbx6 [15],\u_logic/Nxkbx6 [13]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y2Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u15_al_u4796 (
.a({\u_logic/G3epw6 ,\u_logic/L2epw6 }),
.b({\u_logic/N3epw6 ,\u_logic/Z2epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [17],\u_logic/Idfpw6 [15]}),
.e({\u_logic/Idfpw6 [18],\u_logic/Idfpw6 [16]}),
.fci(\u_logic/add3_add4/c15 ),
.f({\u_logic/Nxkbx6 [18],\u_logic/Nxkbx6 [16]}),
.fco(\u_logic/add3_add4/c19 ),
.fx({\u_logic/Nxkbx6 [19],\u_logic/Nxkbx6 [17]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y2Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u19_al_u4797 (
.a({\u_logic/I4epw6 ,\u_logic/U3epw6 }),
.b({\u_logic/P4epw6 ,\u_logic/B4epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [21],\u_logic/Idfpw6 [19]}),
.e({\u_logic/Idfpw6 [22],\u_logic/Idfpw6 [20]}),
.fci(\u_logic/add3_add4/c19 ),
.f({\u_logic/Nxkbx6 [22],\u_logic/Nxkbx6 [20]}),
.fco(\u_logic/add3_add4/c23 ),
.fx({\u_logic/Nxkbx6 [23],\u_logic/Nxkbx6 [21]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y3Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u23_al_u4798 (
.a({\u_logic/Qbfpw6 [25],\u_logic/Qbfpw6 [23]}),
.b({\u_logic/Qbfpw6 [26],\u_logic/Qbfpw6 [24]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [25],\u_logic/Idfpw6 [23]}),
.e({\u_logic/Idfpw6 [26],\u_logic/Idfpw6 [24]}),
.fci(\u_logic/add3_add4/c23 ),
.f({\u_logic/Nxkbx6 [26],\u_logic/Nxkbx6 [24]}),
.fco(\u_logic/add3_add4/c27 ),
.fx({\u_logic/Nxkbx6 [27],\u_logic/Nxkbx6 [25]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y3Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u27_al_u4799 (
.a({\u_logic/Qbfpw6 [29],\u_logic/Qbfpw6 [27]}),
.b({\u_logic/Qbfpw6 [30],\u_logic/Qbfpw6 [28]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [29],\u_logic/Idfpw6 [27]}),
.e({\u_logic/Idfpw6 [30],\u_logic/Idfpw6 [28]}),
.fci(\u_logic/add3_add4/c27 ),
.f({\u_logic/Nxkbx6 [30],\u_logic/Nxkbx6 [28]}),
.fco(\u_logic/add3_add4/c31 ),
.fx({\u_logic/Nxkbx6 [31],\u_logic/Nxkbx6 [29]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y4Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u31_al_u4800 (
.a({open_n174059,\u_logic/D5epw6 }),
.c(2'b00),
.d({open_n174064,\u_logic/Idfpw6 [31]}),
.fci(\u_logic/add3_add4/c31 ),
.f({open_n174081,\u_logic/Nxkbx6 [32]}),
.fx({open_n174083,\u_logic/Nxkbx6 [33]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y0Z1"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u3_al_u4793 (
.a({\u_logic/Qbfpw6 [5],\u_logic/Qbfpw6 [3]}),
.b({\u_logic/E2epw6 ,\u_logic/Qbfpw6 [4]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [5],\u_logic/Idfpw6 [3]}),
.e({\u_logic/Idfpw6 [6],\u_logic/Idfpw6 [4]}),
.fci(\u_logic/add3_add4/c3 ),
.f({\u_logic/Nxkbx6 [6],\u_logic/Nxkbx6 [4]}),
.fco(\u_logic/add3_add4/c7 ),
.fx({\u_logic/Nxkbx6 [7],\u_logic/Nxkbx6 [5]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y1Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'hA55A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/u7_al_u4794 (
.a({\u_logic/Q5phu6 ,\u_logic/S2epw6 }),
.b({\u_logic/Qbfpw6 [10],\u_logic/W4epw6 }),
.c(2'b00),
.d({\u_logic/Idfpw6 [9],\u_logic/Idfpw6 [7]}),
.e({\u_logic/Idfpw6 [10],\u_logic/Idfpw6 [8]}),
.fci(\u_logic/add3_add4/c7 ),
.f({\u_logic/Nxkbx6 [10],\u_logic/Nxkbx6 [8]}),
.fco(\u_logic/add3_add4/c11 ),
.fx({\u_logic/Nxkbx6 [11],\u_logic/Nxkbx6 [9]}));
EG_PHY_LSLICE #(
//.MACRO("u_logic/add3_add4/ucin_al_u4792"),
//.R_POSITION("X0Y0Z0"),
.DEMUX0("E"),
.DEMUX1("E"),
.INIT_LUTF0(16'h000A),
.INIT_LUTF1(16'hA55A),
.INIT_LUTG0(16'hC33C),
.INIT_LUTG1(16'hC33C),
.LSFMUX0("SUM"),
.LSFMUX1("SUM"),
.LSFXMUX0("SUM"),
.LSFXMUX1("SUM"),
.MODE("RIPPLE"))
\u_logic/add3_add4/ucin_al_u4792 (
.a({\u_logic/Qbfpw6 [1],\u_logic/Dqfhu6 }),
.b({\u_logic/Qbfpw6 [2],\u_logic/Qbfpw6 [0]}),
.c(2'b00),
.d({\u_logic/Idfpw6 [1],1'b1}),
.e({\u_logic/Idfpw6 [2],\u_logic/Idfpw6 [0]}),
.f({\u_logic/Nxkbx6 [2],open_n174139}),
.fco(\u_logic/add3_add4/c3 ),
.fx({\u_logic/Nxkbx6 [3],\u_logic/Nxkbx6 [1]}));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_0_0_ (
.a(\u_logic/Mifpw6 [17:0]),
.b(\u_logic/Tgfpw6 [17:0]),
.p({open_n174225,open_n174226,open_n174227,open_n174228,\u_logic/mult0_0_0_31 ,\u_logic/mult0_0_0_30 ,\u_logic/mult0_0_0_29 ,\u_logic/mult0_0_0_28 ,\u_logic/mult0_0_0_27 ,\u_logic/mult0_0_0_26 ,\u_logic/mult0_0_0_25 ,\u_logic/mult0_0_0_24 ,\u_logic/mult0_0_0_23 ,\u_logic/mult0_0_0_22 ,\u_logic/mult0_0_0_21 ,\u_logic/mult0_0_0_20 ,\u_logic/mult0_0_0_19 ,\u_logic/mult0_0_0_18 ,\u_logic/mult0_0_0_17 ,\u_logic/mult0_0_0_16 ,\u_logic/mult0_0_0_15 ,\u_logic/mult0_0_0_14 ,\u_logic/mult0_0_0_13 ,\u_logic/mult0_0_0_12 ,\u_logic/mult0_0_0_11 ,\u_logic/mult0_0_0_10 ,\u_logic/mult0_0_0_9 ,\u_logic/mult0_0_0_8 ,\u_logic/mult0_0_0_7 ,\u_logic/mult0_0_0_6 ,\u_logic/mult0_0_0_5 ,\u_logic/mult0_0_0_4 ,\u_logic/mult0_0_0_3 ,\u_logic/mult0_0_0_2 ,\u_logic/mult0_0_0_1 ,\u_logic/mult0_0_0_0 }));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_0_1_ (
.a(\u_logic/Mifpw6 [17:0]),
.b({4'b0000,\u_logic/Tgfpw6 [31:18]}),
.p({open_n174312,open_n174313,open_n174314,open_n174315,open_n174316,open_n174317,open_n174318,open_n174319,open_n174320,open_n174321,open_n174322,open_n174323,open_n174324,open_n174325,open_n174326,open_n174327,open_n174328,open_n174329,open_n174330,open_n174331,open_n174332,open_n174333,\u_logic/mult0_0_1_13 ,\u_logic/mult0_0_1_12 ,\u_logic/mult0_0_1_11 ,\u_logic/mult0_0_1_10 ,\u_logic/mult0_0_1_9 ,\u_logic/mult0_0_1_8 ,\u_logic/mult0_0_1_7 ,\u_logic/mult0_0_1_6 ,\u_logic/mult0_0_1_5 ,\u_logic/mult0_0_1_4 ,\u_logic/mult0_0_1_3 ,\u_logic/mult0_0_1_2 ,\u_logic/mult0_0_1_1 ,\u_logic/mult0_0_1_0 }));
EG_PHY_MULT18 #(
.INPUTREGA("DISABLE"),
.INPUTREGB("DISABLE"),
.MODE("MULT18X18C"),
.OUTPUTREG("DISABLE"),
.SIGNEDAMUX("0"),
.SIGNEDBMUX("0"))
\u_logic/mult0_1_0_ (
.a({4'b0000,\u_logic/Mifpw6 [31:18]}),
.b(\u_logic/Tgfpw6 [17:0]),
.p({open_n174417,open_n174418,open_n174419,open_n174420,open_n174421,open_n174422,open_n174423,open_n174424,open_n174425,open_n174426,open_n174427,open_n174428,open_n174429,open_n174430,open_n174431,open_n174432,open_n174433,open_n174434,open_n174435,open_n174436,open_n174437,open_n174438,\u_logic/mult0_1_0_13 ,\u_logic/mult0_1_0_12 ,\u_logic/mult0_1_0_11 ,\u_logic/mult0_1_0_10 ,\u_logic/mult0_1_0_9 ,\u_logic/mult0_1_0_8 ,\u_logic/mult0_1_0_7 ,\u_logic/mult0_1_0_6 ,\u_logic/mult0_1_0_5 ,\u_logic/mult0_1_0_4 ,\u_logic/mult0_1_0_3 ,\u_logic/mult0_1_0_2 ,\u_logic/mult0_1_0_1 ,\u_logic/mult0_1_0_0 }));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u0|sub0/ucin (
.a({\u_logic/N8rpw6 ,1'b0}),
.b({1'b1,open_n174439}),
.f({\u_logic/L6gpw6 [0],open_n174459}),
.fco(\u_logic/sub0/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u10|sub0/u9 (
.a({\u_logic/Vrtpw6 ,\u_logic/Uojbx6 }),
.b(2'b00),
.fci(\u_logic/sub0/c9 ),
.f(\u_logic/L6gpw6 [10:9]),
.fco(\u_logic/sub0/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u12|sub0/u11 (
.a({\u_logic/V0jpw6 ,\u_logic/Pt7ax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c11 ),
.f(\u_logic/L6gpw6 [12:11]),
.fco(\u_logic/sub0/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u14|sub0/u13 (
.a({\u_logic/Rfxax6 ,\u_logic/T9kpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c13 ),
.f(\u_logic/L6gpw6 [14:13]),
.fco(\u_logic/sub0/c15 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y4Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u16|sub0/u15 (
.a({\u_logic/Wlspw6 ,\u_logic/Nbxax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c15 ),
.f(\u_logic/L6gpw6 [16:15]),
.fco(\u_logic/sub0/c17 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y4Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u18|sub0/u17 (
.a({\u_logic/N0xpw6 ,\u_logic/Amupw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c17 ),
.f(\u_logic/L6gpw6 [18:17]),
.fco(\u_logic/sub0/c19 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y5Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u20|sub0/u19 (
.a({\u_logic/Z8jpw6 ,\u_logic/Nr7ax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c19 ),
.f(\u_logic/L6gpw6 [20:19]),
.fco(\u_logic/sub0/c21 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y5Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u22|sub0/u21 (
.a({\u_logic/F9gbx6 ,\u_logic/Tjkpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c21 ),
.f(\u_logic/L6gpw6 [22:21]),
.fco(\u_logic/sub0/c23 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y6Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u23_al_u4818 (
.a({open_n174618,\u_logic/Coupw6 }),
.b({open_n174619,1'b0}),
.fci(\u_logic/sub0/c23 ),
.f({open_n174638,\u_logic/L6gpw6 [23]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u2|sub0/u1 (
.a({\u_logic/Kzabx6 ,\u_logic/Oarpw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c1 ),
.f(\u_logic/L6gpw6 [2:1]),
.fco(\u_logic/sub0/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u4|sub0/u3 (
.a({\u_logic/Johbx6 ,\u_logic/P0ibx6 }),
.b(2'b00),
.fci(\u_logic/sub0/c3 ),
.f(\u_logic/L6gpw6 [4:3]),
.fco(\u_logic/sub0/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u6|sub0/u5 (
.a({\u_logic/Rv7ax6 ,\u_logic/Y7opw6 }),
.b(2'b00),
.fci(\u_logic/sub0/c5 ),
.f(\u_logic/L6gpw6 [6:5]),
.fco(\u_logic/sub0/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub0/u0|sub0/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub0/u8|sub0/u7 (
.a({\u_logic/Ss0qw6 ,\u_logic/Ujxax6 }),
.b(2'b00),
.fci(\u_logic/sub0/c7 ),
.f(\u_logic/L6gpw6 [8:7]),
.fco(\u_logic/sub0/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("SUB_CARRY"),
.INIT_LUT0(16'b0000000000000101),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u0|sub1/ucin (
.a({\u_logic/X5phu6 ,1'b0}),
.b({1'b1,open_n174732}),
.fco(\u_logic/sub1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u2|sub1/u1 (
.a(\u_logic/Vnfpw6 [1:0]),
.b(2'b00),
.fci(\u_logic/sub1/c1 ),
.f(\u_logic/Xlfpw6 [2:1]),
.fco(\u_logic/sub1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u4|sub1/u3 (
.a(\u_logic/Vnfpw6 [3:2]),
.b(2'b00),
.fci(\u_logic/sub1/c3 ),
.f(\u_logic/Xlfpw6 [4:3]),
.fco(\u_logic/sub1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u6|sub1/u5 (
.a(\u_logic/Vnfpw6 [5:4]),
.b(2'b00),
.fci(\u_logic/sub1/c5 ),
.f(\u_logic/Xlfpw6 [6:5]),
.fco(\u_logic/sub1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/sub1/u0|sub1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("SUB"),
.INIT_LUT0(16'b1001100110011010),
.INIT_LUT1(16'b1001100110011010),
.MODE("RIPPLE"))
\u_logic/sub1/u8|sub1/u7 (
.a(\u_logic/Vnfpw6 [7:6]),
.b(2'b00),
.fci(\u_logic/sub1/c7 ),
.f(\u_logic/Xlfpw6 [8:7]));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u0|u1/ucin (
.a({\u_logic/mult0_1_0_0 ,1'b0}),
.b({\u_logic/mult0_0_1_0 ,open_n174847}),
.f({\u_logic/n135 [0],open_n174867}),
.fco(\u_logic/u1/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u10|u1/u9 (
.a({\u_logic/mult0_1_0_10 ,\u_logic/mult0_1_0_9 }),
.b({\u_logic/mult0_0_1_10 ,\u_logic/mult0_0_1_9 }),
.fci(\u_logic/u1/c9 ),
.f(\u_logic/n135 [10:9]),
.fco(\u_logic/u1/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u12|u1/u11 (
.a({\u_logic/mult0_1_0_12 ,\u_logic/mult0_1_0_11 }),
.b({\u_logic/mult0_0_1_12 ,\u_logic/mult0_0_1_11 }),
.fci(\u_logic/u1/c11 ),
.f(\u_logic/n135 [12:11]),
.fco(\u_logic/u1/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u13_al_u4819 (
.a({open_n174916,\u_logic/mult0_1_0_13 }),
.b({open_n174917,\u_logic/mult0_0_1_13 }),
.fci(\u_logic/u1/c13 ),
.f({open_n174936,\u_logic/n135 [13]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u2|u1/u1 (
.a({\u_logic/mult0_1_0_2 ,\u_logic/mult0_1_0_1 }),
.b({\u_logic/mult0_0_1_2 ,\u_logic/mult0_0_1_1 }),
.fci(\u_logic/u1/c1 ),
.f(\u_logic/n135 [2:1]),
.fco(\u_logic/u1/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u4|u1/u3 (
.a({\u_logic/mult0_1_0_4 ,\u_logic/mult0_1_0_3 }),
.b({\u_logic/mult0_0_1_4 ,\u_logic/mult0_0_1_3 }),
.fci(\u_logic/u1/c3 ),
.f(\u_logic/n135 [4:3]),
.fco(\u_logic/u1/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u6|u1/u5 (
.a({\u_logic/mult0_1_0_6 ,\u_logic/mult0_1_0_5 }),
.b({\u_logic/mult0_0_1_6 ,\u_logic/mult0_0_1_5 }),
.fci(\u_logic/u1/c5 ),
.f(\u_logic/n135 [6:5]),
.fco(\u_logic/u1/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u1/u0|u1/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u1/u8|u1/u7 (
.a({\u_logic/mult0_1_0_8 ,\u_logic/mult0_1_0_7 }),
.b({\u_logic/mult0_0_1_8 ,\u_logic/mult0_0_1_7 }),
.fci(\u_logic/u1/c7 ),
.f(\u_logic/n135 [8:7]),
.fco(\u_logic/u1/c9 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y0Z0"),
.ALUTYPE("ADD_CARRY"),
.INIT_LUT0(16'b0000000000001010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u0|u2/ucin (
.a({\u_logic/mult0_0_0_18 ,1'b0}),
.b({\u_logic/n135 [0],open_n175030}),
.f({\u_logic/n159 [0],open_n175050}),
.fco(\u_logic/u2/c1 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y2Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u10|u2/u9 (
.a({\u_logic/mult0_0_0_28 ,\u_logic/mult0_0_0_27 }),
.b(\u_logic/n135 [10:9]),
.fci(\u_logic/u2/c9 ),
.f(\u_logic/n159 [10:9]),
.fco(\u_logic/u2/c11 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y3Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u12|u2/u11 (
.a({\u_logic/mult0_0_0_30 ,\u_logic/mult0_0_0_29 }),
.b(\u_logic/n135 [12:11]),
.fci(\u_logic/u2/c11 ),
.f(\u_logic/n159 [12:11]),
.fco(\u_logic/u2/c13 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y3Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u13_al_u4820 (
.a({open_n175099,\u_logic/mult0_0_0_31 }),
.b({open_n175100,\u_logic/n135 [13]}),
.fci(\u_logic/u2/c13 ),
.f({open_n175119,\u_logic/n159 [13]}));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y0Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u2|u2/u1 (
.a({\u_logic/mult0_0_0_20 ,\u_logic/mult0_0_0_19 }),
.b(\u_logic/n135 [2:1]),
.fci(\u_logic/u2/c1 ),
.f(\u_logic/n159 [2:1]),
.fco(\u_logic/u2/c3 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y1Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u4|u2/u3 (
.a({\u_logic/mult0_0_0_22 ,\u_logic/mult0_0_0_21 }),
.b(\u_logic/n135 [4:3]),
.fci(\u_logic/u2/c3 ),
.f(\u_logic/n159 [4:3]),
.fco(\u_logic/u2/c5 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y1Z1"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u6|u2/u5 (
.a({\u_logic/mult0_0_0_24 ,\u_logic/mult0_0_0_23 }),
.b(\u_logic/n135 [6:5]),
.fci(\u_logic/u2/c5 ),
.f(\u_logic/n159 [6:5]),
.fco(\u_logic/u2/c7 ));
EG_PHY_MSLICE #(
//.MACRO("u_logic/u2/u0|u2/ucin"),
//.R_POSITION("X0Y2Z0"),
.ALUTYPE("ADD"),
.INIT_LUT0(16'b0110011001101010),
.INIT_LUT1(16'b0110011001101010),
.MODE("RIPPLE"))
\u_logic/u2/u8|u2/u7 (
.a({\u_logic/mult0_0_0_26 ,\u_logic/mult0_0_0_25 }),
.b(\u_logic/n135 [8:7]),
.fci(\u_logic/u2/c7 ),
.f(\u_logic/n159 [8:7]),
.fco(\u_logic/u2/c9 ));
endmodule