From 192393aab3e9873fd8f60c2d7ff4884a21f454b7 Mon Sep 17 00:00:00 2001 From: WangXuan95 <629708558@qq.com> Date: Wed, 6 Mar 2019 18:39:59 +0800 Subject: [PATCH] =?UTF-8?q?=E6=B7=BB=E5=8A=A0=E4=B8=80=E4=BA=9B=E6=B1=87?= =?UTF-8?q?=E7=BC=96=E6=B5=8B=E8=AF=95=E6=A0=B7=E4=BE=8B?= MIME-Version: 1.0 Content-Type: text/plain; charset=UTF-8 Content-Transfer-Encoding: 8bit --- hardware/ModelSim/USTCRVSoC.mpf | 52 +++--- hardware/ModelSim/vsim.wlf | Bin 0 -> 598016 bytes hardware/ModelSim/work/_info | 66 ++++---- .../DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf | 3 + .../output_files/DE0Nano_USTCRVSoC.jdi | 2 +- .../output_files/DE0Nano_USTCRVSoC.sof | Bin 703975 -> 703975 bytes hardware/RTL/instr_rom.sv | 101 +++++++++--- hardware/RTL/soc_top_tb.sv | 2 +- .../wt/gui_handlers.wdf | 16 +- .../wt/java_command_handlers.wdf | 12 +- .../USTCRVSoC-nexys4.cache/wt/project.wpc | 2 +- .../USTCRVSoC-nexys4.cache/wt/webtalk_pa.xml | 30 ++-- .../Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou | 18 +- .../USTCRVSoC-nexys4/vivado_16476.backup.jou | 37 +++++ .../asm-code/{ => basic-test}/load_store.S | 0 .../asm-code/{ => basic-test}/test_auipc.S | 0 .../asm-code/calculation-test/Fibonacci.S | 62 +++++++ .../asm-code/calculation-test/Number2Ascii.S | 71 ++++++++ .../asm-code/calculation-test/QuickSort.S | 156 ++++++++++++++++++ software/asm-code/fibonacci_recursive.S | 59 ------- software/asm-code/fibonacci_recursive.sv | 61 ------- software/asm-code/{ => io-test}/uart_print.S | 0 software/asm-code/{ => io-test}/vga_hello.S | 0 23 files changed, 507 insertions(+), 243 deletions(-) create mode 100644 hardware/ModelSim/vsim.wlf create mode 100644 hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado_16476.backup.jou rename software/asm-code/{ => basic-test}/load_store.S (100%) rename software/asm-code/{ => basic-test}/test_auipc.S (100%) create mode 100644 software/asm-code/calculation-test/Fibonacci.S create mode 100644 software/asm-code/calculation-test/Number2Ascii.S create mode 100644 software/asm-code/calculation-test/QuickSort.S delete mode 100644 software/asm-code/fibonacci_recursive.S delete mode 100644 software/asm-code/fibonacci_recursive.sv rename software/asm-code/{ => io-test}/uart_print.S (100%) rename software/asm-code/{ => io-test}/vga_hello.S (100%) diff --git a/hardware/ModelSim/USTCRVSoC.mpf b/hardware/ModelSim/USTCRVSoC.mpf index c1baacf..e1ddddc 100644 --- a/hardware/ModelSim/USTCRVSoC.mpf +++ b/hardware/ModelSim/USTCRVSoC.mpf @@ -449,49 +449,49 @@ Project_DefaultLib = work Project_SortMethod = unused Project_Files_Count = 22 Project_File_0 = ../RTL/dual_read_port_ram_32x32.sv -Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551597268 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 21 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551597268 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 21 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_1 = ../RTL/vga_char_86x32.sv -Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1551536388 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 20 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1551536388 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 20 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_2 = ../RTL/ram128B.sv -Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1551597237 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 19 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1551597237 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 19 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_3 = ../RTL/uart_rx.sv -Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1549876350 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 14 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_3 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1549876350 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 14 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_4 = ../RTL/instr_rom.sv -Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1551094921 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 6 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_4 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1551863110 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 6 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_5 = ../RTL/video_ram.sv -Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551536461 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_5 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551536461 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 17 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_6 = ../RTL/soc_top.sv -Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1551587626 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 12 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_6 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1551587626 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 12 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_7 = ../RTL/core_ex_branch_judge.sv -Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1549876350 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_7 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1549876350 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_8 = ../RTL/ram.sv -Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551597245 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 10 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_8 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551597245 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 10 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_9 = ../RTL/ram_bus_wrapper.sv -Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1550846066 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 11 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_9 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1550846066 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 11 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_10 = ../RTL/core_bus_wrapper.sv -Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551591033 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_10 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551591033 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_11 = ../RTL/core_alu.sv -Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551588536 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_11 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551588536 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_12 = ../RTL/char8x16_rom.sv -Project_File_P_12 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1551539060 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 18 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_12 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551539060 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 18 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_13 = ../RTL/core_top.sv -Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1551597558 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_13 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1551597558 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 5 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_14 = ../RTL/soc_top_tb.sv -Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551596984 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 13 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_P_14 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551861246 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 13 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_15 = ../RTL/user_uart_tx.sv -Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551512538 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 16 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_16 = ../RTL/core_regfile.sv -Project_File_P_16 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551587650 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_17 = ../RTL/uart_tx_line.sv -Project_File_P_17 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1551092170 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 15 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_15 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551512538 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 16 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_16 = ../RTL/uart_tx_line.sv +Project_File_P_16 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1551092170 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 15 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_17 = ../RTL/core_regfile.sv +Project_File_P_17 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551587650 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0 Project_File_18 = ../RTL/isp_uart.sv -Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1551102643 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_18 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1551102643 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 7 dont_compile 0 cover_expr 0 cover_stmt 0 Project_File_19 = ../RTL/core_id_stage.sv -Project_File_P_19 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1551588579 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0 -Project_File_20 = ../RTL/naive_bus_router.sv -Project_File_P_20 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1549876350 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 9 dont_compile 0 cover_expr 0 cover_stmt 0 -Project_File_21 = ../RTL/naive_bus.sv -Project_File_P_21 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1549876350 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 8 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_P_19 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} cover_branch 0 cover_fsm 0 last_compile 1551588579 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0 +Project_File_20 = ../RTL/naive_bus.sv +Project_File_P_20 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1549876350 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 8 dont_compile 0 cover_expr 0 cover_stmt 0 +Project_File_21 = ../RTL/naive_bus_router.sv +Project_File_P_21 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1549876350 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 9 dont_compile 0 cover_expr 0 cover_stmt 0 Project_Sim_Count = 0 Project_Folder_Count = 0 Echo_Compile_Output = 0 diff --git a/hardware/ModelSim/vsim.wlf b/hardware/ModelSim/vsim.wlf new file mode 100644 index 0000000000000000000000000000000000000000..56804ac5552c932ebf4a96c50bbc8c25c84bc2ef GIT binary patch literal 598016 zcmeFa51drhl`eWtbyal%&3}xT(6J6Q2{EWB#5frZBx2GgIw7Vr!_7DW0z|yi3Qw9y zGUmzL@aDF2nHiEebA5@)aA)}4=gj5JI5$jU6lqW}sAy18QCsY2YtW#eqM{;o*Y~Y` zs`jZmr>ncGfHQjf2i4W5cAc~L+UxuF+H0-7_t-_wx1F;c$7zuN__a@eJ45xiyI6i_ z&9$o>XWV%W*Ia)2$k`Wu<%?}!nsfRE=UjBomp=1l^ErQAcHLipBXicYGtOF+ang?C zHsIB9@^~>1!Q12~kw7AWL;{Hf5(y*{NFqkbG=z^qvK?}*|RcUDm{6%>t2w`HhN3ur@b+0_lxf0hJVO4daD{2Pbp-bRD*kC z#&uF-rn#p%f3xnQ%-Qa@oc2~{bb5R`n`_wT-kVK5*m%~K%vslG+B5D~THHSxlKIQV z^rw0uGwp&;UACaTW3hAfg3HdDe#Tjs<*!`2 zXmPu&=%2S>`Qpp2x#B8k`q>NGmoC2YGB-8jjKwP#pT8=VIeppEt2>-V=d82Oo_@~x zPHN=o%R3e=UEy?m^NRMxS1q{Gb-a&X@ToJu>MUP;_3|@iPXXu5&n#OudqKwn=gf&EVz1+bIpRQ7oT})+r`eA%a<;k*Rgcj zta%;ZIAi&@+!?L8p^alwUu?~$-3u}&ebGIfTJn(d&GfK;NL@Q7J8o2Nyt5|r=kB&hlmRRxG%>V_r+g%Jlfg{0|z_%iS-h z&T&p2@~z7k%v-l)`M0lHn4LPQVaV7A8>W7%aq9QeLuR@EZ;Shh^iR^O+=la)U9qxd zaeMj}_ru?B7@BiNyTe=D|L*?dn5^Tu|LFXWA^*)iz3IQr8{V1D4e7k%s^w!kQvZ3_ zUo>9SbkmSa(hU!}olSo;(YdkvYQYuXT0CmrH&!gqtQhg<&ain`E?7S5 zfmzG{c-o(&o}WE>OlnB><3C7$clgGkA8%AJ>0YrKB+HKWI!+VrE< z*|Ua87tdO-XwlV8Kl{PZza853fgg1?xf2(SUvMZhaozCjHCLy1P8yoodFcq(OYa<( z^+sm?$+;kXdu~|fv!fbcaPsMY?rh2|9_>!-NdNPkrXjiEckDiE8F|NpV~5{y zeP+YB;Tyh|x&P2fBY%A810#RjakBg$Kk~=BPm#YrDE~jy^y4p|ed>Su(u9#e{(Q6i z|M18kUvlcmAAcz?|2_G8qWqsE*IG{f$!CQRgF>E512z*>@IBzHaSDb5|@%E&k};ANa_p zr(BwGCZ%T&$>u*c#C7slFF$ME;xAlv<_#ZNF#EKImb23>AN{?jE;{Rp(+{1oxb@@1 z(qEf;#YOIv-=8vj_~gw~8$Pn{?0MObj6Qv&bMvCv%R8oh`trup{+~03I;YLLYVpdB zrcYlo;`DQJXJ(x_|9Qdn=l^2le;s-H+_lph8@%E3+R_tqXAO7$_8V7^T5#3ECFf51 z_T-VD&3UzUU_x{`C4GKN`_>&gc*3mo8g4XVXRFK6ud; z4MQh>`oT|*o;mBAE3R7D@yW)PFJ5%`qRf~JPtJbr-0x>UF+KgYi>A(QSUh(4klgQ$ z$i1>`p)+q;`-Z>oR`}_^4=jQXRbPL_&+%l zN8GzQJLUW#*(o=idUm#@_0#SpBMw|RKJ(oAneLPk2gYQl%=#zy%Oeg*q0bC+c61Cs zu=uN%@H%8ovF~3*DBJ^4DiuK9_Bo zaq`Fs-bo`rpUtiNMALK4-brV*4$a+p{rKOX^Nr<=kB!MrzUZNmxqTnGX!M6q`Y&Jj z!H7{~r%X8Mzx?&7QsXbW-Ker(S8A?{ZiJ~nS@+tu?vvhlOo>0fS2|JU!dEcCK} zeCe?CA2&DskCyKb|JGMhf8ZVMxa`j-WMYyRs) z+ZRk5m0vRNs-??X{$%vb-#dNyxOu7ElqZ&VENDM{=Bn(ZOXq*)H;t3L1-VoH;r#69 zzwywJp^s(TFIYJInjwGWEL?ikH`}jRc=|P~vcGIuH10FkFZD2$AB;+Wf5KN+wvN7ZWqRC?{_xtS^n|~g^2%rKS%1mDuDN3N z(EtCYKR@l}%%|JlNiABjp#3u|?_aQJ-uG{J=3Twu%6Zez?p!(j>^~XSlF5H?-ZwAG z|55s%$9Vtnz5B*z=KNjy-%k3w8Gq3|Z{kT#eyGzj`n&s1`SRZk89naLPQB>oLw`_k zoH1*fZ&>@y;op8LwQ=pj#UEImnK8?8h7U&;b@^ z!n>#T6y!(#Ku$n*f?jr<^H7BUr@Bv;{rhb3v$A(1*9v^vETW3d;fhyWf7D{ z=~{$bPL=DYQdSl3s(zQfQzqxWuU#ikyN=%|y=# z2J2IL*X+(L6z@|iS*msMop%`D_TIqj%u9>kIZ}LAESdiwd-AUJ@14iJJW4gEW@gbg zyq`H1ulSi+{N7AC7Ds<(zFK@&`ZVlau2`-l`*(}iO6@7NF{y-c#eRLgSng$c$Fd%u)|~dG!nAM1E#IUHrS5rC3L;A?xn4?j!kB>07~*whMik6D&nO&Qd;iGA*Hd>P7qCaTe=PEJ}-rjsqszdLzX{<(bB z_jsLL_*34k1>a*op~muKUeQ}kY>CUZyE9XMJ!Yo;cWkf2QtWY&FWQSczJ)U0n`kfl zElktybn>Ym^3x`^d{q9C$MdfIds6>-C$U0MY+n@{w0g}MQ8Rqm;{$r7fIe6iH{+ed z?~qVU*J3Kuhc%eQ>k4d#$^!b)(kDr~Uy;9j1xL4y8Z;@464fgsh5QQ9vvl5d^U%N2KkwIk zQi&{ll>=E!GBgI6jbj@|8M)$$);vWLEdgx1eByYYq7(tMl%;l#_cX4T1__VmK%Y7> zs*E(oiMCDTGbr&$!Wd^1eFV$OQ>9iw?l`tU^}w;n!)1-N-$61)gA9aHq>OPiS`-0K zIin0*#<7pbQ!B<(EAR<)XFPSlWxqRo@33r!vK(u!Q{T(<;S{3}4hc{1a8ekd{8h^C z&Ek9ShkQH$agwK_pH>^Tr#8(slm1( z{B4L$pn@8C@cnoyt4q?#c(mVnT?yDFP00+!gDfyYLz-auG?m}+HuAbkNqa(HKT2iC z`&2(5IG)Py5Qxwdmn}tqOyvCm#0il=oDc!T2^J7lA}Q%#)LlUc%g<$NjlLS)b6J}S zMgvPQ%u}=S1YSM>!~CFO=!L+rITVJj_amuqoTby38uwg3q`x}JL5@x zm8imfAhW5InLZ0%-)R>1-ov ztGztc=L2agzE?iqo5r?IWm`XN_3(7|RF)&+G~Ritkp%j@iCUGjW`0IHOrOKNM@^yo zj`yf^`T@3Y8f!X(Ju?Hk%}>xOhqar*d7f+J0u9hHa4GAjEmC_5o{0?aKTT%+c-pk) zMrrFX-b)c8^L`mA@P3Z>5?-5!vgDlRVIqxZP*Q&5m)q>NICnUCH{{>s!a^xAhjNl4PQo?HS%-qmtoY646o>#xh z`hZ+>Jk`dntm}AQfgU>akSWr#iZs^SmL|&0r8I#_)b(qW=3GkiL%a*FwuMO0{c4En ziA*OSy$^S#1a*?qW|$e;mkmKIp5Rb|bBSK%HTQjY;DFkO7@_ zj2nbyy#}*v@-jauOK@YBO%nevM_Cg8PvZX?bqBtZ_)$(CcYe+0aY6H9$ap!^OBAA$a*!@b^q`pXgksS^C zTl>;?`}*o+01!iuYJ| z2I*i$K(hWHdGpEo|787tvi@IykgWd~86@lfbz_fY{l6eWa~ovs9y3al_5Tu+EnB|L7ezlK4ODPiq&DB>rEv%SN*PU)De;>;EZ%Wc|P6BCgIqgh4g_pGo5X0wNJd68}%u|5xZif201Ta-vB|{69vq-(&%NB7r3S zpTz%#my`HEg^-aCMWU# zB>tc5{~vN5PZIyf)+fpS|H=OU!2@oBr)(tq|HICty=ey6&2pi%@4O>>L2yUoB>pdX zM6&;NX>YnD{%<6Z#Q&4{e`z=NB>oTnOyd8glQxq5|N9sJ$Br->|8JD||B+LB&EMH( zoTj-uIQHbPoRRlaZtOSS&!pUCJbhy>C(DgIU*NKevsmWxgaiLX1hoKg{z#Um66h%i zdj3J1Ip?6woV9VkvpDrLZ=#gd6H6{*hQoZGC6x2J<@$V{bAVDFOTiMK&r>$^oCiIG z&>T7!);i{u&1WkvV=FRz)fGVVd7i{&v{!Om6V%AlBkc24OuGUnUEoBS)IWSrYA&ti zX$|vP^F>l~TtUqjvF0e{@fvFGpB+??w;8rV&#}~FCjDdd^n{E)b4KM1Io!jMlUQ)h z=zKY42&sx;zFYqN#mdz zdO*#hu!EsmoS%drf8u=)H!x?~V5jP(O>_I!ct^fr#|*Ox3xpb#{!N zHnz~z6X!m;-r4dRCem^%TLdNAptXY-mzX0)KTnPMUpyCON%IiN=EsRWJR8Pols8*mRb`h*DM*o13|j@I-6PIuut=+& z62+lL&*9r5#j)~h8Ks4ipOC*&-hR1T&Ktw`igcA0p09^e4wl4}!f|*vq}wiX1tddB zOilq!Io>7|i=eD!72{Gn@vOX#u7gl8F6oIvzSu!-D&!?aDtm*aAkrlyv>aK$@p^cD z0$)`HfHP-iub&`PL2d0bKkk24MsE;viQbll(Hj*>PnmMPau!==*nyC;4xB{`Qyh79 z*F`9tbf951J>_bdvf50rn(iK_=QN>BMP_rB&s~TUqfH60o1TT$(Kox{d)8QL^O}Dq z7NthQ=s&NCgaW+QVR%j1^BVG6M;Wh;B4AflLbS!bPzMe-Zw-m^e)3W5y4Yb+-71Uf z)QTAupgQPJhmzb(ketf1M0Cpx(JdpdRbDI}4Gk_xmCZeWISOrX|zVhm{t&sl@D4u||cG zo01st=#t{}nBopnoF0Je(2xn9?)L@O!a^tsPR~ZqRbaG32oS>n2vAR!106w@=g4m# z51_u4VJH<{a)q2GAMHU2qd7RgOxvq+(34-sv5jeC7pq*MKPra~(UjZeFNZDdp#8Cu zR)y>BE!_3JAM!NO;k=?caxG8)x)zouKgT(0uIKS}tGr&zLGC*0lMY^uv@+CQR@xnF za7aw@Y(ETxt8ki{FxT@$(ASBsRmHRE!~m4fj_|XNN_3I8R#^jJ2cIEU3BQG*Z>$-Z zAcO0;N9?s6mWT7)VwKrSgPfH_PMU4i6Vx0r3l@*~8SOE(iLPZESMzi^9r{+&{zfUt zBTc(_wHfr$LVx}MGqhO;eI8MbAxJ;_3*nnr-#}&?tEI=mk{GD*3+tD@D8E;mkr^0rym#cq4zG4rjL)k%GU@c9*^JNJ zz>BiER(c7H<5KevWxJ6cY1e7d4Cd+rLj7RcLx8jp%m7Jjfz#yRbR_d5e6+-Uio~a zcP8qivt`4HkXDTbtw>d6juB){v_VU}tckE>*H$29jcN@?(FuVwZ?OqKv(7SO4(pW*m6P%{Iw|)aK>4zm4J2B!UDrlpW^Kd_e67I@bikj1 zmrg@hi#3Rmwbka~v^q=k@U_&I8%~I&D)IH_zkq}MsSqquT6?x0bisl#;Y5I=PPYe$P!+u z8^aJ6utRUO>`-kZY$`ktEHI)^JM;$8j*=aEgFca(;)>mMLBrV6slJZt#Z9GCeVuh2 zp->9esN;Ej-72r^$g}FX)LEh0q3YESw?l6Voa*~iV&^8?4wd`r9dD|{4#(}AEIafj z%RW=TZkm>%~i`tT9^3;GAk$BhTZtxv5!g_0HxIv_+iekjTb z8mpoFEN`)!cz@W+dX~42qdGHKS1}&m#PLI|XFKi?w4UWJ-t|$~RK#Tm`$8=#%=%n@ z!Ya}d=m?n~R9aQ2rSGf9dzm*1F45O(sI3{!A2QBgQ&MY++G?NZt+)@dyQs5k40c>T z3HCI`MERk%`qq_N8kke8p@h_uUS~?GJt_i_enZ_FEuWCleWTZDB7B{clIKZZ;uTL$ z3)*2dLEnAr3--oZN)Df3#-TGSJF-!m4Hb-Ri1f5=qDha*vn`EkasD<<%deUprB*1| zPc=qmnU*%9rI3wpGeO4dL@L{P4fBq5A{%^9ZK@t#ukinQeOgdue!qU$PWi>_@~%_) zkE~qHrc%73|9r~|byu4z%^pX4FpAhVRXQxTQM9R)mEKD>Rk|)VRXWn98fW?W()9B| z-x$z%pW9UG1IrjT0*4`pQSRrf#imjQIes>k_H1lyDv>A{k262VofU}xbNu^{WpCw- z-=#;|zrqvE%) zMK@c%tqqv@vQ)WmYXj}s=vW)#Yw9OJ9PVc0)lx6Odin`&Zf=x0?lUM?<~6z5@?fdI z&1I}5Y9Zszl+1c#C58F1F!HQ7^ZiHPQGb=s$~Uvs8|c$vd-*xW^bPcme3zdiUME}O z7~d3_eJQV~hr-pEa?vls_KIz=j%8Z?_KNQb@xE3+PGar=U(+VOU&NBF%|pcxg_4V! zn>cDNY91aTt3~uME%MaEq;ugc?^o_y`Yvsj4V2Z*W*+ypJj0bJi!X~5qkZc+Ylg%i zx0`9@Y?2I6l!cWI`ybz!BJb66BJpYF_?;pb@|4$-Fwc3FxK$pWbKb{}Tfx%GvnQ%$ z4^rnSN41q5G7$V7niJSC_jB@T!`y$SlIVDex&qT3CkZ$o){ zXAslf0BN&)N!K0v;O!jadZh<$urR%ZGU(gG*Us3V%BeCja87{fp_3bo%r;PFeo7!b zp~$y}ww5#ZEtHDpPTXRAqsW~A*TeI63$dOht2BpYTIUyUkR|pg*n$dJuJ&fa0ai zX)(mE5KtJaa%;_>lV_&9$K}-?Xr=tVv0-Dt zk&OGMC}TUhP}3QLhVMq1Qls{J3tQc>OpR6pcO!}LR^H=Q^cp`$uiZ*Iv(uDPR5lF{ zrKmS!wI{TFn#>?%@eHs2oj8e+sAH!kp|9vvD)p75G~^~9eS!}>Szc9mnztY+ta7^} zM4}%JLf2~q;#SRJ;K<-xrBGBIfj1hgZIt+s+i=8)6Y=*__dp=%!jy>Il}#A5J14LV z-WRR3A+0KW$wNlK?ZMZc62~}H+zpa`iY+L8)nJIFXI z*D*c>avpdMQUy6U9wZ+&GGKh3K@XBIf34SMxnAlis3QocJm+Ck08X1C;j}3NPMa#= zG()riwMKhvP$8XK+DWZKdr_NB0F}Hif=b?_7dH`9TL`Kx0Z?rTfC||a{e{y{L*NwB z&-oQc@@@kY@3(jZ!_$@$o}?XWrIqls$>3=d@pL#GPx3wBW`?o4!jSZD21zQTZFNKv z?hGUywvaTeUq~88!n!X6Nn0Y2w58uj+E#(2E!3pL5lA{5f+Spnp7o8S+0-WGuy71* z3B}O10EV_jVrW|ghPDMTB*uf(G#ovL4SEi<9@~hX!xnn9=e7|&JBXeg0rcz`G!->xEu<=MJ9t%@5&(u*FXSKK!MXJ*N?$w zM<`Hs27t0N5-2+(fU+|H6hIW_2kILRmqP|Fhgh4Pgv%idF4}WD371`j%dP-ib`2UX z{}KY1kiN~YI7GPY8X#PDS#UX20hgTyE;|XAgAs5!M4;sQ7-<$5=%|2p*AY6nGoW+O zf{w1Mt!A@nR`8=C(AgCMon8Hg&h856>>_jy2B4!OI@Io92!L=6(24?(jxMm-`U9g~ zp)lGVfYI(q810UL(e4NsDIrwaYzGaD4zf1838RAoqr0-42$^2oO&IMVjP?*l_#6|V zJpmZOMz-_hn);Xs?WbL_B?L?%y`5iikYL(V0VZ)V_c0CHV}a>l1(}$_o3yxZ^SfWBgKT#(E$+*Kw78WlGlym76w~773?MCT4m~DwjMGvTUl$^w zH&${|YNy5h8T+BT0)%^1T?6s|`GNSqP7s^QCROMye}sd3Q4{A@_UU4iEhR^6-y_Mv zQo|jTbDQ^~eAhVCa25T+GUylaLa^j`d8^5cI<^nvOh3ar_{#%z;tKUIcU-nHl{&Kv z>YjPHfEmALE9z*Z3!CS1lu%!0 z8)-xZ4L>^0I)N%?Ouj!lw%ZU(H$~Q_s;Yc1BFirP840AHr(=YAF0btN;0!)qn_Vc{ z?9DFHA)8%jV�}b_E)2M|38vA=KviWRuINwNaa0C=Y1ThbFP9JKl7h5x6c-0+as- z+U$a^u-f;v08Y1y%ys!0&??Fq>5=V~f|Utpy01m5ECIBC`&y*Ezm$9SXA6rI0g-HB z0ffYG3k%SCvV{c(Jg|GKVfV@{EOdRh=6(9{DjD(wbckp99@~L#VIgxTc`{7G`emq_ zu}o}jgjxFDw!+P)oI!0{;isxsM#!M&YIT(2WXe=;@Dn)a5HlNW+IoBFZaj6}u7axI zr>B=M{8J0bPf|BqRe0E{qCZLM%V;ybH@2uKC8-Z`LkceZvy;@LH>1!bb%hxy>;1YJ zh0@fhP?}OY0?KT%>X5RoY~i4ejDIBtZOZxruYmmggq`Q)EoLXsXoMr};8XNw6qqjz zegg_uFo#;gxIT6pP{4^Xm`x`nC0*uB&vPdI^$;gU)FuLtvT;N&i+gKS`)^`Qx$t#Qgiz@>D z3w0eWVVNaG|HYC4_%D`l#<8TreqvYG^JZt0J7@we2n>8QSd5%4M3a0Vsh>FQ-X(?a3F6GN%u+QYKqIBB( zSjx8~#Hor@r1k+W_24LVrUqXpCkJX&EHmVlDxDfk!vkmRK6z@hN4co@N~UE zbW$Rn-b`%6tWq<>vC|Tb&Ju0X#n)+>J*)ZLzB9r*Ia6Itat+^Mi*n`Qk&{7!>3hZX zTPI}yA+~cMy9_~Uokq9cmiL;+on!xM9`|Z9RIa8Lb$Z)a3Z}a5&XyBX1Hc8^^We7d zy*xCU`(EFb>`Nrlg2~$d9W>ty`x5Pa->>f)=vaLN8E|+%$7-M%PjD;N2b{>2UCIl? z`y8wL+_mU^wX!P^|6dh||C?1`Ij^+pOGn6L)fZNM+1A2(V=Y9j`togtDb~1^tolNP z2cwdmF5zzkkBNM3tG-$zQ&KYT>6Kn!mImE{%Gf4!H>DY8$Br8r&KCbqijOMJ7% zRbT3y(q&91cGZ{c&0XuNFW;jC)eT$qwV(Et%e6-(e+H~6%mZDS&H4$Dp`nE1u3A*qQYxr~&OQU3eT0rK`PyeeM|KSr7zRM7JE z#r0qE#eGSnz6g|7!ll>1rI)qQC11T3T(swOMbimd^3_}OlCRzhxCEDc^+dp>mm^KO zkCA4YBpi{1hj~5qf@yb9JYe^Qs02gTK9=)1r z{xwOW!o)`-Gi{YQ0WHpU*@~l}|0Wma@oD4u4dfWL(IY#EHCb#Yo;=VsSKd*rfKeh~7h8FEHzwygIJE5B`bFeF$ z++X)v)6_`W8Bd;7^sdXNx}ypltE#i=X4F>B<=};u%hRN+N~af(l6JV08rTkX-gPs# zq=z-{VLR|S+RN(V8BnjCPw7na~qiMjY(~Ks7D6PEgvX zDb;A1#t`U*6HP+q9NK}_w2Jg$dhB&RqgzkOd-zLw*p6P-yqE33=V(VSwa!n7MveXL zrr0YQ3uRW0NLj3V$h5Z-f%(O=^RDY{JmUuD8_*xpjItCXGw zqlxdA%6)y!C0-;eYrBIxza$@wl#@tcIH^9tWvtqvYuAl-P>R@%Q1^dSgojAg`!t_5 zR9-b_OEVs99r5SGsEOZN7@@tAc#V1h70j(P#+)vVg<~D%@u{K$Cf#=VOPBj$6_T2N zkmM=6-k-$&%~G=D{gqmXt{uX+MTTgFCTH&xiJgP1aDzFTkA$VUxiq7{`Gxif3`vXYXKM17k4?)Tboz?9p0qi$9 z`%1ih!9;zANOMEAmEh3Psu=3~L9?(0o9{nFmzBB$-wOrBj?_Ev&Z_B z!(kW)pE5Xbi&F(dh~O+R3^SdMK&uS~vnN9!{=Y65|0f~YK-o{A`2IpDkns_kL76}i z)hVrgNf*zW*0PZ6;2{DftAxlw1Cg`^ku(8%FbpDM0HyP;qyK0CiODjay+2Z3{j@UZ z%Rvh;*o+Ic$AF(Q17Qg;&?zOp*^~-kgKb#Q>Jn_!tEjqjh_E>nfX$&nz~)dGY}9vn zh_E@-Z`kObHwqh{DFS8%>r!v7*;uK_JzYHudq2x*c`4WY~;>>&Hjo}MENrs zHX(wuU}H9upjBzZ#@|M(d<;26X!sE+sEUa~g+k*~BGoj<4UJ3re!{_w8&IK2P#lgN zHx7q^g5$>F2pAl;V8AeQ(N9=DZUiGz*f$MwC;>ntQmO?<2!JC202~ybuqLIy@YTqz)KyLp&$ku6PG5+*D+FqPWrh*_@UN2ucd zwoOoRo$3Y5qyYPOTkMar{%G63BM-|BB&bS-qPy5{7Y0(dus`k(1F0MAFP~(k<0>}7-ruf_M$au`4Ryfj~R5>euK5MYVpxetqc1NXq{KS-mQ?^SM)rz^{RutlpJ zH7YPTAXkon0Nr$D|4ZBO4YXz`zoVpv@8~pl0AYC7HLD`6%~xH>plo>nwsv|{s^b_% zfYqt_3Tit~G7GGrMBI%kMVQF$s!N6Tl=v& zv6P1!fXf|3Q^&L|5ywsow~X)@4hB6J27SvNu%S4X&PmB9xC$si-^?t~DyjEaEEsD8s` zTm@XPrx$8z!{r!9)}{Z$fHE!slyQ+j85c3ejH?)91`t_y#}O_Sk#)HaxQr)U#s}as ze$a4vBLprXeVbPwj68f`a2apG#rDA{T*eu=j3ZoXj;tF2O{gPu78vLZGP3S|I0QQ5 zBcL;L{b&g~a*d9DH(%Me z`4x<*%Y$0@IQ8=Dv?9lw!2+!C@=N)Wkya5?7f#kF#sq;5zJ0&E+K$U&Or7zWQ{=-I zilAlS+oifK(wQ#rch-dr5Huh#gr1r5`VekorkP~)9x&zKNI=so2(1eWs1E$DgLG$ z5C?)BbpA1=U%m=IZlOvtrBX>Kfhp9GDWn0Ha?tZNBH}<&KaFicVk$R{e6?8Msf-M1 zEKI$5#?%+d2#7_lrDU4{#)sUg)UK)hqu%2aA96$F+~VAk_WqpmfGxl<#FcWStoPx`SmJ03uENWK>u z9Wr_`jl?^R#B1WH?zDa(N9Okoawu}9RU*f?Or{Y$)A|jbX$Cx@(IF9>((BZ~9Jt)e z*JpIdok2*0lzbe%n~1q_aB1jvOVRr&yD7#LJUfZQ38 zFqjbmgBcbK1|0JdxrN4jj7FSGFq|6z!?}Y1!?|H#&{^%d1jD)g2E(})80=Xs6+^_Q zV3zg>I8OsFtu=Fq`FiX-1?Q;a8$%wm}O5N4Rq-a5Os%Ewt19j6t=& zCA&_(r%%RQWVQttoga0VatN8zC9)7*Di0!QULB8%GiRY~*9S!9%B4pV5FUyJj%IqwJZsQ#z=`5IK7vT-OtNP4E1Cj7~nUPa6t zk`WzG6X%F?OcVa}r)gQ4oAT; z&)+*zfr1l}zvrJL3>3)vD3M)Yh!7>w)K=v0ss5u>>1fBn=>Lhx-}8@lEFTQGhKX%| zOIoP;dvcC&5dcRx3>=62y(3(VSWEt%eN=Er{$ASOx4nEAI1&Z`W-rJv;2yL5J*m$D z5&1FC-;?KPkX=+<-bbrAh<-*Xe~)ErQ}n*`_hvAE?=YufGothN@>kBl@mtanh#C0_ zZlyUG=BB77veFKh&%V;gO)Jv8G)c5v?o>?SlPi6t@Tv9>EQL?Ma|~1XoV=u2J;HYM zH(jYRi|+{K6o|&6Zw3Lw>*)r->0G_i04VB9 zsrgj&E|9OpznKUPvc(wL<-0RZWe8l@^3fp`FVFc&BpVY@*Jh4fAJP+NiC8@9CJoK`pzNU(#zR>f~>z?4(gl#e; z87zJYeodUU`U!q&=t8}lbOtN1K$;)^g6dSJ`6(1KbYvjek8qNfzPFP7d>?-aROyzy zsUcESCFQRoQZ%TPKWUB?|L+OJ|22Mvk2M|8*RFD>VMqi?^u*XdMb{xMSEE12G$~N6 zoLZ6sZ7YWnq_U(ye?DD;PCp_@$1o{yz!9Wlk`(CAk&k->sgI<M771xpoXwQnn5&;JlJTlo|$08AMz`>(qk_dPLf=4GV5wKqoB$EhO z5kWd0iGYkCNdj7n4A_H91hn0%j35OQ0gWTPGK3l$K|1z{fKs1c8LKt%Y%qy{bxHP< zS`0qEgn)tu7cjI2C$mWQn^AMJAEHdSGm`x@%2dB(zZv}sH$^4;&0sz(>LzQ`q;9a` z2e|cLeg%U;|Hki+<@fvE0IxjxAx zQQeBM(UG)CSs2#f%&5%VWwso~Ecw)=?GBYYo+{xyd<#L4PjWfPxzsOR?iASQPv(H) zG?9G2H~Ec6M{ZdYK5$&^H{_4AZ32yv6Rer<&*L9+Q?FR@TS8VaF$m-%yx|B zHVx^KTjom(%x0f^;y+&oft^^m}f8`Y}w$U!sMh7U9Q?g-y&P)E1zY~=j)JPE_$ zo|vsNfmZ6S?FXh#rR@COSrDXal{Vwa*yImVdQ(ar@g8*#teONIx!Q8(TRY6R<|iIY zq2;sKa&?J)7j?p}XGCohV;z^XTl8(Q~JN6aWRKDZ(B+?#ev}UdAXsq?r5QjSbRIWy^ zM1I@xMDJ6SpUjR$eyTspLr?QMg2H`g^@(1|(l)e4(^h6la&gi46xoMtRnp@AiNIC< zHSks6<8|`UyW~Ez$b=O;G064OyiC#LNAVHHHu|M{A#Fj6W>Hg*cuzT78h*jM&Z1_| zCL(luReS;j&u0H)z9&D#aU*5=NppoQENX$CmHzqSYFPc&XlILjw;->6in-OJE$&y3 zOg{P$AJu{)2JEU9SQU$-%5j4dIP2*LpcB* zBgoS7aEX4v1VwH_ZNDJ`f)?)St zt^nw+ptdrb(8p$x4(fs-PPf8>7D=zLH{~~b^J3{Eyx;rIyn{>GYws9-LG4@FcXPP6 zv`UoZ$FfR(Fa*BKQYW!DbU0MgK!?MnW?)mQqmGachZPEMuEYM{Z|*OrL%Fz-cZ2>` z^y5zo+={!)>y&5Dw$AES1{59P_tB?I4I$&%-z6u`5!UG{ykpj7d|7)~ivZljSGS@1tg*eVUpB>9`>b0CQkq*gtAzw7S%(I$@ui_YEBP z&<_AEhc!^VuH6~&jjz*zyxn4Oi%+8_yG>s1n<_73G6^cylet>mtaEg zTiMlY0@&hRg|}kF1aCz!f#!juU6}Fd96@3d#W{j*gsd*Bc+L@y)W8IGFt1{EAo74M zNbd_?3r9}vHGkubsEu?AB{PTcnSwEH&K%SpRwj6-j!f`&Y?M zuf_x@)m3;iMojQ#guU>#VS=|UCV1Oof;VE%1aH^C1aBlvPy-XFJ*-Udb{(1Et=KZb zTNV?j1zwE_P^zo&MvR!?jR+=q%P_%P78AT>F~RGxXM(qCV1m~ZCa8f4{48P24&JIG z6WH0l@$w;P2C(`N%*t3sSEJ(!DAiSXJw{CMdIS^bYFNxR}*aT|xDigd}M<#e9woLGb#RO_)S7QQ{>MFbz zBb(s02%F#y!vt?wOz?)q1h2-P3ErrI30_T@pav#Tn^&3OjXE;H>#=2m*DWScE4vyK zpj21k)fh3ss}W4_x?zIXEhc!~VuDv<&jhd6zyz-(Oi%+8_zUsjCwRS%OkgjikC&f7 z7uKtvK&|X*On_2dg;!$41g}Ie0T#m}he9_})?5SG2B_#KI2bP`5WThH|M@`tKO|TA zPz@|$Z+epW5Rk*|ufyn1ma^KzYA+nBBTKv%TYKR(%U)0myc$cOR9C^@aw85t1l=;D z(ueSxVTso)d*L;UB@V=kB|@0swHlbY{U@xdWtW5A)9hunS|OmHB!OmM)m3DnB2#snzURnXIH^cp+he8kj(BUS)!p>c|B9W6K2lEhbPayBZUqR9E4J7%{;M5lpb( zFu{I{3HDn|u&+83h%Q<2|5<_fKm83SZeO(hHL%3Kge7WV3AKlnCHB{mC4LuMmiV2; z5^8~0V+oY%D(s69OYDnaiQgHP_?^WPzq454x7AtV1T(?!YG8ujCQMKR6R16`Oz^up zGQo?nWr7ziCQu8!8WW&YSK+rYVuIgBFu{w430}0A;6;lGo{v2fyjTMhJfAQ@4NRc+ zurk4mb!37UV#@?CSWKW6cr_+KsjkBFF=B$}BbeX?!vrr_Oz?um1kc5u30|my37$)s zpav#Tn^&3Og*r09zSuIsK8p#|%C5!)DAiSXE=El7Tm%#BGfc40VuF1Z6ZFQO3HH^% z1ic9p)W8I4^C}bUt0NQqHnvRgTZ;+Q%C5!)DAiTyjS&;{Mliu|4HNv>% znc%lIFu}746V$*2YV#@+{I-rv@O*5U;CYJ))XJ{L1Sr*2cs52%@N5JVJa3rbd5a02 zx0v9W*fYWNH88<52@}-71Zwjt6Fgr>CU`EkOz@n=1ZrhhV*-@wDm)V-CU_=-37#`d z@SMd2&sj|Ho7gkKb2Tu*ZxSY`feF;+RVH|@j!e)STPEnWm_V)UYD|DqU4`GAI3|$( zwc`Kt1Mz=zwi7mUuR{ zEb*+x5^8~0V+oY%lJg;OW>i!Lv0m!P5y7)W8I44=WQq zTSq2%CbmrQjKu_MfmdS!l785*eF~O6u zXM(3|V1g$TCa8f4)aF$tc)E^Eus60$u-9S&wX&-*0ZMfho{SL_JQ=|RdkqupwU}V9 z#RN~po(cBWzywbuOi%+8sLiWPu(ys(@KkJ>;3B2@}-71Zwjt6FgN%Cg_eW6LeclpjLJ@CP1mK!s8W8V8#De z1>*nGA9`}5<879=u9uS6k)A8Bt<4S1Y32vG9(J$4xH;oZl;4i`82`$C$n~DV-|`#3 z+-BGNsQkXz8;8HVvAD=PIbNFAkMc?>*WmF8mgqJt(QUCrx5W~BV$TxYHL%2@8;IV`WYG4Ajhm{GQtRoXV5nCpB!eRooz^gF#J1T`>$+QZ5OPt=hK9*->(JZ>?8TG`c@0HwMLkH&}z9*tmv#|;xa zZZW~*785)YdnS0i1}1nUVS*Z%Ky6-Sg2(H~1bbr31bZwdP%FC{6QERA;gJ|I!6Ok& zu*WdL9*YU~SWK`x_Dry+1}4~@FhLDWpf;~E!JaxY!DF#yg2yZ-P%FC{6QERAVRwv} zV0Q!)JZ6~SF^dTvvzXxF*fYUnH88=$2@}-71Zwjt6FgQ&CU`WqOz^111ZrhhV*-@w zDm)w`CU`i42_7{}@TkQEk6KLdQ0$rD(HfZGp@a!)U;?#yl?fiLBNIFlTPAqKVgj|Y zt1$sebrl|p5feNV!32*OCV0eRf=4VScrf-%@JJ0z@L<9OH86qNyvhWR)R75xpAaSp ztp8sZjQ=lW#NQ;N1i!YJ;MW!t+!uQ$_;n3Ta9_d%H86qNyvhW> zt|JpX5L+gAz+wWmva2xxN_7?PixCst7r_J%7$$hYVuA-OCb&2DOz=PrOmJ_)1T`>$ z+PumH57dzf?vE`K+;1^~TG`c@0HwML_r{0`?u}rA`wbJ^Z!y9B78BePdnUNQ1}3;C zVS*Z%Ky6-Sg8S>p1UoGzu-5-?3C91iQvY?SeKXhiFZAA(_qq<)ZO(c_3I*AdydamR zG&g#$$ZyvhCD)Gd+H6_*zXRp19RR*4f6k`1JKj)v-|QV_dEB=@5yqVsOQ;22jU`a3 zt8h<@SmK@tme^@nVyDFtJ1v&j5qp-{Sp!S#NLWHJFxY(!)E-ur*jY!GxX)sVcrn3! z789riUX2M*s;jUgMoh3Hf(h<3OmLsY1ov4?@T=G}!F@F_!LJe~sDTO89#$r}uZ~P` zZ)}<1UW*CT0UdN4tL z{T{DHrkjVG8$7r0+V_6w9mZeYcmdgUoaUa&iEE=bT&_60(#VPLSWbLhe(LBXxH&Bw z-n}WsT4f?UuJGF59Q6u?*Vca4d!E-C_2|6joZ0+th}R_7U2n8JvB3LW5HdZ+26?Ss zi@aW7x)YORxfj2MN%CMP2x|5!8QfDxGT0GYGT32}fg0M?$N;6f3fp5u2HPXZV22@t z9Tpkvu*hIr?8#t94P>yb9%SI{0QTR9OrU13GQo~IGQqE6%LKo&m_QBfYD|DqU4?Bi zVuEcEOzcWjy9Zi@-j(5}V= zDAiTCD@IIkR|FH>ZJ6M0iwW+wnBdOXGr`?8Fu|P(6V$*2YV#@+++9Z|*dALZ*lsa_ zTG`c@0HwMLcgBbb?u=l9?S=`qTTHOsVuG!)XM*iDFu~S@2?oRjQrL?B_XOhqjiO0e zDKLk+ulBIA#P&L}#J1S7#5Rj1)B>-@5-8PG*cv02*c!nS+YC!=vshxA#S&X$&l1~e zV2LdWOALr5f=r&OIKW6K0vEhbPayBZUqR99hhjF@0^1QTpE zOt95rf~^)4+!1>w*jfV<+>tOr4NRamuQI{bIx@kQ*fPNuiwV@quEqo?)m6A7Moe%= z1QTpAOt8gbf-M#kY>GV-Y^i|>HYH3@0~4stt4y$^j!e)MTPEnTm_V)UYD|DqU4>0C zVuDQ(OweVRpvz){E{h3nk3AD~)xZR|CrnTS6R6FrOwd(FCfFQXCfIB-fm+$sm;j}^ z3b)6I32u*Ig3X2rHd{=v*Hd#z@ zYwVd~Qw>aU>wADHP8<`cO{`3?sg6u=du*BDc8dwr3a`clDAiTCHAYNuYXlSAZkXV9 ziwSPGnBW(&XM)>nV1i#HOi;t@L2Y7Xg4^rJ1h>VO32w8PK&|jZ#tP;F;v5;}w)3w~$*VF!68}GbOpwI?PZSd*@qchY z-%OCi|BoLNB=P?f#RN(Gzd92n@&Dt;1WEk=L@_}U|F6yjN&Nr#F+mdlx8nbznuQ~$ z_R25D|C`g|TG=gsS$p{Bubh#0oyvdS&!pI8+`Mouw@7UCaF5Hpw7j~W%U8UgOSflM zH%R1lhwkAlw@7qYeiT1#qkGtK+UOp3y!)NSsh@chp8R)-6|b%i}qcHz93A z>rA^$%^lV}CpAy~!}p}-A_dlbK5M>6YK|+Y`6AXFr956k%^g!)oUoAL`xKwuz*pZ{ zHcdWtjr&ZcO!t|$nRh@RIL&Ewl*yKrL*&o-;z~nZ(W`up{KlVdv)khQZAjndUB~-Z zDKMY@c!y1IVE3l@ev#f*s)gntog^a<#CzPS;rCy)3T|n`oXa+CULVqlWT3xNpjANeX?)QXewBlB4(+ntEcJP}e(KUL9(M z-xd|=W_}8-P+^LoL>shr5aSZrvUHsM{ya72e{nn0CCx*chk3*JP1K{6pKTDqmYwg> z;{279Pr2TWxDjt72ZG4^4c;MnRZnk@4{If?^vDnB3L1xT}grmc6|;q6oohwZRQWCBG$ovqPMc0{1sArsiH)y+eUGNF}ADuqVMX{WRl2}?}W3wys# zusU_RQ4rk6p|sj5Eq$+&Q|adT#8xV6#_T+cZ@D5xC_u`a&3iy)mq;l{kzHx4ptO6$ z84VU`wNs+YL^Yqow*`G;<<~Mw3nf1xf2F+ra<`l}hVRwusk`ao`4eg)F{N-v)D?=_ zE^>_|vA;^?Q;xR@#Udzc8NcjOP|DoxB5G6}^o6d2P?Wx~b*~?Gz^5wYB}FQGgP%jB zOGs!rLufs`K7p@LPjv@7GkdX}FMcYht$pUl^^-!OjNTyT61^=8qc>D*v`R#`%n;o&65S|^=yGL5_w~;$B+;#=lvfUd=vETCxKD}b z)aFo1R=B2l|0eGosd<1%sZnmg&VbC7o&Zr_=1oy@^?qMU=@XlHH!Yc_KCHCJO(mxC zRB{^?N^VMGz@tlwOHqG2NO9v#LIez%z*y^jfmbYqlHgKOYw4c~jCKeCaySzL1Vy{l zQpi#zq+=qwjkH`svJ_nORh7HZA=@xSmg@+Q8{#orra)n zIc#YMTe{K%?p$wg;V#+U{4{w#oL5vwuJsPfm1|*X^79X{tjE`__{7=@T?en|t`Ix5jc-dbf1fE`4PZhL-&?<==G zzm|6%?lsEoRc0#TGo2CH;uJ})~tq9SPJq;(}-Mc z27R>9pFhBI($mnUGe8Z_)X)Axbl;qTCf9Vl2;ma8b~R@htE_=uPD10iV7*P}sHDUC zYHHWD{8VwF)|y&%X=;cgEr;=RE%n^-9(5mBH^~~fwf8$IkxsOepL?QVYAh=Pwa1&y z5REpg!8VC~YK$97tQrdhXB(@f$H9`8A@1QExYcH428JB(9eJ5V^FyZ;ofYHrYLNkI z3NGg-^zM*L{v;qvV|5Zsf&bS~3Twkg zMepbMp0M{NEM0E?ujQx+gBC2WdOy-T6ZO&AGNq{auF;?kjFxMn4O-%5O@t-8wgM?@ zETl~E-exORe&By-6Z*vQrn60$pgG<~zG}~CPwVS!Uf1`^P`z@ca#FrVC*|G)C{NoJ zy{Tg(BwDgv*G6JyZG`Q*)?fy0@MqxV7HbeAYt>?no`=)wEX~8$Qd@2~A(pDhL-c^C zBiG*$uvGs$$I=@@E!A~lIJ^)uaJ4ROuhc0Ws`6B z&b!qnT~B%57=}Kv>>j2puc7qCNbwCqrABWx->56BxEUx}agK@q>Y9RWEKbRs`&>bsV8k3f8FOd3@c9Psp=sOX#dnkK~baXXR7> zNFH)h(GI-{cBp)+W86=PottbsR6f%?-c*Slj@vg`cIZu(eWrfhbif(~!`)=&02s~G z4!zN!hA{atScuh=7>a{FevZo67Vo&j!J2W}Fx=_Nkvddhd5aMMs)V%Lk8P+0@P z#r-VP$ugbIP0ebncQ%ipE#fqfY#z&$>%;tDy3x?b zq)r_n^MgvO3exv|_3R01EBbm3wKYR+%{YHeNv$brtA0loT}espy%4*La#~}sx zrR4AlW*j=R(iulRY^dN|L!_r|6HP)7SsEpgjWjL4YIc-bpH{ke zV!(26Jk0ad&sU30r3`ZXY%1;9*w|DeL->w3^K%+z1>*l4|NdjyTRG!*>5(?|ZExx5 zKQMbMm)KkVwzo8rX4_kuwSk#BG*14awLzbRda@F$tHSEiNF1bvF}Tv|Ldd(i)uov* zC&uc^4bbZHBe1Zp;J@#$)m0_xT5qe1mUk`IRWQbCTUWV0tt;iA_mXv$tBZA|Sy&p` z3wo@^Th^6EQ8g|k*f|E))yG6{UC-m|R(Z9fE7iPLn^>M>F=yWDk%zy7GjI7F?YBba z+B)OCz${d~5q3niZ|~K3&TZ;%X+0|l&KbWIqC6Ub+d$i5J^dWE$6=i}6J=!1`0xB} z$5_`Jf7be{{;c)JpM`nY20nW|y+*cgOLd?IJB(4ZW_g0f!){@VZnk_|8!+=_sdC@e z2J(D#tc{+TqF3u?UCdqPC2)sK^yJHXepiSHM&WNY(K@k62HqUI)!nv0r;N62as z<5G)^he_wcS>6wx8r!$@UD_-gD65;zJPwf+SK^VrEK-d2tv7y>^?dftv~o5{1}Mq` z*|7idjVbb8{i_n6W{w{`Cwa9=KeFKZ07{(ci^Xr%namc8B7U;SI=P-zgkKlJTjW2wSn5JkyMc# zdrG}k>T!W)-E8E4Gv&X5tTu=84`zKxAIS5;n#Iw8Nq^;tZa0X&4dv;bK}>f8q|Ndr zT|ai|fg3DLFQE+j_VBecO0IIMOboLa4RV5#^hNl}UMU zPnOd0pomDpL)zc{&etUg0+3Q--bjkt$g1KKopxZL)MTeGF5OjfI<`xTA$Em;!dR7CYyO-( zGvz%lul7JIohG3;Z37I9jiS&K<+ld8H--(d1j|MRbHEO@Nu+<&Q)MzzuH+XPU_`y#01J$i8yLA8aT+7bZOmH?Y4eJ+@hLN!D z3qjJB2qfvTo&7=5whAO|p(Y)UK+@q5B;gwLtZyXE7RmRGp)H{p+7`gjwnz;52h)~g zXj=e7VmwGq!_jltpyx2_v5n|CY@tVcZX40FgXq~2K+ldrqvwBypeLkn^D7Q}|68QE zV}Q`vVL|6`1$6WbSjX8$=p2fG&SCFDd9q6Yl?I-z1}-X;oppo@?hLpbvf$FxFSw|) zV|NH#c0|BsN5B?Sg2&X)pCFe8o}CqN*+IA*3cy7sgHrFxAqUrdata%A;55qhW3br~ z3Y47zpzMqU%1+b6tm!@V4$M{+FeKJ;Ld=~ zK?^#%uC|)ZrWsR@hCpXm1ax-w8#=oyptFn6IT(PBj_6RkgCPLIH9)KHkp?zfe_*sL z6h^xPFxnjnqumiO+8qHSC4@?w?Vy3tLDptBVRTSnbXS%WA=8Vy38OuP(H_DGpJO7l zCjcW*m7OQo)W<|{z3rq(qz_ix0_HF0ibpDs38sxV^v z9!Uf+fexTiqv8$M#{I>Fc5+e|exzT(w^~f)^c^ zZA_)k?1H*y9z2gSZxi>imKw?jxzaoE0@>+y2hm6FtPtXZyRfYkTySTx7u1cZslW#* ze|u$fBjw*l`D@Z$w?!24T=`i^iP}kb>V(%6EXWwsx^9+IxGY&&H`PqR9OH*>J7>2q~4)zmF2fJ*(11~*{q=CZk$kw-71nD7Z$^)ia1N%I^+J(pK@dvFFHugxwLZT4mt zX>J)YpVh{e7$qi~Z``iv3wxbI zoNgECXc{QcD#{t@k?oa&l?i9MuSKdX0d!HoD3R?N1YytMN%9-NxM{~v(%gr-KU-L& z2#9103+QqTx3B=MCtFy6X29;PR8~Jyg)Z~Au(0z!{dkoOc>=l=U-4}W%gBw=2o_A1 zcC!95A9e|c95J)OrmeS!?#5Hs?JB4WQ49anLh_T;%~lm$_}8B#^<`9u_r?|#r6l!1 zZb-p}e|D03^kx*Aq^?d~P}ci(GYX}tQK2-YbOe;yWYr;MUD?7x9U1>h4BC|S1zu75 z;yi^^h1m&o9N|bi_!PYv1?CHb-+;mu%%PSb&11I#1$=TS@d6A5%6EA>u3qfEGhagmJGmuv4sAMB^CY)3H(a02AB0g0;?)K z7uRwcXm5mJ7G{YR4v&QV#XCX2ut|b%P_!2Y42kx-;&CCGCf`G16<12Zg~|}86TT8J!BD|kyc=? zwu)Tjhy;XhL{5GpxiOO{85m10KY?*n?=29588ad=ZI4KYMp$5u<9Pof@~^g`7c@q* zhScgoh6w77Uh-#T(EL?_8QZFU%-AY7Js7m@lV7}w$xq9uc9nY$E;9}y_{4p~2kAXX z|B=S96VWJ;ylwJ??m1Xom*+4l@Ln=`N_!pDV)EE~9YmWv{$2-rkAbm+@Sp2>eBCOq zI{WC~E?k>fo+booT@8NBHCEC6>F12Eil7{S=Os8n)#<3NR-IC;G^7Gr?J(XqJYnD_ zPgB24o@eFWYV6oKhigdOt2t!a=Fh4=vc*@6;{^Cv9qKZ%ci~!Ha_Gv+WwcIrUDCFz zOk5g7O@lXxmB!!N~F`9iEZd<&91^uOEfx5w8=rG z(=vNj^SOOzgm-eLx|%cT@Ex`&R}LOI4jz)eS6nrJLiQhGI|s7M5Tw>=bo*_2?`P{_ zn9w}#)n=$%O)cv5wy_j&rn|G{#MHomIZ3WS{@`PLTXogndFVM_KUuCrgXC3)Q$PFe z68Ss0M=PH3UfzEQlZwirxHB*mcLs)H`g(aF9cUa4XgaoXC}3$_vB$9IN}>wdj4dvMUh(UloY|n^j*q zue9nbN2w;OzOd>`WzoM?U%t&Sg`<~_Vacj5M0ns-vC}2|jo>knuWi*=Yh+4F<~_aA z3yd6$oWW|X=Nd0{1J!knSD3d(mm$CjQi@%naqrhPUcUcIGoF-0%3}nT8&=;U5jr8O zvb=)&ulI5}MfORtv_WUsCbqijOMH&SRbT3y(q&91cGZ{c&0XuNFW;jC)eT$qwV(Et z%UxGX{tQ@C=v3@}hb&m_;0e5<*VScz=W$r|wcpAhj_<0k{Sg_&x`$nG)fcWostCb^ zrQoZyF+O+qBN6|2t@`Q)sHE2FmneZsSAFeQPjJJ2`Y3eO*ZvZy?3q^$=5y$I@)t5bjjDgnwNa-tANfn{4LeDzvziSLrH-T+*%yRQF|FYZes z^$j0sdJSB9SsPvQ)oZ~;du}JA3nysFS8vTrzIrR*5?u1t69JcAjx^~$Mw$i3e92dj z1)caV`RWNkM@MuRI6WZ%!Zkpv){*9TEcTM{k0F7|k*3E$r-#tdWnMi39e zdG*x1%&VsYK-MxZNlQ6WvCON-fM#!fmv-@4j|oq{ZT)h)V=P=+E{iT@G21lO zNb(un*-Sx0DM)5E*JruRlJFO>!6Lex_4eaY^pr?`M58WVl#B?lfwgD`83S@J;puGM7_{Zy zXmKmk?YgzC@;P!ry4hA;Ug&2Ea5}}7LCeshJ?1xl`DZ6|vt#v73?_oReIoi>~ zcIY-ux)NPARuf+3EGFqEF13wlO%GE>yUmGA=!q;Njt%S~Juys83$7EC_GwBrTBb1s zdf`NqaH=2Lf!4H&^kI7Jbv~n8Psw}uOL|zBUe>&q?ZD?~M=!O`Pl!g1{q3gMD;f)B zRu1+-(&WZoX;WENPJZ$1yz6>fdB+yMz9z4(!7bXSCp}l5H=-u0%QROVZGn26ZJb^I;hCTnT3Nd&@$9f;o44D%y3Lz;Y2F)XLxbD6fd(3A zpiTYG_j{h|`_!$fzTI8b^b^k=c`mo>KDTZ?=Q-!|oadZVPqALYZ!vvsq1$0QQ$8Q& ztc!8{CccfbbN&7D79ajU(Wg0dQy$&Pg5|WmrR1KP4RWq%clJNzdn;fqRarYcocWS` zGF6^L0>?@93DGUp4!w8XXa}XLkJW`#)xuMx8vG7FeNbMtW=o57Z5@f$!?4__w{Swc zP**9}0juD1AB{7ox5jG8FDitfcNl57bUWlR4~UK&MjkwzhB4tPD4=I{_Fp9*u}R%>buDT1?% z;UP2VNVM9bS2vJIt&h7!dKp+F`^XeMP$BDh75z~ciN>Ia`S zt!*LK(VGaAENLRW#zg8Z6RD?x_NJK#?nrO)J^hakkhm-}Ir>xOH7YBEzVuoKgUz^b z^_cMM%tTl=80eIOZyu!rv%xkj=ylm_G^(h&(?_%EiCmhYZ0ToIbMSteJ(Vu1%oHzP2 z%%I;g16F4b#tAFujd(^1`=&t-WdqQRlxo2M4PYQ<00W~ifPpjv(7e+C4Pao{2B6yu zssY$}CshXBCeHKvV;ewK8bD8)0Wi-k-1E6)k=M(j0P(`s@5li^fU9JP%Zzpo&M^HbKR8sTXsT zV)XB@=#RG1Z0peljrSk3ZNy$&i^oTcmuF>5cL@D)emF=Spuc>QvReWa zU3&O6X%%fvs{Vw4PL9L*=??1os*8v+;{m`jH1fU54Sn$CHXm%! zDvuf!Gq@s01^__6J~{ryPBGtl_Pvi;;NxvRu6?7KT*&nU-H?ucQykaGA*Edxm3Cxrz>^ z9LHed9te2_$M`w_B1xl7im|fML5$XmDp9J4t{24w&vK=6!3>=!R%F2GLr22NkEOL+Z_f64MVC6A`g(gU}c=oUsE z7u;i*S(jgi?^%&B^rys3XG*5&=xtg_(}|YUIyPnZCYkSuW!6P9#s?lW9gdtFhv`hC z=}e26&a_dR&gB%-Ng3Ow*XbS0kB`iBrdg(Ad&g=zQ;g|Mq3Mh&vu=bkqd;@nB9jj$ zl2K;X{aGpIGA+YgrVZO%W+co7dwSt2ZF3pp%({$!nxV{y8On@ILz$5=$IM8~F(b&V z`!i@RiOjkjhq=t8xy+22%gj-m%gq#XNg3NFjlsx|kIY2Y)9`%THQZF-$Kb@`!Y{+ven%dVQnRT5EtXA+d2OO;?s*B#O{*c+K6sl7{SW*I6;x9-BvWhP| zCo%i;7(czm-a%cSw6`b4-;@LLK#+s3Kb{;`tYVURZj~y@lSw6^1fHaZJV^}rl!HEB zBO?za*QdEHNKECXnXhKcc#@eR&4n4QQ!Nt7h!Kli%f&V$%n$ibQM;ZR9(wOje#lRe zbF;UpKKPH67uLCvLtCj~Po)PxMB=uG0tZ;Jq}f?3J0vz^t$$^mTS#_DG%zjr@s#Lg zYIewfDigd9LwMxL3T*K2Nyq)9Wr|HG{O5c!E5rU{?o&<99iEp$)T3>bkcGn z&*W(u&(p&;o~MoRq-KXiaEjNbVr$^aDBqCTA%8YaVs?=xG1}~qpCULb%$<=Pk{+bS z0A=XxbuG-`zGi@YC{i*8INO*(A_L^l&NPGB8D=osGJ_H4d_-<$y*pS`pzB@Lh-YaG z&&G`5*-;q7vuVbltJ-I249^bR7@oC^!Cuu;F+_Z746|trqssaCb7%~6iZq7N=6w7V z!CA(Tk@Jxrq#MJtG=_1=`S?(wq#4Y~oDJrrnSsoR-V@=!lj(m>hAqsoY+(e6A32{1 zm=0P2qu)jq=F$r0#;joOD6C*^niXhRbS|x6?y#+3u4M&LEL%NInG^jv#tP=p3Zf@} zR89%0i60MjYw*Ff%4=SMR)8~W;-`yV4VE#w4p84Qts-ucdB&Qw9o*m^{xR1i-h|e8 z{$D;25#!{jEBhV1Nj#(NPcTOhR*}IURsWT~rc~8oy2z6 zL|`7W5M8QFB57S69~Wu#q_Aqh=;jDA zT}!}7iurUQ*I>b@fi+C2Wq!@x-!ugOReoytrGAkHRhDe@#ek&G^n%X{8I^6OPmbW% zU^8P{@TX7i%2V*CRZNO8UFF9M{-S3@mW@Qyntka;A`34J_ShBt^(V|@Obh-D1QZ3p zdJpHN=(&$D4)d(X)XJr@K_JnnZJ{coH;C+_zQ^S1bgz-DLcRulw9N49_tfr_^?Ru8 zDNoD#AV{s>lLtW#&K&w6$oo*gr;mHgQ@|$d2E2!f zeZMU|)cQSnj_{xX3~(B_5A}Nkycsc1{hs}(;FS8k`e@(w%4uLA%>Y=vAc*r>aU!pU z*YC;oV1^y@`aSs_O|pxM%lm8<2k2*)>-X3;pP~<4zc-upd;MI7&CaghYx>jKc>ITn#YfhOjC8K=q=I07BF|*3QXSs=P{o(_ zY;;ud#b_o{f>WD#D!M6B8>cG1=&9&Zwx#;48auK=zG&Pq*K2u2ypS*Qc-P6t<+uoB z0lOK-Q_;t;kWXDI*9-X~@3^v%&v?p0l|z*MGo%hYg4|CgOa2`C?B+H-&tO zr=pKS^pGM>Zqtar($g?TOKYUpZKr%z*icC@0*cJPYB3G1A z>^CKMv0voH*!dy^%+ibf%7O9O42-LHlOt19rQ|P>DH>JDpLEB{|98gn|GJz<@I=c2 zBkigT8m45BL{CyPNJf9gv?x%$oIFK=wwJ>UQbkc~q83js|aJefjG z%^;0^A)s7Om(0~#cs80sz(R`s?1wB9&WvI|%`z2N z>^FN@>87k=zuByZ#kHX#Z8nQ9M!5A~)9XwI{fytAF0a)#032?;--M~}SsVSamfhnN z=4mZEKF3u*%d4J5IXuc6xxU418Fh=l$)1!=%8g+YyxGahT~^Cs&XP|}+3tgK#z!Tc zhi~CFop14WkY}l1db?9>qd!>#O3*~={ciCaN2a#EI$gABf?O4 zRs+Ei|H`t*VMo69ob}co>#g}oz*gw_T=rZ;V&A}-wtvHF_wTg~^U;dm!eMpub>-Hqd zJ8n-R{eebn)w<5cdYzi$(4e2n)##PTZwG!+3OXP^Ssjb~RDYC1XLuY*;USZpp6Hd_ z+J@d}*~(n0=NoiBMfNFMl{EYRTFh1co4{9nkJl&eZIkoNB?%KdG05@qx=gJp%Hku9 zZS+g^Li&Op&84Oe1gE^#3GeZ&bE(<$Xc4--Dn5Y)&*S)Gy(j;peom%Lzh#cFhl5(6 z&r1L9;N7tLt*>~k^4(BgQ!4bE{XZIb;@(02R5O|wV=w1D@u+f~pltH<%>A%14rHq3 zf94gJGuLz$-E5Ul5d6{U&lf|&uxd6J%2!Upy0t#Z|XjoCTZ$l1qnk@GJ3 z#0#EbE9@Q}Qk~M0H!AIUY_IQCZ8yu2at;~_2NkW@u7r|*jOPcYTBLuFs|^MeSGT0s zfXMNC{BAv8MFZp)(O08c)R$K22Y$I_u=)c>QmQ}hP59|K8JUvw6$LuqrPjQVEC7;; zg*_CVd63@;_?<5KPE=+LeQV^1y~5F828G)4fLv)OwcyDzQso#Y77ydgy0bDEo4vnn z4c5x}=2>u*M{V&tu$)(Dyc(){AvV%=ysmn_LN;pVm`WlPLMpfU`7L>kGTGKvJlfO5 z23;)`5PTs9!57jX$Z7ut^8{{nSyWpvUN4y6fj%~hbZ{-0;w(UgBVnlH#0 z;r;2m|EBjQ(}Zj_~^!(^n0U@!LNn z6Xy$cO5t5|e;Q2Psm)}P3WJdt93_31klH*#(*AnfujU#Z6*s7nOLmqL; zmE8lK7^YK`b&p(g#UhW19H$nM%Z%{)U)=-qR{d^pLC)49(os8U2yh|3O#T|K{)+sf zAz@NZ)RPuCQl3)H=bcD$E`AHrQFluCD(@r0!5x@yq=Sa*cwLi4I+OSb^!uKfXwOh{ zARXU0U>x0GE3Fysz{qU>o>kH6Q>O~DeQw@2a=V9i2pQR9B|I$Z9wnkRaLS|kF%rxt z3D6391}Vg5HDjTh<*-flu_wV@UUx(S^bL9?{V_baXYt^k#e+M}^Wa|apQSgWBf*^- z2}E|ICBYXK3GQY30wX8E7tWI43*+m71YZn`1ZY(X?>Iz)I~gSS!jRw#iv(X-B)IK7 z3BJfdg4;C`$aLXdWb?1G8TRBK@z2+0-@CnrCRTA7PkOViK zCBaRL1nOm1BLP~K!s`zC1lKcsf}4f}H!Tv}v`BExc@o^rL4s>F667F(`n*bln+1~K zhO;ENVUa++>}n)Ht5SH)Arf56Ai)hof*TeIZdfF^>O2W<KBeFakDy0iYmb<1B+54;*B(5e(hTW+`zL(nZV zk}-tqh7#8;f8n}Ci7ReVB83FkbCBRl&0omjFQ`APB)DE639dOyf@>BD)B~?Z0<IlPB)FD?1ea?h$Uy@2hm{1^3M9c*XGw6?B7u6~)kuI= zrSP&tB)FVGf~$rES1l4;wMcNuc@kXBL4r#)667F3wC^fn2v-XvL9eqU(0x)hhM-<{ zH4>mzDZJzm2`*)jK=(k!N~T_~{>}n)Ht5W#6Lq5Uh86>!DNO0LA!DWjC7o8`;HX=g3p{M!KEA|_^d{P93)Vm zS4nWGKoaygOM)JY1nOm1BLP~K!p|Hc!DksH=rJVdu}IKkk)XRe2}GBy{Qulo{-5!N z@!J=zCkG|EYm~@A3H67S5Frt&t!H3Dh4}5`11D2`)NIf{PXj)B~?Z0<Pcukx(U9Px zMS_bK2`)HKf{QswaG^$m93)VGSV?fPKoWfBED1idNT42gH4>mzDZJni2`*%i;4?#l z&nyyrW|82$^Cb8z2MNyCNRWdB>hmfIJ}Zy}-OiGr+aiH_+0{sZR;BQ~LnJt#L4s~W zf^Lfh-4+SDoF_qd4ia?LNRWdB>hmfIx(g)1r_Pe#Q;P)ZWmh8sT9v{she*(sL4r>W z2|l$*@To1#I5?pYW1Q#q4sFz)h1ZY(X&pAYba~UMK zU`TMmBEbcV1ZSNm!G#$j3DnE3Mgp`dg=ZZi!PyKF zoHrymZ;{}1F8f*d4JpI1q6zCaRmIZJ{riv;RrS0e#hmBLTPj|4Kl zR{noMEdOtw?NomH+9w&5=rWY(vMAAIQKHj%N_6F*L}!f>IVhq2uu`I{KuVl*mJ;VI zN~i~3jS^^8lIKIZ^9+T~3=*6(Bsgc0;G9K*GtQIXTn-YPsgWQD3Dh4}5}YfL1ZSNk z!C8w0>Va1y0a}&9GY*m9Oa=+g8WNnfNO0C7!D;77a5e`CPS;3~g9PdiD+$gPNPEE1e@o&=xdAi=2`338A?eO@KOCk2wA z(^(R9S|m^}yBZ15suZ4bhyN)aO+aoGFk5 zr=2CiX^RBvWmh8sT9v|+4w2ww1_@3Z5}dY3aM~il3Fk?0ItK|()JTwn1nToD2~HPC zf>X|t;FLuI^|Gsx0If>l35Q5|WK?3!8l?0~> zBteI>B5P@wfbofBZ&2_%-?Wg(UX283 zRSJ(fM1rFkBsgJ6aKa+N35x_roF~DF93(hWBS8)ls6VVEI8h)8jyp?&;}!|j%dSQO zv?_&193sJy3=$kSBsgx7;J8JC!_Jf7cn%UAu8|-I3DoCR5*#m(1jn2u!7+;j>Sb3W z0a}&9!w!+)a0UsE84?_`NN~&|LA&!LIF^G1?KKkQAc6Y4N`hkrlHjPbBsgl3K)vj0 zBtWZD*zOPs+A~OS)R5q)MS`Oi2@W|=f}=S|aHvLt93)VmS4nWRKoT5rmIOyE5~!D5 zjRa^_3J*C%fN)aO+a94?Rq?c+j%*!};j z~l9*0PF#C1iPIl!N)mBu)9Wr93)VmS4r@3fh5@HED82mBv3EA8VS&<6z+D21iLdx zu+NZSpGAUw772DaPlA0pNU*C$f*d4JpI1q+uRs#)b(REsEfT1gU5x~2RSI`GM1oxz zB-m?6u-78NUW)`DIZuMUIY{tPjRZMJpgymXU~hpW*kh5vy8pj5p8v<4`Zwh28+nia zE5R4?Uhf0;8z%)1grV$79?GF78z%=>66|u81iLH}s0Ut+1ZY(XKXix$A7+qXmm$F}iv+tY66|!I1iNyO zU}ud4IY^*BuaaO_fh73ISrU9?kwCrdY9v6bQn=G0670+%!AFJ!A6X>$$RfcG=SlEU z4ifArf&@)#js?xK-0W|h5cuKsAOCgGkH3PMVq`z!GWSs~Tqg$)%Mp)9CUfCCoeN)| zpL)6oZmgFL?`}!67Fh_-2(SOuy&w#)uln8K0*_7BN9Q#*n9c7V2&Tw!KlqCLV#xD6 zpRzp026-((v%EfUh7*fqITyc$Me=AD2fL5h&heI&f zkpY7?1A{gT25lA$wmT1lwj40nUIYw+HrW0zAqmv&RT8unNP-WYCBcUl3DnW9Mgp`d zh1(q>!S)Oid}v7Up+$lZEfQ>Vo&+D}Ai=g833B)Z>h>xLJ}i&~JDnxLPKyNUXjdZv zT9v|W4v}D61_^c=66~}{u+t*JR_95uGY1K_)<}?p1nToD33e7pf*sD1V24El^|Gsx z0If>lR)2@3<-8vB-mk*V2kr4*pY(-TWTa25ecNRmH+RI<^Ly(CgH>R)P41b zl@dD&q{Mb-DY4z6gnHoBD1la`aEn8f*pfks?S>NDElO;+DADRXCAR0FL~D%_Bceo{ z1nLhf3APtVf^E){V4Fn(^}wr<0If=4t3xDc%^<-xLxOD<3AR}zC^=7pZ8=C#s*xZE z3Dh4}5^O7w1Y4aY!B&d|>Va1y0a}&9l0zgYWsqR2A;DIQ1Y0cB-rdM2{v0KP%pb03DBw(Zg7YM8!||+*^pqfMS{&13D!GLg3UQdu)aou z93)VmS4ptBKoV?nmIRwD5~!D5jRa^_3fDVCg7q0B*knks$s)lfiv%AyPl8Q3Nbtdz zfCOU7<@~?w0V&3h1nLtj2{sl;f(_1+V1q>h^}?%>0If>l z`wo%d{R|RpFeKPukzj*Gg7=&!!G;_pc&|o+9IFTQiIoH!3M9dLXGyT$B7u70)kuI= zrSLt6Nbp_;3Dz4DthY$8-Xg&-oF~Eh93=QfjRZMJpgymXV10ok_@G!4{L!!ajov?& z3hLWplePT+ukhaYM|p?+WZq%#@ecb}g8|Wn=nngPcrSO@PYNCi!&|H6&ie3HkJ)0* zGk4+RPWpBFK6xj7v-gu){(rpo8mQ&}MSX*5@}gTM&X-wk2mktQc~uhB^8fdb1hxGC zc#)u%{|5txCP6L#fB#5O%m0rT32OQO>LjS;|L-3OYWe^1B0(+xU!4TC{QvzUK`sAp z<^M%B!+}S;Fg%oOa2%9T$;Vi%?n@R7KxJsoa5!7US9pc z=PTa7#IWZi-5`<2J%)!Taf?Kc?Wgh67a1P*ycZcB_JY0M59)p%JSOL8;5S}o0BJ!m zi$^--bpbYXV=L^t*bp4WF$S9^F;w)RwYB5KwB7~Sf^h+R@iKccQMY?!5PkteRWI|} z8V1Ai_?-#zEuU9z`em-%fy}wBr+a})&{$3g^U_9Pq*C%jz>-c`5o>ndk-H{>^x!jQNu|uY=fOJR9hFC15 zLBxY^=n4rDK+h-yy5KPq>jx*~t&JW(giFg`$Ac(wQ8}!MmMtU3gV=Xi*edGsp z1)W0~(jsF@4HOZ~O2x9!7}Ua`R}QuChka=aG5;o8LCg)oDev`1o?+(eU5aLL@GUtW zGY{&`&v^6?`Au8zw#$*lB6OeUxg>v0Wycp&IXw2mhR7_6{u%a02iX&W>VV8*zm_*X zV3Y~HT$B_Vk+Yc6QcGB5vR>Hxb(S@#&lm;4c|1yMF{P#NRdOob2L56Tm35-oc^2RD zMT$^>x?mp90hL`Otsq4XrLBU}???XW1PfY=Dbd$NHP7SQVtv!)pVufYwEP$HS6$E} zXKM()%J&-e)ZKLP`?GREOcCyhxzp;f;#)S_Z{lGm1o$dd`o2#qdlwCx$qoa3TdmH2_+MnEmme1JxSX zj})?bfA-QV&w*^(0(5(g3bSNry5W12`DB`l&9!McxF!;caczm=n*PeaC)bu#aBUi| zU|G^coBeO=#NjvjvFYQ9dncuzODxnavrwmA%(NKlpg&6#a?b&BDy=f;UNfM3ji8%m zfv%wfbl?BZD+JwgN_puhK(~~pi}RF0r#^=wSqHBqIoN_+ z`>S%O6E6AQ4EC|!_{Az$=#R=_iD=3O`O9NZm$0Wx1K6D(oDR3i_U7M|_Yd=k>c|^G zzZ`i3o+dy4M{FDLbqhYRwnEp*D|;(+^irk(=`}on`zfbF0);L)Z4X;6_ zHQ|ju6>Le;y2!0%)&#hO7Ngsq5Ac0*+w(Vg=7)pHa(b27Qe!zwX*u=mtLlm;Zov;8 z`8oYD^@-l#RWA>o=G8%KmP0CR1$ordiCk_bee^I|KfrU+r=dN=1T~ncpZzD%ee(=7 zIi~YP3QO4AJbvnG0Z5*oh+@9i1RN;<7Cr*^%;Pt`8eTT`#Do*Lpw&*411K|S|^ zBmTbCk6RPBj{XWtWCi-k&jZmgb(Ylvb;KLZ6pcQs!}d7G)HpYkSTzd7R~yS^#6d~R z5fAVjxaDSMh7EbaU3qz&?uRZZRwU-<tnhE~XT zsoCf|X5$slUBNN@FE}k4`wVAY{TtT5g1WtuqrK8-^)vbx$2QVrb;~f$krS(gA-|~G zur1Y!HKNiY_#@30{+z|1#`;~qJ-kjYAFvj5z~ z!9MET2-&H;Rv0^95p0q}(IQ|Hoz=(L3jAM5DXdDH6@y>kdqVH;v2~^UzlyUW99mFb z^?qvb&u~4uTBa1$zH>UXvDtEEwnIyMS()Ll^^&IZNiv%!87a= z7HD3uj;}g0I@0<&kH_`B5~@p%Bp2l?bx|JdLwowJ7)_lUAFVR%Q6EtBlQ{ z577#|(qoO=$SU<%v)AGDI?Ld59j+>d5*xW1i}N=Un<`s;9a-%?`hf z6}WmAZ(4ro>S6e$Z>nD^mI+-i`=qOk#jQ&Cq!o*Af9p>5N!L)GZ>3qE*xgWz@3&xm zJWr)hswC1l*yw%INV@82rbuu|pY$!uCsk>U+$Z%(@i#ehKQbbp6r%$E)+Kz>H-+;_ zpA@phjPX{Q#l`&4w=6$Y`v{*3zX!{IWJo{sP0^0BANr>LA~nSqzw7x4(@h)|l(zG> z?T5-|dd9btw!?Y*ZOadR+w#veu3I1TMnSl@%^Co+nfjq`8EbjV_@Q5yPyC=$UOh&t zeadt&B6#mi@2iH6h&HUI<*Xi>MEnjURZs6;A71n@btA2`5I-rT+@=2^+D3Bg7l+UJx7At zim_fvZJkJMo#_1+N^0exw(57}rYmWwqnF}$QBEt3?fCp9NNDJ=;YvzKJ?YhEZdHF& z1R!$7wM{l*L(I8G_l;iH)52GqwMPFSCF;f6;Wa_uBkv3T#wtnt*yszLZV0u7J&~7OCvOFLB+mT4aOo zsZZ6(KUm%5d&&Pucn)5Gb)Lrg^7vFr zko)IT>Bu_cQ;7_*&gaU{n>aU?|L6So56jC@2umd^eo^S2sm{?@SlEzP9a z{+3p4V5N>|rTj&2gE0w>WYxT`gx95+I7ka~aMJ5S%DcMPrIj$_<8?KR(Cdmau<))B zzaOsGRVC|MwAV$?JCAo2&#~IxRl|_pl``nd!P=Q5b$-2yxQ57YSF8WY|puvD{qa+Bi_N4xBQzOxB4}-GSLgHLNyv;XHYfaU7)*2Jf z!a8g%e|rt1Ms{pVbs&cy#w=Q+{DS7g-er&8v0__mvGQfB%GlOgay~oP#>mWIRO=lR z)zbCy8pa9UX`C!;+_R8TMbzXSD}tr*HlMi`Q+>jyiJfVChmu*t^@g^rjALQuS!34w zNA7C8Dx&f`?Dbm4bl6{hjyZiTqa#1$=g8N2UKE=G*DtA1y8GqBT=n-P@{nuCzI`=$C1)#l}22kS??J6 zzeD-2CDrCr{_(0083Xx!P;+oLV9{TtMK3lMy&mluok31_Eu_u%WnCY;^1!v0O)sJh zhK}$pW|my#lq?LyaC%zmE?YxQqi)(cTH^c=RqKC z)z^I2gw#tX^(gfF9q4q|a$-6YQ#SBD6E@84L58=sjIUW=dC3qs;Bk>I?aXeoB6c0weE6_QK?^=LH z;lsNok(*xlur3k4ewVmuB!G0-7t3&!gN}=(#}K=kfSR!?w_f>q`OUiExV+jEtqhu^ z;&Xa zPiJYgI=Jfy!uNTO_c3bx9HaI=ai&QRO$yeTlst+V>Zmu<&E&xT$;2`#m@Ke3%cK8I zg2X7)vCEP$RtzeY$4W{X8lJd!fHLGelk~6jf*7 zb;j1#Nq)$mFkr;FZtxdSmkohn2lIR=ksXyJG3W>`|cWl0YW%aR@0>*GV@cZ+mefj3>d&yG{uE2XG3eRQmNZW!KeP?CrrOZ#_7rF?I5W(p&oY-O!!j2QcC@FM zOIwDyw8eZe1w591(E_ClFJ>;X7?kTyPB}Oh!6|LZLC~mS7?Vv~s-f(O z8OokaL)l|SxYAJe#0&*efct^#O*fZ5V=jHXnmsg^KFeHm8!L){+Y2dRB$Bg!; zno)bqjM_8Js6E4s+B3{ZfspjsdW{+N@@m>?M!jN2+a_@#WJa-_W^{~Zbc|+%&#@3X z7BeHD%C3`}GQ>ithkiwCiZP{(cGK&rtLg^Sqn5;tv+2?rz{_atE?Vuq4oPz#3MH7W8;R0(B z^Q$KG1TjYeOBBa}knN@{?mzImf4~4)`(RnzAH>Syma@%!wwXUz7B_zcWpVQvF`1tz zi<7xoMq??j(+*w!Ime+RVT8w2U1RzG1+o0U7C=p@SX5!Oq8Se1MJ=3LI%J4VZdG_> z$1y1mmMd(doL>ws%6Cmb4M#C9Y=d!;CcUmWg){hI z;POS5Qcv6ub)R@(4rTtLgpak=gZv?1Mh8(KyWFl9W8}|G0UyGJFP4)F{#=fNhB37i zxR>&`?`)n-`M*f{YtdbY1q%6H`QK7X)GoTyAiS1fLB?3tb+DD<0_AoS&plHvuJz|p zt}mHLl|(cAxxfWmRU{zfx*=Iz)O+-;Ch^-^>=D18Il9T~C6k8Gsp4NznlG_j@mF~+ zjKGUYnKgSm>YoVzU-|GQD~kD|74VB{1mJk-y&(D%y5fI{vxLSnUnE9U(1@dZp3W5$ zO}#$`w!?s>gCcuTRaJQ}BAfKe&k2y1*xi@O(U&PEd;+SzOmR%nI)~KA<)3y02nOG; z%`Vj1?9DFfxn;xxUN*MGD3fe~3A+MM(`9Gk| zE*J{Szpn-CbcaYs%RphRqMVr#*)D0AEI8ABE$S)?Ko`cG64|anEbJ_PN&dw@+_d8- z_1uSgI9piM(I9GDSU{H@+`Re8smh zEu%I{Ggxq07L)p~2{_N=b4h^2x3G}4ll(GV!s2SB%v>g}BGN2FZ(HFv)p?`ZwjwH3 zPiDvXuBDBy07X445-rO2A; z0$0-CPYGgVZ8GtOwE$USEkN>ZHKB<_Z2A>VTiJ!@81uDw`rhCQ8NU^6USi+Y_iwqfTRIrO__wk6g@%q6vCX2v_{E|T#4i>xez7PKzmUYQ zjB5P0K1g6$B69Htmx1<180N+-@xl?2kiU2)=odCgh#aaHDfz`*=Do<(6Fxz!7p?WM z-b`q(o}vvBut3!~fflam1}JnaxHg{HfXRMh!~aL=EFR)kzD3D(G9z7!M|dmWVk@e! z%*5EF>nS(!8EM7VYRkwXPb46`5jjPLb)ov_#% z#|!>W$=FKCQb4XM|I3K3j4Mk!j6LGzczR&2|Lv0_VZdN69=r|AdFSp4)F)h@Z` z;AYjsx_vLxa3VfMdp7LG?d0ZZQuY+utC)(@a z^cXlhNdNgkz}GGEs;iIT?ZUN@?dd`g>+0}ht+9;Z&!}d6Sq5^VotF><)u5w>T6IaW z)IbGTU1Fkd_=Syq|eW#ZEznlgHah;`uKlymEjT&vil$~~Dd6|Gsf zTC1zD(-NJ|GB!D>tgzgk<^0{DE5cWBrMjFe>GU18C|6D%4V*lrd~fis`El8Qi2WSN zE<=#o3ZvV18#q$5=uCIomeAib4rCPh|3wM2~EQWX2SL8E1$=OTiu-aW;$nYSjVwX!q z8^L2CpYN`(mduiriC^kFy+Gu6<_unIk@t9M7^u*DywajIdK&_QAm!W@I`_+Zk5?4G z(uyaANM(*7xncFYlA#k=Rn!#}f4`T{C2|DC@&=u0o7n1IUlMa1yz5JYQ+gZI_}=v; zdvoW#>nn;VL3Pva`s$&7<#X57ay$dx6b99o)hw!Db%1B_h#uFF{nq<%*H@2KLG12b zUp*NW#JY!F{H`w?gH(}%Nh`ruX=8rw7)Bxf{krR`14boRt#OI6QR!V@JsJs~(8Cyo z-u2Z}HYzzj?st9luy#1lEnht*Oj9Bc0T$B=dmQHVeGw|sS5X5{WIU)>pI z)J?UEn-PxrBHN+OXdaDBO-g5|&2prSI?uabw|prIlEwGk#&o)AI(o}jw`Dpya^rf- zS9k7PzPb~pv)x2B^_H)$4Abczr(3?dEOT-9mandux!{(st`tMTF@J)>DQ&(%&ZmAD zGff*6R14*GO@!EW@wa?&UlO_A^qHp1m`fM0MsNA*vdl$CZV$5y<8;ecSMFQBx)SCR zzvZhl!(6&J)6@?!)BN$6-}2RInU1@+e09c5M`v_6IGrg5gkvzRyfe-HxYz>vq~bmfejwfpYXb) zd=w)kQXer{Z(fv&2++W~X$BPoaxT>WbB}%bU471gKCuk@UHyr9leS*?IEvZJ&G>5<_oM;lB>W6-yH!UK4xE`my|3|l; zlJ|(0bn;rdc;#K}2R=tXx~O$gK{T#7+HQ)YqPb93GpJlE(+rsK22TGj})=T&;rmrn@J8Wml=fj+JF^=EF zw^4SkzhB2}=qdQr!oVK@=+*7kb&K2#>{)c>T1+1kiYlnw3Uy@Iz%9BXo zIH^7%x~1Bo_pTf5pj7p-x{#_`c#2em-{GeZ%B$9FX_2n2Bhh*omK*gJPG}eED&;z0 z6@2caapv^aSS|TQg%I=(BMp~shy1Iz`(gKab^jpAuk-kD3i~%pOFJY2UBljuxGxF4 z(BkZFk=SqHs61L1uV3XWe!V-bvJG7Gx-;rZyLt6Guc6AXtT$@c+JDM|+Jjz!G6;s? zec4iUy{DSdL)++ySxV0+ETt#KQeM|pT@L}!V`}ylyhE9Z#tc#BhRasKVW3qpH1>mT zVauo}euyC}4+p-NY7|fWm_yu4qv$QtDCEomucy)+9^&LvVGi7CO^qQ%aF#JVWCk6H zR@)fNo(!@4|LS=DpFpw=rH6(R#S5W8CPru`WlSQtMUTMa0~+cFpEa#*A=lBH2$U>o zBE7~$>Mawgr-AmSnF#JkZ}L6;j}DNyEHgR!Q{^=(D}%oDS_Xs7xN!BD@axP(ST-2w zl!9*_r2@0THZ16M*=#hbsJhcfv+0YOP2VWYrZ3HGGg-SJz-xjIXbKcOrR1_y1&Ab5>N*YCf=Dg9LW)z$^`ZLU+-!cPMXAi~+ zE9Z@PMhg3;K@MdD(2SI7!2k_lAZ7pqqcDJhGy~AQ(*O-%VAuwr+Y71z*m);a2Hhsk z^ZH{OKvfz*PnrQR&n?{Zxnz;o%c21B!q)G|0Y8ANWQfa*eN|_QXNCdjrcY*HRJkAN z5iZC;?iMdRh%(~=z%t{x4~u*Qi)P&Y2Wd3&y~+)J@Z~liY|$!@8Wl6RB1Z-Q zK)*gY{?a%0T6*&!zoSsYcXXLMf;7DE%Yq7f+(tdbq6bUG%7ut*?Q{em8AX8Csr3rV zy~oY^3QEM?SmeSnANa;GsAIPvtjJhIWFmIUJC<|9JC0}HJLMe1$g<0sVw)8E*ox*c z`7Y~^1zjy($)lRQs8hUuUnR56W^3@Vd&cYd4g7-c%{A=Ix)IQnq_(Fm9S3iW(BWy}RaGt>qs>&+ zlTx9MAhYgIPqB=Kq-B7QA}cJ*hq0NeKP6@sAPFkgKoX?~ZZXjE%I-}v-x15Ki)4%sJZL%`IXMo~nMTu@7Bih`qc)w( zDW;P$woR|oJC+|GndwZkOvm<))pVv9)0slk8C7Q82xUfr=CVa5A50{p%&hyfQp{yq zhPg}|wz91Dcg-K(jIo2sgQ7wk*#yQOu>X z{4thU_h->`5YB&o;$PF_=E%u$m`)Q-rwOLR&*7vsnZ+iYF?;J&jkl#dLqghdOLqU) z%BCa780hz#l4INSI&cV8rV$2fL!H@5i*YYyS zml*VuawN*nd|f_lrU;rxzFn%{EQ9GY&lB*sj`D1{S6oAnnK>Q$vK3MLG!bz*)=ad( zH9}7`zoSY}5ww(^*y|5CT1`|Jy<7buvsEcnr+%=c1hT|mkPKuMUv^Gn_UAEvdW*e- zx;$xbPl~@O2jqbu2VH+WIjmU4B=g)VRgx!@NbGeepSGg_xwB$5#$7P*#-ZAO?M@}Hu1JvBV^-knhh;gkzGsp{E$8W^gXC9_rTM zgKL%7yaKHNXV%0|7rh!RV{{#$zGGTN+$8giHEBDz!9A*KxF+!?w#M`S@_~pLCr4e` z@8C`18Et=pIeM^)4F0J4ukItg{vssRp zS47g&RBM@MtObc9oVlDhnwMrR^wXn?LG`}z%v0O@o@behu8%s(HG~MvLl&Y-l}RM6 ztK;J$jh+-%4H!MWV|WFDSb9ZqYAAlWU=GIMnH2l!e;9>-B4~-bn=(Inu*x8dG*jA% zX-P`IBog3_NtquMM$X^N*SrOO_AQ_^g46=P=owyRH2GM*ivc3LiuZVhksvd9zY6^N z5~UgAQ{Wdps|#MMK9nbxxlS$cyD742U=~{!a`+zPnS~_CIYL4zjHqjgsauNqbRpMZ z!Ki^XOsQpl&EDTM1pifjYWSsokp@+kZ1lx|q|fw%&k7lpZKh9-;MZU?V_NX1PwvW7 z@TXNwiZNZ~#|!?VXGE5bMAMpm=|&<8FAVnB75w!l%wtRo{tN^Z1;Ba_=cVYmk1!7N ztjE;KrLsXF(Wq^qDx)`u?4rKM5@ay-~?vwR3F%laTlt>2Re zK@QFw`XI>rP`{^-d(2b67uBg@gmsZ0uiw+BcG|H%wSxY1D;V?oy@7-kj7R-m^c-PW zf!rTO{nNAqy=zetme2&K-&6fZtMa2AlRj$o31K|y_o7ETR!#=Ihlzc^Ej`rwJ$a7s zpaBeU8n_Sjdjq@~F;D%T{ixuS`n~#S-}cIBU?9x^SiK;K^I35suZ7p|$@O4{9rOA< z`5jHNi;BzpY!wISXP4{u*fyV{4_&`EoArDBT!ziguHS3=)7g0ZmJGqy*P3AS^bhH!mH-mw8L_|9<^zMn3pbzmdiH=OZeo-P$hh-{Ua;k)9+}Z(wLO+c}-Gg zb(sAaZn;vjif@4OicChNmRiL})`^UCuJ5FRV1FXdWUNvh-uqC+m-cLQRPn`VCQ^b^ zn|La^DN-A!D!%Bc=u)<&`m7o|vO>OS+%VT`c}2XCFYu$zSvXIO(9?6sp#Wd$VWFhS0SJ6CadYh z3;CjmN~P%x`Bd~VEacO5xSGodw#d29g?v$@Ma>0;d?wx!GZc-bD4aZQh8GX-{<@gd zFdpZ8-wOFg@KkhD$d`C3`uG;|F}9Mckk5{-sJX-o`J&uNrMV3Ispw-^$fsdnHJuS` zk#nC5`J!A&# z!c}Wj;HQo*)O(7qV8tv@=7+zaI>|CWHHC=`WT4oO=49md^tzGDTfuSL`>6Tv0}`-;~_Nevubr=Zg?9OE2~-2gYYJFs|NBj!aRN zlD|ZzXjCPC(j6=R-xvA5!6D@)QNyUJf%z z6-9y3db(^n!^j|wVNu|SGe~1n6d0|M?|TMmh@!y33{oM5fRWEqX#gq7BU1>NNFEt2 z7}G*PT@~jk1hiMhX@!8JN*AGGULd5YP^*GJ_N^1T=y0WC}GkgEaPqfO0)uGFNNi*=Py@3n})Ks~CNL2^l9% zE?{bnFJ@8fH#>K+AF@n1Gm8B*%T!#k-|S(fo3e`iX0sj^*M^F;*(|;o;nsgmuQM6+ zGk$-%yjI%)aJcn;6Q;grZS==lc8^n-r?u?(99R7;uX+;Y@F;KO`WClk)Ghuddr~$j zH-=5{W+y9mSuKY-OFlJayAR44AC+_-zJ=R#zQx-?o~3^2?M|_c{$vd(K@+L>yTxxD zncDj5bkU{>a#g5Wn!_uc11a;9fURV`E1%4iSKWe8AH1m#V4cM8eS`g&&TSg%r?xJT z9?0vnat9!8zvJeSva1IQYO{JZhYXs{K751KcLRJsopRD`J12AfI!DrQI44%CETENZ z*Z#w%9;NJ}-C2;NYmq+Vm$Atoq;yMK4FpI0E6W~-9r@aG)?0h5x8^4STcPK3*>eqv zeFJBb-_W%`B&KD=kMp=GjUU|HP+McO(TaUWM4I1}uln{*Hte&{{aj);Ew{#TjnVi3 zWjsf;;v|08Dmwms`Ew5SAz6B?+mk5oxIKyV2O6za>pC0jb!v)3gMKPkqgNuo9r#5l z=z#oWbu98z{ZS5`;c+B|hfH#MqE~Wj8+xNQ^p8SvcIhiv3mN~*64r+luEB(8Jcf;zpzT&mYcSCtisnBos|7hTedk6Vb z&1hnby`1;NqsnoDvdPag_rt-}vsFGp@JFXVUknMus`tZc2Xy=5Ph}}cxL4I^!Hn6I9jX$vjje{DwrOel~-TxT>GB< z8|~T3Ult)93$HH|!N}`8QGJ6C+2tkV8J-`3;XGgr=Rpq6ybQy6-WU!xcnS@2a4p>C zNqSxh8`Q72%2fk4X6IZZXCKE!&b#CjFL;KnuzPe!bxKR#sI=#?y}nnq-7H6}+I$%W zsHjKt!SAa1K=P0A{J>O;^bc~i!GPlGmh>7BIew4dt>>#~fSeV5HJU|zX_bE9msZpz~d7%?rr_AemU$L%H$?`JI5@>5}h6Wya9AMvmAk91Uhr zs4Wl3m3C4Ko-89(j&Wk~FutriD}%Aw``gxFt(u8`wGAbNR`In>lf{YQ~pT7HVdSB(J-8Fo{)wgi$=5udpl_=rI zwhBKu0zYJ{U*Txzbf~U@PKU3WiA_<55r=a#DR?LhZ>`4u-?w@yaVQ7Z@odoF#5n#W z2ViyS1lT_Zsb5=03O_yk`RNCG=i;g(OJ z;Swz9BQLv}PXJ%M6yA1-1h+FtpmpHr7gl__Mv$Du;2J?ULe`L#n`?xD93-%ld5P75 z$fKP97oRvBc(hB7AsT~cM6F{`sJ3!QUpc5hjLn~+Tg9y$?mFu)+_n4#^}wr90olK?3!8l>|2nB*6`5NpQm=fqL21NPt$Q@R~y;xRybJ8-@fo zEE3$XNO0A865Pl^f~z$WL+@eGZ39jcL!Ihf7 zki%b4e^^Oyy+9INbCv|xEE1>(UX283RSK^-t7Ee8oM z*GQ0q1nLhf39c1Lf~(Gw;HpIe^}wr<0If>lWrs*`IfDdO4GFGVB)Dpk;F9wsxSE3m zmue))L4s)CRm2dk7D$3#XGx&@q-qR7z3gfvK&w)C$srP4${>O6fr^z(xz<4TKpl)B z^f*s~-W(*bpTAg(6O1%Ypgynq1ib~4;EJ;(xMKMP>Sb3W0a}$peVVNscQf~7kl>2( z39eWqxMGpubLUBLB?k#UulWQyd;;}(l>}D`B*A58NpRUBfqL21NPt$Q@N;r!9|M%7cCN8aGnGgbCBRdjRZMJp#HFu;9`L! z_{>=nd}fhAJ@9HIK&w)C!66b{$RNRIh6JBkB>2oC!FlIN@L3KLoUf4}2MN^YRT6ww zAPKsiB|*1E0`;=1kpQhq;dzHha6W?s-G&6+774m75_CCFg6>{NN~ZB;DSYh3l<5^I!}TNIY@A}MuHq9P@h*x zaG^jFoOhN4=PeSbmtBnnXjKZ&Iz)oA86-GwNO0aF!Fh`WpEyr~^EpWHNsRN)E`z7oGp+9pEyf`Pb?CsmtBnnXjKYNJ4Axh86^0`kl+)G z1fN(WIORMEKFL9XQ#BIgAc6Y4N`g-cBtfUMB~M$#9T_AzV@Pnu zBEcDp1Sg#*!I>N+I9Ve>4ic!(t0Xv6APG)8OM=rD3DnE3Mgp`dg(n>%!O08~oHisl zZIR%#MS>H~li+j?5}c@!AO{K5=T#D%E|3JLoF&02iv;RrS0e#hmBJGak>ErI2~HUj zoU%x8$|AvW=SgrX2MLbXNRWdB>hmfIP8CRk4rfWwVUa++>}n)Ht5SG8K>{oPzbuyj zm+{aiH+sQldFuyt@;Wu}<+Y`8LSsGa1N`6t`TK>&iNRy?uNNHUUz2{-56l34UT6}qQg+4!=gloMTuk1Q=%gWC63i7A$l^} zr?jg-td!^|kP;`IrNl{#66%3hqXb%&!ea?aj2{V(Wsu;cA;C$D1Sc&L9Ce-qCv%YC zXpICpNTB|(lHg>4Bsk$L2~JofP!GHs3DBw(9(9NWM>9xp!jRyEMS>F+363~Vf)hDN zaHK|p93)VGSV?fAKoT5xmITKw5~!D5jRa^_3XeEMf+HCuIBrOA+#qi=SgrZ z2MOA1B*;Mm^?8*9#|k9DQD;eT)FOd;+0{sZR;94rAriD_kl?5x!BL9@M=cT@a-IZ7 zbCBRrjRZMJpgymX;Anv)IN~e`j#wm6FS{BE(5e(3a)<&XqTQlIyG4op&Qqd22PO8`D3OB_>JKX=+6$z_p>d(a za7b{-B7u6~)kuI=rEtGPB-o!pfJKXk4i!j( zgU*uRphW`pz^jn}txDm?4w2yF3=$kPBsgf1;GjiSb3W0a}&9eGZXeUj_*d7!n+?NN~U+!CvP{a3BW>_SQ&{g9PgHDhUo0 zNP_*&l3>3@0`;=1kpQhq;a-PGus4GQ`wa>9TO`E$Uy@2d6fkF z3nanE&XV9`iv;RrS0e#hmBKv^kzh{-2|hL?_}C)B#})~8J5Pd-bC6(njRZMJpgymX z;Nt>Gu+Lc%?6XLqUUoGSpj9c{?GOoeXOLi@A;CV21p6!!>~fw2`*M(ASB(TYNT5Ei zl3-tfB-raL3HDkfP%pb03DBw(?sA9(yD~_y*N|YZMS{H+2|jY31bcIk;G-G|a*#lM zUM0ca0!gsPB7t@Pe``Gdk302m$kjLU9{*Q@FXX-62kbXa3LXeU*^@k!Lr*qN4z9|- zelSgr4e;1Jx%0mb?X4XEeqa8aM{W0l2jzWZaF6YA-r-~z_gIur54;*B(5e)Emz zDQt6y1Z^24*lkF#+akekiv%A!PlDY!Nbq5e1UX2c{;-l@cY!3>!2Th z1vACSe#B+&qg=R74jz^x9*<1s!go3szCJ(obP?QGFB{(7l4dQk5S|fU|EqgJ7+zoX zyTJt>o2-w{Yiux^-#rjak>h^w75T-G=XpM5d5jJ6T7qVIeclWw7RhohehZ7_(Jm0w z?Nu0jR3Hr6oP|M~1p{@otHA)RO5qNNV6Y7sN1U~Xe*EeA395d4=oa?qg{;zXjKZgJ4Ax*86^17kl;g$1Rq)?*ycP5KFmRa zZ8Z|)@CnrIRT6wyAPIIlOM;yi3DnW9Mgp`dh1(n=!L|$%>@+0UX^~*3MS`u)lVE2K z5^SxJAO{K5=T#EyERX~{oF%~yiv;RrS0e#hmBOtKkzi{E33eC~?663%!y>^J=Si?5 z2MM;+NH8K2NMkGi-xVa3I1X`8CEe=s) zO9myj8%k`qD6!q5M62_Z*q(zDtu;!Fh!SxUs6VVE*j^wBwmD0JZ59dC1FuE`v?_(I z4w0ZWg9O_Q3AR}z*k+NSgNzm#n30f@@sFz)h1ZY(X zH#tOtO&KI;H6&=YNYHALV59RSXw5-_jWrVFAc6Y4N`lq`NlSb3W0a}&9 zjSi7uV+ILIh6E*x1SN|E8=NOWDF+EQ)JTwn1nToD2}%W$V6(F%*ldwNz3gfvK&w)? z!66cC$RNRHLxRl~2{v0KSnoUuHs>J0`WgvxkU)K2CBfzbNwCRT5^S*!3SRg5{N06^Z)WLy#4UEN)aO+atS^uR9~4W1Kl)X_(fh|zL48|nvX=k<72f;)DDSYJ%scEo-eLc0 zFd(`R-C=(Z@8u5rNx?&5cx$!XSs&i&FUNI|!Y^Q`>SbPA!(dn*zcWF;<@3r-zs!|;yz&OQ^1A== zW4UsX0t? zHuDS^1Fx}O17)&hL`I}@elMEOCy#}1jg0@58Z8)C7L1`!Wh=?V!EK+h-yy5KPq>jx*~t1y9uOa-`9T+iZ)jASjI^jeIZfC}V#4$fO=wj_lpT z6k4Ie6hVnL=+!}ti)72v8S?LUsWJbX+nFwEe4z26;30k!*U`ey){0;&p6}7@{iWAb z=Lc`$L_Cc=5JcXu4f^C&BfSm$u@;(@KJo*)g3e*5kwwOo8Ym)|m5ODdF{p(>uN-RO z5Bt&g9Md&`yb4mW1 z%8oCla(L{A4Ut(C{WI*14zec#)d88sel2f&z$g=XxhN?#B4;tBrIxVBWWBKW>nv+f zpD_x8^LUijVoFQjtK?L=4gAFxD(ghE^DMsQixi;%b-_HI11h^nT0x2&N?Qe`-;ey$ z2^O>#Q=+elYM#fp#rmepKd(_*X!$SXuezW|&ejlomG3p`sk`ao_h;pTm?GR0b%mlX z7P)3Z>@Vw@>bzhhnq?sCHGbKrpp@K;Mbx--;0r?sp(wtvb#Ih*z^5wYMT022$PX$GTvTG!y6UpwG4{AW)z7g z^_(C5is6}VP7HBE;Y13CY5=qhG5g~`2dXu&A1P$>{_Le!o&(vm1?ct~6=uoMbi?;3 z^T{+9n`_f@a7`o>&p##jExGa-NU2eN%+G+#6;CkHNaj2_0)%@7Ti=~ zDo=&mv{bk$#9)s;5m!h3T|&goFa;5CWMXq|@OwOBSttQlCs!@wld#bx0zgh@0ze?z zrxk<648_m z@|VY+E@4lX2CzFnI2~@2?ajX_?;qw7)sZ)XemU|6JWYQ7kJvWg>lS=sZH2CrSN2xu z=%q{n(rb7y%eV=87+&B=f1lR1tZ}j+8eW4;Yr-3SD%g^wb&*@ktO;-lEk?IJAK?4s zw&!o~%nt{sT~?VbHI}oKmQ&BZs;+qA7X09mpVJ>xpXd!<_443pULCY%Ii$i?kVie8 z$mM3zM-QX*13V{v8rm~VP=lHJ*?$t(}?u!Ox`&K1ToYoeDYq48Vr-k#yC zq|^FxYS$b5RP92&HTCN1sUe>99M01l)N?O5;_qAixHWO>=&zteR-m8!JP-|2XIU*! zN4(KY(de@}Y>#tHjdMeZRii+BwXs}A9F(*i@c_?(TW)4%*pL_8m6ylqe&~{7MPhzl zE;7Is!vY#OIhQd4~KyPlsg-NeDbS=EcTjTZsGYc*#mw1PM41p!~T;1hCIeFLYpNxwGh%%^PMEXVCotH?9Prqy7RxJ{S%TYo|Mt`;^u zNKfo9Buc2%KytB%ZC0?&ipD98>Z`A4e1yJ;*EqHDD;(^pjbD|qIEO2efANpRp^XpK zEPt&CuHl&RKh#^q1Ce^zmsjaC>ZPcbMhxNfTMnjLvH7!Y5A0)jhNI#0zb0ny(80(eP)``^CiQa#qq*e}UtA0mrx{{VU zdMSPv<+Re+j?Z6$goX|quB3$2lU{A+R`o|k03ugh+hh|q#GGq%-{^HcEqt|EYxECN zqF$^WUK8{^^1k42tfJ)b3054sveFet5k6FWts&CWzKJGbge;Ac%tk#uziNJzdZD17 zYK+RVUPfQ!OW8#03fRnQk;)GI64xE8MK<`J`c$1fo{0Ztq&18ORT1|ahVRt$gVjyG zm;8@ZuI5vzy{hJ5+%>m>K1)A1;^+@%5!uMOG*A-=8;awqqKU}Y?O4hY#uZx~{9`7oiW3|1jh9SKx zWzd(&yJ{%JyV5Ew&FsY^Rx>T{O0%e%7ZTeU1MlkBMQ{Be;OiE7wX-YLqE{Q)o^vr* z-Wridyn`!m`8PXmh03+nCVGKYs752~jOx(QtC?Ke6z^%B6ANxIaVunbGy}JmzQr2G zIqZnT>fkxFku~H0<-c~0b&ZK+{-q4nnaV*R{Ys`B8$X$(BMO1!=yQ;n57RbLslm7i`zyY|YPM;K`YW*~WTaZ6Jc&62Voe+Qej!`7G(ISC zD70MIIEAz3!p4U)WVMiasf8xOq-)_xJRc%8c5LZ~^jX$YR_~Z~95O4u7e1@VDQgm$OkSK+zVK4gVkCcv9YLd{y$(tnowSq>1uclomO!lDBF?e%TAjAZ;CUC+_-w`QKcWkIBE9TnFV%b zVZ*vuAQ|Vaqm1jUC5@Id#2w#tvZThXF@O_@+eT*7E$EdweoN3ZS zlY(_7C68i;I_k}IGdZw-GOa~)8~g>-WkVns!a5PT zUmwj9v)Bg52eU`sSDIAGRa_gBd86PvY;P&92s5gH09n1Dg|C+4h$`&hnZ=&v3Q<*} zxi_DKsWVARbr8fXO*M1zff2KofUEEFep1Y=ZP3mCJm%8U{1h0r)Dz>>QA>P`5x?Mw(+{sEa_*) zl2k_93$!Gh8J5&2re?*FHBQ%{h%XIn^rlZe*^}OvgoxTjy=?}gwzwFb1 z$`j8PV=gL`Jq4Nz&J1(uv&?16u*^k+9qlRR(w1Q^Z82X=0gt6$v_LLTJbM!6(nfRX ziTF>~2JYIFI!6mv-#+osq1XfFFlXfFFLbLmT%%O2yo z?V-8!W|&JK4W(g-ndXm;>8OC(3p5>^8K%=~nU3C9Tg_+Fim4+hrn5i8boLM1blMZ9 zv!ABZ8#5i9(V=#|DF%dNFs-3y8u)C(F{AydX4D=tqxMWQYR@pE_6##pAS8XZUSmeR zyqb2JQLmWMwn6xurvf*yL7)M|K>O;$XSLHp=)luN?s9(j+;h%7SKEB0n2%ZNC4EpJy#p(d4Y#|6J_?p+fDh)vl`ZE& zuv~kAW=w_x-_rKCJDcZf`>)jYXVBe%0fjtQ{{2{6)CS$r2+t5Kv@w=-16qo>K)BuP z3%}J0t_^y%UEg<>s_m!&aG|Bz91!xo(Xw3BH&nNpqn~E5M{+-Nyvgf*=Z4@^@yFVl z?`yc?H}ziVftBet>uGH1dMo-5^5*--in-Dl{Nfk^C|=wP!bfn$|Gv%=v}LYTjIg0$ zM{hJZSIlTqJD}=!wK?W9&LPus z`Bwu?7=x!P*#)J|CcEg;lo8+4uZ@%#Es}lDnO)HrcAt8=WEb(qGu)LyY&6Haj&lvp z?MWc{KcZw8Y6>f#*8+07MOuepppaG>oVga+At{&+IAdOm7uo_q|16=DNV*1*u=9A5 z{KOAU+VP7n%|qQu3X2z1AnFtr7|R}}umINU6c)e?=-#J;HTG1Y%i&(Z5YR_3fqoBd>3;>uK# ze(4s!*;0Bcw)Oo)SIBr)oV>(t>-!5`+5LFje(@Ix`vpx$A8MHo$L$v%PQZTgq1rD# zOxZ7Fyi2bpxAma~R;4T#Khb5NO@!e#W{DOKi-i28cf$BWl7v{HTB$AnkuLLA>goya zpwvpU9_D63yLyTfBw&F`I{^dNm;gl;3tbz}o`=bP_PoE=*7@i;Tlqdruani%_0a^k z@_l5i3agxrO{$);iO;oGVy(7HS=5jgz-r~pcp$k`leA@^ECu=wl%uLsAS5GZq{Ubt zX&qI<5^J1B^M6bG7bWz;CrK&;uRT2{;I@^ZB+*=wsg{iN$Wn{f4)kC zpMIdjE}e7m2hKnQn|Q4FAiM|RKT;X(L{tipw<=F&&cX40c@6ane3?|9R<46GDv!-| zkgxK@xem@ufwBYlU(jso>jrt{>Z7wPTx+$wst}5GD*RY$tWxu595cQu2RU)(B^W_z zbfl@3ONt*mr~s=!bk;XKVd5&!{Ek$f3vzENJ2vL_)>4o&W@noxss^kJZ^{+4 zKX{B!bF9Xhhc4>%Z^(6wAbFMPG>*ReL-{+IqZQBiGUp${q9Q#Nf0~$zKTS-J|x zbkK@4TO?zOU{p;{(RE&;Mq_fBfxirSiOziG_jgTnuKuseu&;GES3{cdgdnv)U?z8V zkqcjY?p*!ctVLg`om~n4|Eh%l-|hOE*KFt6<(*w ze&aOk`Wi72#QyI38p(+uW)8dLt}k3etHK476@t&!#{4|cK_LEg?fM#kP|3HZU7{sa z-1Rj=OYp1_wNY@_*GNmK(4m>9if9kh< z4I44?cgxpsju;K=uuF;&t_9Mz&ljU!6*7txXQ=gZrG>giW4g9{5e4bs`(YadLvRuh-czMm*xHYHVtc7+`SarBr3+)L(*LH<} ze0;N(UYW>dEr|k{;07&j+hU~oA4?Dw6>pfzvNvQ&DvpT%y%qh5K5c>47coXF)gn8I zJy}{$JejN2&i7A?Vc*G?YTi^y>bsK%*q$4Q$Ye zzOUaq_D89uMB*dna`U1@M1Tfn(+na8icdlfk=^*#53Mk zzQt|BR)$0son8FC<~a*A2kC&sO2|JwooxV|6YYdH)3_bF!aqGIg%Zw@*EgZ8nGqRTN>*R%+ z%hRMRTW1K5l6nMh&eD3&csHQh-=Kc;L9GYgM?D6$9!%53o#-5~40x5Zn53U{t8Gqg z1~p`Kz@5m1o|vN^$64A#JTZ)_h3*rS`Z1&$HKPwft#C4uaH=2bf!g#*>qGT8)A*N6 zJte=xUNWfPWk|pIkk$k5qaH&#>f(TCeB(IXl=cdJp&FHgJV*>~9FjVdn+s!}NA&Q1M3?c(sVPCjkopsCg<3>gh)gyCh^>2S1K zVQ@Ja68`^RCjI{kBr7N*Dk!nN5Ch2B2;HQtf+E79z|#X7y2j6%W?8iB**pc2HH29w?K%%lN)ZTwZUgNMbjF($RV33Rp-yReG3vME82@J** z!Pl#;A`^^!8e$_>#qkM-Rz;4Tdnz{f5@K_25@K^NOKfO6yr*JwuVb-c&KrtN%t|x^ zhY<6Xpjr@{*oW0FHpAIs(@n8?sABW5O0khULu^J;vk3W_FE$wsXT*l{V0sRT(`dEN zA@@`?VviJtit|EcipK9qtLip!Efqtkp)+$b-VqRrha|O(_IU$CC@mBZbLWkRSwf-n z#={&jcxc2x-OS^5!uEM1>5)R-G_*rY0O*n8C>T=#7)uDi*dzpCEK30BcN$Xx80%O7 zm|lGqCE-$L=NBSqaAOn#frW;7pZa&m@k(8!d5`#;RP?K-R8MA$ekLeJP(>7s< zbE%h5lM?hFF!V>+aswShB{k54h8ySuLVw&J3eo`RFYjbzOTa*v8h%6D84KQqQ@aIp zavh4`aI;Ha**h)91Ar~YYaSN)1nz;|e`t+G`a9bV?HR~6AEaoNqeevxZpxK005IrE z_rKIl#an9gl75Cz!)Lh6oq!uY2xLKp9JeO8`^Qw`#vc5=)lV^cSoG+wxhB zKa#)ly0=SJjMVAN^6j;WRg-5uv;6B?E2#q+E)%(Y&T%XbS7B$$b#x~7K*%dNrtj-t zO42wUR_DocM1j{2Lf=az zCzkRs1L$^#@lHdRa)I2X)kcM_X8f6c0#9IWu8uwHu7T#KM|;-NaeQM0BrY#4ahyz* zniLy#0-p8YwG7FamzE6hQQ8X2@(z-z2J;hQ0g@nM4J08wu*HNaj2a?3xDG86f^taT zk)xRP8U%&fG1@$-2ym9@)$9D7gL%DNX{}rEWayr44#Qx6LUiWmiq8BT(TSJT?33<(omY+MSnZgi zGvA5Md=;HZdDdN{ET~XiHpt|IiDZ(V_2Bgkad|aITwd*1To$Cn1v$O&Ev>jrv1eWS zKTA*+Bm`wauAnT)5tId~Ic5T$^z?}c??4PT~ ztvx5#Av)bEI^7T*eIH6%w_9vN8MCQVX>ZFoLqf`FO7`H_TcRV^)X*Pvr~9`1N9t3T z2leRVXytFIYP74Qto%~GrKF{N>cV7HyCD_3r4QdDuPp@t&9PXn#QqskCxRYrf}V+| zOAUIYGeuz$KTcDhbxiMuz2Y=I=K6G0mu<7!XEl*7$9fbkP>oO%`gb@8+KiS`6DX^J zD+xubTZapGtH110m0}j@8dtHGapzWXkStCQ5^aISIzkpJ23R|uuaV;i3Kr`UJuXRg5UtT;geUD8qA$#i zI*uY~84+UnTr1dSg8rf4Z5>^2cZS~S@ec(V?cCE?*VX)w+Iv{%#tLow2zxu*_#rKB zHIx>X=$$p*A(0ug{*`rZCEg(!fmz0nw`E*rdWV9ybHV#|wv|u%u?5}nN7nc1%0Hy2fx)x$EtsbBN11W6-T;jwa$wWmO8pWPLtCM#C9l$np6|4YGw{sS3jk_f?Rzm(~JS&l3$GqNxN$B*348BE8eK&{_yC@fbgSe}rA<&%(tb_ zg5@1c!Ez%7u`QdLrp$@KGA9MgR0`sgKia2+Ovg_Hacj`Q8RgYmp%mcGu$DOf;^+VizYBYvk)R^0!^#98xX=1#CxU%2HFR3%yzK=P2Jc|#L}FZ>9vA7xNoCQ1@#!6%1q5R0 z72ByX@LK_M5Qc^uXlMR$1pbN8irw9X`N_d5<1C`5bP&^$gno%7pfM$3ei$%v{~moU z8{lWRfT|E=2KdEic(u?JVEHZrh%f^Dj#uefdEKaCco40r4)D8|3eA|A0KfRGE@-Vh zlqcc2R*O@6fZqdYpLy!V=0XmiLwn{S3GEys0qvZEk&d+#j3k(k3%Pj)M)Nd=DKpHk zr}5wBHUEp;zVlGOcm%bFY}^w$X?eAIrWXVL$|nYkx3dOT95G~kaXca;hFV-yoH z##MeY;4eNSvLz&RYu?Ki5?Oemv!^cL?_o+jrZnKsL4YU#)<<-Xj?aCBaJaJ`Gb5K; z0s%)O%eGGDO3%^70+AooH}30iLfAEn@7wiHZx{N7kf3T7gHFFr>YQXu=Ir0o(@ zgbWf5p=lz1kK-SuT1PuhX8g}Y{9b&tWBX*FdziHDkEDi--;;BM#|2`}oP@q1ly-uCusU@S`jGxAqT7zK?h_8vLw1@4h zuP%h#jALG^B%@sJG#>`-qAU7SHiav#bd{n7?59Eur8iSv6VB zhY~4Cmj?s+Vv9<<=sfpS^eGJF<2sz;GJzC1(;UbbTUsbC2;_72mV}_tmO?n?rO4^v zIOk~#)nFtI{s zdtJo{#``#^PkV7@gZlJ5^q@>oU+O&cwxGWGsYE%M=b@_~M$`-!v{pXAZ$8pw$ld%4 z@SCrz);PeAiY|ute6C^7 z_JL3_*e}*>TK12i*jMC&x~4AJZxX(uoM6BCrGx!qEe1#DOccQE1q=duKKWjJ6wy4sC~86G4VCrc-EM1_e^fDH9ZEwH);zwFL#n>*<#0bl^dn!l1wjdyu9iC@@|lLtLiSgY=xBz;O>! zC4qpk&eARb8O|dY2$*snO-CRgSH)!l0qv?dD-du}&LbE7H5Gw?6Lua=Ng&`1IFDv7 z5U?W;k_!Y(d61?f5Kuix5`fkt8qeec0j*h8JxIwwKxYU~yHGPdNK+pODBovD=4u9> zO(qbql3+ji7L)fc!5B@mDW=w>*%ZNkOG*d(!OMg@BiN5#rs{(ImUQH9$_w^eqVcf! zZiq-*qQMsvO#RpWBXtJ-KmGh`@>-Mtu+wbIVw z?eK$Wk3& z8HxU64JbwviT4}TPaJ)v|KrzWG|iH4g-E|;`VE(%mGzybmXh_Zyt7bVnSzi9Z}I@v zIr_P8X+2)kG!0#^^nXukAg@bh2OzfJF$i=_H{|8jYMC-^rE)$G8q-8@LUsg{0H*qG93@;&|{`2A@4LjiPQ%pno;YVjrl$4 z;-Jxw?dryrwBHsyQ35*9ezH22_T%^=htBGCIE5S9D>)&?4H;LmwGFjl*vfK==Nnf( zrR_6PmGlJvPQ+FIo29S(9j|Xa+a&i{t|Uw)F_G)7bs428_Ts~h?Zy|!h13N#TCO8? zta-Z8KkIY7>vA30y($q*uZnjd!M)o5SntU{mE*bErr&W_w1(rOfM=!u{`hWKe(LLu ze)()9uW^XE>Cv9xkH+45c2FPHgCb7Ybp*I|0FY z(Fx8=+Bv;Bg7dBu93*&(9CGkoG|iLLyd5@(U+!?|g4givgMFmsF z%uD*2rhaBfJ`=}~VZ1HU9{WIhgD}Bpc~QRUppJsYEu_jdomd*^-|e_h3yeLD|Iy$4 zUvjC}z)_Cc($7G0K2YK1zSI?oo_;~USC_t`Z7AlLN@5hERUYa4qw*SivP~A(oWAU9 zgW!q;1XpB1Q2TL(JAs=j3r7q3YlV9b#^WMs9efu|ag3wED3V^$-jtu(n=7P`@cWr3 ze{$<%?X@S4FZlL-+IR11ZfUlt!cWT*eozGdMoayg_6Dazss@}6KXwxvQP;xZ%TYA? zOXU9^9cjm*T>MP$hW<0k3!=M!_YBPY+3z(k$=&*-btotEn)o7tO#U=ie_fvFNSJOX zC+|J-2~sW4ok(&o{S;a!mF!CT8|uJ&u60oGGyPt3rFG`$I~d>3+(dg;M-Eyia0;0A zZgZnbGt_~J$^J7F(HiKOsz~-VdEdn49-~9Zs5K_xAyLn?MHmA|9=(?!!Fx&ql)^Ye zD4vWs*A=;C4Y$RiRw${_*cz)>%(__#(8pTzhY zfr*f5vhs6{Fjj&D)|r=D9Y}l3lmFHFg5RRCH-_BbI3wyaH44=$hwPOD^fWs^o1ve5>E^zM!nY;o|K@(Xss^@_a?h? zpgv3zJgJZbkG&3|Nl=0W zag;Dt2ahTwfsOX{%Z9)RVA>Gk2w(&yCdEf>EOrP|GgT2~aAG;=PPM#2PT# zKW!%%bvnVQA;G92!Cmilg3%HrxLfN4C3FJnyd=SBg(P_3EeReN5>U%7A^}Q;(Or*p zg1b37!2?Hv2ZjU>3<>UdPl5*}NN}enK?xF2=OqaqR7isR-jd+HApy1QA`+lf7~S!R z1b1>saNm*Oz9GSVLxS7hli+>{65OsyP=W;UMts-_?pH_xyOrKAI{`P=(@sDwyNCoR z6-Ku`BEjt(5@0htVknqMnXv|v258()aLX?e$hbBB|L%nUKOU$|ExCGL8wFWfcy0yXd=N}yC2#VI#@upuyIM%spO*HPlG(HHI-O5F5| z5*Z}8TY>~PYki@FzCeALB)D543GR4Hf;)x;)WC~KfKp*}(<6Q1W{$pa$C2QUA;BF( zf*anG;7$n=+^9)Vf&|ouNrF2SlHj(tB)DxzKn=Wz1Sl0oH#{Q2jT{o(b|koMNO0Sb z;JWuDxLtw-*J~1#AVHjW6*h$16_Ox6TaG(4E8M?adJiM>q|$~!ExU*WC>2K6JtD#N z91<`GDpoSBSOdv{I&MQ4@ty>?N|3;wzgXJ|CTb_3&P$!(R)r+E>8(z1)93`$vWrN7 zQeni?Z2j2HJd#6#n@%UVX-II>kl>p4B)D0E1lMYvpoC69otGrISs@8-cuRsCh6L2I zi%5V{VRX$So#0vy32rzN+%P1#VMuV*dlK9zL4vC_2}+QFIxk6Zqe2o~_m%|L4GE}a z7m)y^!sx0;B)FPGg6ob1*9{4-8xmaco&?uRkl;#9f)XU4&Px(puaE>I-jZO%kbqit z5eZN#jIMY@f-5;B7;z*RF(eo0T!A0*$aHRwZF4iO{K?3T$ zB*B#mNigg!35E>`sAU(C0Hwm{qDLgSm_veLM}lEPf?-2~A@4~rT!I8cH3>?PfI2Tp zFkB%CE_+LY%Z3EhvWrN7QeiaY5ebHJNO0Ma;IbjXWkZ4s-jm>R2@+hWNl=0W)OksQ z%N3H~lD8zdWJo|QyNCoR6-F04BEf|m5?pd5xMWCh$&lc@_awMff&}Ml5|khTbzYL- zQiUYA=q(8@8WK>;E+PR+h0%GBNN_%f1Q#6%E*cVCG$c6ZJqa$BAi=qs1SLp7otGrI zSRo09yd}YqApy1QA`+lf7@eCr5=j3V|Nr+A{(pD2Q|t7#b2*e4a+DY{lo&FU81$YJ zLnSCNSW}_|C8!UR56^2kp7&ZFqlJv3yuUA3<)k65}fs( z1Q$w>;A~BT5+tBLOcGqEkOb$wCBb<^0&3tzBtWS!I_nV$&gPKdyd%MRLxS^$1ZTV_ z!TAy-I8&3L1PQ1QlLY50B*8gvNpQ}PfLeAD2~aAG&Ui$EGdUzU=SXnQkl>sl!D;VF zaIORiPS+$TK?3T$B*D1~NigUw2?h-bsAU(C0Hwm{v_~X3okM~_M}k2^f?PfI2TpFjyf8&U#CNvxWrJvWrN7QeiaU5eWuzNO0DX;H)9RSwn(T-jm>L z2@;&DNl=0W)OksQvlWuyjJG5>V@Ni zAi;^61SLp7otGpyT_Fhuyd}YaApy1QA`+lf7@bIw!1(`HCH()=A3V9S(OfUT2F(}b z^_6BIuYHSVE$Y(vfS`%&^(z+5Zhl*SHk!xuuQ}fgn&Zob7)l)Xo)QBkC~>@|gp8BPp3+Wzn3Nc(kP@f7 zrNk*i32NX)lt8I4I-a7$%#q-D4hc><5}Yz5IAut1%zF}?DnWu{H3>?Pfch{=aH>KQ zob;9iCk+XxfftbgrNZc#Mn4;b`c3sDvXYJM1mtZBslI!aNLmKxFNw|?@4gH1PKn;Bq%`w>bxYu@d`GF+3641u95W<1W=L?zdlDQgL4rdy2}+QFIxk6ZtU?kT z^_B!j4GE}a7m)y^!sw7kBsi2qf}@TEM-2&%8WJ4zo&-lrkl2;{oYgJa0yE6uPIT264ZxDiNh6A;?Rsxq7xDvG9;h|UPJ^ zk0ZezLxMeq1UtMZ!JZN%*in<91PQ3~k_3AyB*AV&0<-_WKk5I+PW}7x?HB1D{|}l^ z<#+A_4i?R6z8FQ4lRT13ixqC2(cWmjB)>0e zKGX8JZzmqc-G&m>z>6q>Qem{iBTDSZp~P-SiQR@0yA36_dryhoB`C4Iri94AWb+zO zA0{PsS4fFnh7x{}V3#2QHSi)5pi~%b_lN}Bb4akukzki0!7f9BZQhe$R|yhqt4UCT z1k{H~f?XAoV5hev*l9>W4ZMg1C>2KAJR-ri91`qwB-m+4u+xxWtM??>S%L&xYZ8@XzQ;ynp=lpw*DDoD`% zKaV$iWV!ip(X3_=-Tmp$n-B3?-|8~=4PCg-ZGKIzH1x_`UHHDH3*SKBZEz91 zs7n&wjY_dTSqLwP?*84gW)$81+3z(k>9x5$I&aZDm;CO<=6tyxG`}uSjPyS5W-O19 zAg{04Bd_ne?!+Ql?xml?B6+e41ggD+!Hx=Hu-#i2Y&S5VqFn?7lnSFQ9>HKs4h*(C z7;HB%*lu93*?SmlF9CziRluOR9kTxwBmvc4l3;s90|4=5^OUh*yKG4wv`~krkVsLbONfqB*C@{NwC#h5^OajprTzw0+b4)O&*b8 zQw|BXIudL(B-m<5u+e)GY%M{8jWr2MkbpWbNwBp-5^V971X~OVsAU(C0Hwlcqempz zm_vdsjs#l_3APv#Z1A20TS|~%LrsDSkw6L?|NmgZ|36no(i|!9o{oL$!=%KP3MsMK zTS{y;l%NJ)L6TkOckS zlAzy^fLeAD2~aAG)_FvNbvY#HcO>XHBGMh6HQ8CqY<(1Z!#%lpq0hUXmcJkOb?!CBb?_ z0&3YsBtWS!TH_H3*5r_2y(7VTLxS~&1gpI#!TJ&;SY4B#1PQ3~k_783B*8jwNwCh4 zfLeAD2~aAGR(nK()j1?s=SZ;5kYJr5!57|>U|k6keDNh9frxU;|Nmm65k30azmjV& z$p3Sqr}zGL=JqU5CnhP@RY;1p-jZUiAqBPYB2u7K7=7UpDZa=d#ac&-wT2XH4Jm%* zJt@|fAjPk~1f-Zb5>O{53D#Cff;HZfV2vRGweTVmpi~(B$|Dl|Du)DX90}GK609*K z_}qIEtSLc)&ubEtSUpfDCJEM5NP^Ygl3=wV0k!ZV5};HVeeMwnKF=Y+YDa?Ah6Jk( z3I5)D609ykg1@gxP=W;1c}arR6_VhKYDw@%-wYNt{<%a@KN6X&{r|tFd*9#C9rkl| zhkZkL*niv{ld%x*uz!Z%WrzKo=F3qu`b*haAB~Q<6mt!?3m-e_KjZJ|o%B78U)28p znaVX#`~PM5HeZz&rb>KIX1Oi;*YC(HNl^R$r;h}+|9_@PQ2YPEfajB-_Ww^G32OiU pOp&1W{}+>>_Ww^G32OiUOp&1W{}+>>_Ww^G32Ohp@&C)v{09V@oCN>? literal 0 HcmV?d00001 diff --git a/hardware/ModelSim/work/_info b/hardware/ModelSim/work/_info index a10f7c8..9cb7727 100644 --- a/hardware/ModelSim/work/_info +++ b/hardware/ModelSim/work/_info @@ -20,7 +20,7 @@ r1 31 Z11 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/char8x16_rom.sv| Z12 o-work work -sv -O0 -Z13 !s108 1551600111.448000 +Z13 !s108 1551863115.997000 Z14 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/char8x16_rom.sv| !i10b 1 !s85 0 @@ -42,7 +42,7 @@ r1 31 Z22 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_alu.sv| R12 -Z23 !s108 1551600110.141000 +Z23 !s108 1551863114.690000 Z24 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_alu.sv| !i10b 1 !s85 0 @@ -64,7 +64,7 @@ r1 31 Z32 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv| R12 -Z33 !s108 1551600110.207000 +Z33 !s108 1551863114.765000 Z34 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv| !i10b 1 !s85 0 @@ -86,7 +86,7 @@ r1 31 Z42 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv| R12 -Z43 !s108 1551600110.281000 +Z43 !s108 1551863114.833000 Z44 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv| !i10b 1 !s85 0 @@ -108,7 +108,7 @@ r1 31 Z52 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_stage.sv| R12 -Z53 !s108 1551600110.351000 +Z53 !s108 1551863114.900000 Z54 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_stage.sv| !i10b 1 !s85 0 @@ -130,7 +130,7 @@ r1 31 Z62 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_regfile.sv| R12 -Z63 !s108 1551600110.426000 +Z63 !s108 1551863114.978000 Z64 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_regfile.sv| !i10b 1 !s85 0 @@ -152,7 +152,7 @@ r1 31 Z72 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/core_top.sv| R12 -Z73 !s108 1551600110.497000 +Z73 !s108 1551863115.052000 Z74 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/core_top.sv| !i10b 1 !s85 0 @@ -174,29 +174,29 @@ R10 r1 !s85 0 31 -!s108 1551600111.665000 +!s108 1551863116.221000 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv| Z82 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv| !s101 -O0 R12 vinstr_rom R1 -Z83 !s100 Bd]Z1a^3]kD30E<26M`Lm1 -Z84 IOc1Uo_kS08]?1_CKOHHU?0 -Z85 Vg27TzclZ3S3@lBLMlA`?L1 -Z86 !s105 instr_rom_sv_unit +Z83 I0[kRSH:VXl_HDnGJiGS5]3 +Z84 Vg27TzclZ3S3@lBLMlA`?L1 +Z85 !s105 instr_rom_sv_unit S1 R6 -Z87 w1551094921 -Z88 8E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv -Z89 FE:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv +Z86 w1551863110 +Z87 8E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv +Z88 FE:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv L0 1 R10 r1 31 -Z90 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv| +Z89 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv| R12 -Z91 !s108 1551600110.571000 +Z90 !s100 m@TEV9E3O2B7:E0R:[VXF3 +Z91 !s108 1551863115.129000 Z92 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv| !i10b 1 !s85 0 @@ -218,7 +218,7 @@ r1 31 Z100 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/isp_uart.sv| R12 -Z101 !s108 1551600110.643000 +Z101 !s108 1551863115.210000 Z102 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/isp_uart.sv| !i10b 1 !s85 0 @@ -240,7 +240,7 @@ r1 31 Z109 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus.sv| R12 -Z110 !s108 1551600110.715000 +Z110 !s108 1551863115.283000 Z111 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus.sv| !i10b 1 !s85 0 @@ -262,7 +262,7 @@ r1 31 Z118 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus_router.sv| R12 -Z119 !s108 1551600110.779000 +Z119 !s108 1551863115.349000 Z120 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus_router.sv| !i10b 1 !s85 0 @@ -284,7 +284,7 @@ r1 31 Z128 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/ram.sv| R12 -Z129 !s108 1551600110.858000 +Z129 !s108 1551863115.424000 Z130 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/ram.sv| !i10b 1 !s85 0 @@ -307,7 +307,7 @@ r1 Z138 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/ram128B.sv| R12 Z139 nram128@b -Z140 !s108 1551600111.520000 +Z140 !s108 1551863116.071000 Z141 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/ram128B.sv| !i10b 1 !s85 0 @@ -329,7 +329,7 @@ r1 31 Z149 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv| R12 -Z150 !s108 1551600110.934000 +Z150 !s108 1551863115.494000 Z151 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv| !i10b 1 !s85 0 @@ -351,20 +351,20 @@ r1 31 Z159 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top.sv| R12 -Z160 !s108 1551600111.003000 +Z160 !s108 1551863115.565000 Z161 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top.sv| !i10b 1 !s85 0 !s101 -O0 vsoc_top_tb R1 -Z162 !s100 G9Pel5zad59i52f<6jYFNl[UE2 +Z163 I7hf=@mlD?E>:AKDSDL2O]1 Z164 VkLTgIQbfzI]@>Jm[T?T@F0 Z165 !s105 soc_top_tb_sv_unit S1 R6 -Z166 w1551596984 +Z166 w1551861246 Z167 8E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv Z168 FE:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv L0 1 @@ -373,7 +373,7 @@ r1 31 Z169 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv| R12 -Z170 !s108 1551600111.084000 +Z170 !s108 1551863115.642000 Z171 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv| !i10b 1 !s85 0 @@ -395,7 +395,7 @@ r1 31 Z178 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/uart_rx.sv| R12 -Z179 !s108 1551600111.160000 +Z179 !s108 1551863115.708000 Z180 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/uart_rx.sv| !i10b 1 !s85 0 @@ -417,7 +417,7 @@ r1 31 Z188 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/uart_tx_line.sv| R12 -Z189 !s108 1551600111.229000 +Z189 !s108 1551863115.776000 Z190 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/uart_tx_line.sv| !i10b 1 !s85 0 @@ -439,7 +439,7 @@ r1 31 Z198 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/user_uart_tx.sv| R12 -Z199 !s108 1551600111.301000 +Z199 !s108 1551863115.848000 Z200 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/user_uart_tx.sv| !i10b 1 !s85 0 @@ -485,7 +485,7 @@ Z219 !s90 -reportprogress|300|-work|work|-sv|E:/work-Lab/USTCRVSoC/hardware/RTL/ R12 !i10b 1 !s85 0 -Z220 !s108 1551600111.587000 +Z220 !s108 1551863116.142000 Z221 !s107 E:/work-Lab/USTCRVSoC/hardware/RTL/vga_char_86x32.sv| !s101 -O0 vvgaChar98x36 @@ -528,7 +528,7 @@ r1 31 R211 R12 -Z230 !s108 1551600111.371000 +Z230 !s108 1551863115.923000 R210 !i10b 1 !s85 0 diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf b/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf index 9e98411..802cdc1 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf +++ b/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf @@ -279,4 +279,7 @@ set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/dual_read_port_ram_32x3 set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_global_assignment -name FLOW_DISABLE_ASSEMBLER ON +set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON +set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi index 95b8c73..ffc0d8c 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi +++ b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi @@ -1,6 +1,6 @@ - + diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof index b2ac7500387f8480d9935dab215109ae712ee5b2..22bba42de06c95052d836ac49f62e182108fd6f3 100644 GIT binary patch literal 703975 zcmeFa4RkHnRVKKrRMNZBlb`RCWk)tnawN+}HYT~xF^Q8&oFiE_ig7pcPgo`$i0s(O zbK*`Oi9;JYbZ;roj$#a>qz!E#^xSw%+8r1$bQUZ8OwWxw%{VOgjL8ZM)5DrpAkAb! z7R$_ZHy%1e=KD_FI=ZE*TUGbH`=l%R?)R$doPEBt_u2dW)~ULs+rR%NA&uh*WL$4^Q29|GghFKXC7dK78K?KVVM0?lmV~(=baP zx$pja%!$`uV@@<)d(G=!drjlS>&)#po0~pz|NRM8LOBmYZ(P0hh8wTB=B5*GxZ(9O z;f#J5Mkb>yXQJNm!k3(rSh`d*}o=u144bAi+u1R4Bep}*ss zZarBkq1tF0_+HfJTlBZ_x|X8@4ojf zbDh4NGpFu);N)B8vW5Nd2j4SiKJwvvKlJVo-h)hy2XAVVSJ|oM&9BX|>$v>XZ%#Pp zh(w=%J6uhwp-S^8aMgjT%1>@*nHJRcgxFve3`=P}QB!1EMv(C2G5_%@1KXnrEnS+(8iZ zj->;c*Ks@)IjWJ%ny5AOaA1?1fswkEnlD+xFS>dYyeyW~mCJLGzoUF?3T?Hx#A%69 z%6ROMIF0eRh+b^W;@QMlCyw#9j}n(LJz z_p&oL;V@g^^S0YT40YUgKZ@0|kI=E|GYkX0djKBX@p#wlnxu5JWXEbI3DvHq8tee0 zw0qfY_oQLU&;vscoC6Q6o&%X@C{i=|ILX@zqi-hTVP{}{mnNHz_9@n*>ocY5Q!&nw z7~Tr(6PdlyJGnP%`k-Zx9MXr99sm(NcH6zTsJ{b+>AV+A8^aq%P`*R@Rf_KFW9?PX z?ZWQB%}%gSp^OSIH*tnN=2?3h;2vUS+I^GNQN!IWtSsFFHF1jPSnjOsrjDX>O34;( zcZM$UIyN`k)R%#~TS081<=kHPNKIdi$R8m3B6=uf=z(+Rfga|bVH=OD!4I;zwPL}g zQ&Wx|kb6*ra&@6Sy_YddT}tt?WhYO%G7cDax{OP585-EyLT<{LG3}XU>z=Eh>&ot0 zlu2A-8V1;SI1X7Hcxo0eEmba!A&6TX9SOM{Ldr-9C{x>p5L!yzWqG3@Ln)^_lzw&aDY?t?04eM#io-#0u?(js+boBWLV~B1fa+=s#knQQZ}ynG{Bu1RkcB0Z>8>SW#_4LvaQz(MdpGOn?g4{7Lu zzIfnuNvrCMw1X-{S#Up-X6Af1{XU2E_JnB(PffO24k2Zv1eB;vAiS1RcUgPBqH;e_ z$=UDo1+lcI@>rJgc6T#bQiXN~Pafce_$D{6@coG=P&I{VzyGJsd7*}+@4T%-hdPtEhrfs3%5sS)c6SrJ zQGiync9*nr{pSu5x6l5`H~kaUCrSObuusLRDu#XUY{)Qk;p!_gqU^WMQK@B_%bVp; zVj-cs7y=5_?m!A$6)mL-J44#@CSQLP`<;vCFbN=v1PLk?*B`H)+=m*yG#6iYAW zXMR#-QnAAy@x3uwKqzhIm}D4WpWd9u8sj|^Zba-%h%y|vVqvyYs-V_GN`(Pn3CcIY z52QeNnfcJ%5sZ>ahNs;Kwo{dAgBJCww|UPUomJe;CX6z|d9se#vqt^If&KyZYH;m2w)Th<*a* z`YG&?%QA=DzCM}96_q^LCt(LyOocUuTTyMl!r7RaNz;ojN~=T_)Otu2ftH~BWfBKi zWirUi%!j6y2MHw3S#6E+3;3qt`uQ%0SL4a3G?y@ZN(`=C8 zsXH{K)_awCD$=I|Q_}<%tsTbxg$Af7`{#s8npK)&w<tAlL2sSrWF#ev;{@u(OiIwm76J z9;nMk71$6n^gwkFxTk*A;ibp}I#5+uW4Nb&ZTpkufuC%%b8D%m2&kaeL#hb01m!Q2 z{6Yo@FEbySS{@`2N+ubeauLcD=b87mA-H(8-ep-1^A!@*83IbwCJ| zgA7mIq28zzgn25`r^i{A{!zbw3%=05qMewNNU1d!7o%Sxu8^nYxY@AjO>1< zrz)uRknWP=6vf3dm~Vn#$N=GG=0j7{3W@obqOfNGZn!AFa zFp}XZ7okjX`hEewC?W*ZUO(BKg7~7v$l|kMa*5gm!fPpYmt~oEuU1rTH+2-3l{2KF z2hP|7>{mmo;(@vwmAW7sUHAjTZtZDF%${mHz{-XzDpz?G))?&-l_lOU29kL>hvVdy zocM~gC8omChOjcS1mw%|TSGupur>psWRl@2G21ed)yJs?y@ZN(kPJOsXNpgm4Yx&Mf!C617{WCL)g#*r5+frsA3l>FZn$*Gh_j23GYZ~ zWHl$R<{-t=ka}f-tk%xR1eD?^SGxsf7)H23lCZ6Z28 zAGuS~c88VlIAk*)5-Q*FI)LQksYYg^jsM2ImfOHsbQyECyv}c9&@Ia zWv()_oLw3+(FT^wmDI-Dhnf7l8Hyrg*T6}+c19-T>p`tj4INUQ0@EA5y5u49W$S@Y zy=*P-G+Y_!HaxYv{|b6{y8~LnQ=L7_A*76yfD*L{gx6B)F3S(CkfD^*9hyJbF%Kza zcD|6|A9sjdReo+=OlJ?Nk_WaHD#2dvAY0^sR#D{sTFN0{=z*aJh8`Gf z4-CJVKG^lMAEi?&Em=_|5L_+8sX0E&A*7I?P6?>4wosgyLRo$x1C-*Zs@4$Oc`uhT zySVI0*3{!i{)vqAOE-iS691Y_k7^6$HIbUX5yz75cn0Af)M}EK*CF-a16%zUIh0tz z1MK7#sdJ#{n#FWY+4F?$9&Fq5!X0LXw%^8apdJhgYL$9H)xz4Uz^b^t@szSS#mfT? z@lH*<16sm6CtI805K&4>M2Xr2!fPpYm$jEGs)Pk+>T1ix70KGm6;S_zcxhHAe zW$i2&dQ)qtw6jusSYsqvQ&0Uyl9h{Pa^)GjN41n)NZnUdd$~tdSj1uC&;vsc3_URP zz|aE+&I8I4cVCXAre7c};i)-3%ORwUlz{4L%fuDQ8kiN8FNmc%1Gu8vsgQR0Qnhbb z>dc}r1tDTcLk|o+aG*V~mp?i@BS#JV3*l#E)iBec@PN{a>Ps6-_;5v4<}!LIv-rs2 z5LZSiZx`28nJAZ@S_2ufl9KMU12B6~s}#bL4Qc3sp$GQg1F5a??)}}iOS*ed8QB>K z{LoNl)NKItsJ2k#7RDb-MBX?wx%27*5WT2Pq){@cm#l#Ac2pk6$t^nFAM~oWNSesn z(e94wc*g@mda-twqol=_kom;oHj9?|U?F(fge(WJVp@}4)fUU+pQ!3?g8#%Kg!E$V zDd6}0t=oRjzNW;Jd!O8I3-{Op_Pw(q!_3KOY#B*l zwWT605&5$GKng@JY7=RcOfo!G9OHoWYQ4*{97-%BLqK)4W#WotS!Sl$Aj4Bdwce}D zGo+yh2GIipzpLLEM6V6YH1xpG13h`b&xXkYLYdvK0#b8oUP2bi{H4^R+ERIzhnPhlM`6EFnQ&KKN+^h8oSq}4+ks+YE+A?uPvMe*xD3IZ)qFV1&<{8of z^?)AGa_@by?cS?ANE+KC$U!Rd8J4wmhWYj`+rYoRw0Ex9D@}ej?7lqk5R}O;50c3? zhf`K`3xJndO>MDA$e_%_x1zG}vY};(w=E;xUB=Wx;@^GkF3U16rGpGl-JzLwV2K~n z&;y6a1Ga4o8+%`<-M9K8YbfMkdZ2HOscpVFm~N<0>I3Sj9+x(mne+@+RCH#KYD@iZ ziO64S_^lxzdQqE5qhyldsp1*~NUt_=StG-tz)~_qR99Onue+?4W#;y%Zy2tq)_awC z_KEl^TR-W#ehM2XS-S=*J=?;WvlTGRKlH%R149q&_Q0-zYIm~4Gjf*Qjbpkc^ot*f zLX@8klLZ8s*=3R?7nCdy{A8QMiIK(UPPKOTQloBDQ+ctZIMwo!Z-SQ&M5o&9n#UWJ zk|xei?fOdY z1BcuL98@~UbcD|+$bsr*K{jQjNyP>%ve$LO`Lds+{S?++7TgqBCU;*R(31{=t6v@@ z^KuRcutK7zyv%B93*{+NEfM*${6YqZUeqSiD4A9oUK6t|BMCwoNqGiwuQo|ICwo*o zA5h#irm;x*)YXKRWd<4rGCXyKrmgi}6^-p?-XRrxpzY^k&@lCEJ<#u!_Su%_<(8kL z-x-r;a&o9~WZKu^W$1yy@_?TWyDtws1SLA_1ZeRa9JK01oMOWfm_ztB=WLWC$o#TX&E$SxDCcS(f?f zM3CXBRP8Q^&&|x0h|VEVQ<_XVy7w{NdzIZZvgHh^iU+g`XoxBFK%+3VYhtzwr=TUt zDQrokRgymF$;0kE=rwdUJH7c14}$<0EUao zu82##Kj$#6%>7Y*ptN$V7*Y=&P-63YD0_D@b^zj#7QD`}tP!`&4rqA|80-Z)VY}4^ zZRxgCEfto~l}O($D=AlNXJi6Oadd^ItnC=pn5Va_fLrG2a7!MCkV0x*)KiHIWx2%P zEVAAY;eaaP-F#Z?C=vW&`3BosLTkWZ%7i*J` zQ5Neyx2d+@;@QdvitIp@rsu+F&pCEwNDVv1otQZ@E4LiZE)AI|x=ZJ1SI5|4#Sqq( zo{a7B*ujKCQe?y26DUy`6LvUA?ZH|vjZ%j+^uS(wK=)eVAnS9^$nTM|!f&atJ9SB_N}Ah1|?kPG%`(WLajREWeOJP#NzI&0lOV4=GbHLvF6iauc#p z!b+(}wWad%jU2A1N*y<_fb#wGfi3#5V28#799Ld$H2h|IuQjf{W;k$oXJN%P28^>b zFg3?#Ii>e)P^XkrT`kew$snn_EWeNeN^ulG^A{V;LrR(5U0*V?WR_aKAU2W4Ya#LV z>Wtb2X30oT)E3HXA~oO0;fkuzafdHa6O&957+!aKfStTOXfR-TIvX-)lX;l;PQQZ}JetrXD&vQpU(F-62eq0=<8`0tRG;`t&hA-0qu4LvaQz|aHz^nmTBFv>dD165pLrK-%_>e4cEDv;TPEC;YsQX)Fl z&R|utV8PV3T3t^dyp~d@tiHcT7?*-4VyC9!Yz|58;Q<182}~ z3cV0dJJCvpuS@q-0cEO8G{zqi13-~*}7={j?2b3liFAqEfNQ>jFS5y$8l*3QY8V1<)Qj3q&tWQKKbvMCF zhYY2h?$DH4BeK|ctA_ihsnD=(EaYz5Ski05a&l3I}=8- zLnN~m(rrlXQEj2TEsQ^wi1s*?`h?fy&TSzOy{Jt_HA)8ck`-`2J|-T_;W)WPr~89m z)fPz;Sv#6?6zUS>OX^2^Fnh6fm!sm(6lOlLxJ_Nj*9cqm3L&6vo>B=}4k3lK*8VzT zb+v`!L~yInU6y-umS156c~edy;uE%+lrkzMjj8)ZtnYr3>8G&1$m+L+eJNCRA?$l+ zLx!1?VaGC(^iXBQ_jf`-zT5kREGI@5oY7qjMekB;C_PkWY)cOTq5Kk>LHaIP9VNBQ zO`|}Dr|wX1R0_g8LmGNu=z)FoKrd?y6f@J4b2wG3=}~Q|NJ~Wi21_MWk=kr^$A%H^OcbyAXn`=Q@nISDp9U1Wmd}*jRF~-xUTNA@Ic_C(8IDX}chA!%1FY_UF7DvuJvU$u3JQGhn6_5uF(#=!Ojx%T-@YG(A zpAA_=Keu+BFf$41l|<#?rbo4}fb?n;mo+jR3M?f< zL|3&vuBZxSwJeiqHpuYQRc*G`E%EzEe7UQi#6R$R(1_JlPI&_rn-y$_yn*U$gqx1c$;{TlI{RQ;;9k}k(8)}Ox_yzd9440$ z)h-g$Ubn0%!bjV(nqImEw-Q;R+On)N;>+b1GGO*%ZCe_0DUMxcTXGVFGUD~oGa#?l zCuBJ>vfvC^hRKv!q3nbf5@mFkWto>ofecUGq28zzgn9Of_|=4jSSFHn=iE~Q$xS44 zp=-*aO(^xrp|!kM!;%H4Yhg3!F|A%D3_6|zg(JR8Ux9Mkj$t+@hl52zbuT&L_I~Td{%pB8uD3c+U4ytqDwKz6#IKL?aFJsE9cRdS#bmr}Bl4l_@FDsj_WdbWS zJLj{xcnHFonbdI>km9Fbh6{;Wyv%B93*{+NEfJ7qvnF^B5WT2PN=C^f!&Ay12|}5Y z@(ki$ZIaN3Ju0=-l&F22S`z7XluTK=QtE0#%Q7#egA7kyp=oQqS8K{#`%Wx250Xs+ zaddFbZ9nfvfow`C8)`nWZB-&RfP+BVdm-D^WD^rtGa?K*Ra==8GnSEYm>B6E$2*%Ho8GxLxRh6mVC^U3D3U$)$Sc&fXM zfpKdiRT7Q?Rf)za!6^}IxQtDJ|d`E;@HO#wPZK*s-=pZa%BnM zoNcvxcQ0xaX_UNQWc#g{t$d)!4peD+E{yh^V^@aMuv6TLnKQL4bCns0NiTnQDab|} zz;~#vVeGIF0%}X=*uDc{LLn*e*)?!d(w&hBLORq|9<-|CEgYEn4@amPSC^aA@e{hV~@>nsxY*3NT`yb zGUiY%KDv6TQQ04dALz%X!*6QFLX+X-FnV!NFW4MaePbylu`q@K_5(jDaSBRJzld8L zeOgheSKENvkZPA%jNNLhd8)e!-Y6(g9A2$Yu;lJmyW!)Q>1~Hv| zjcwf%`@3N*0^0=`sZ1$Zm2*`CYBkuoMqQgIpsiJhrXJE_PMxelzN2C2A?VD>adlZzLy)9itHLsU3?3|jLkb-V8 zUlmeMg|KowJW6TDFnpcK*_+NpNOA_L&yd^qg@OIozOqO_G)GStg`OpzJ!H08A1X z^ee~ro`_w~cfTgHrbJYm`Is%CGi%yO?mfNQQf+O76@7)=8@%~0=MFf?FsFtsH$^cl z%2U!o8P2D+cQQ`0`S3I3D)X^0h5=S+W_h4YX_wbB%p$QNC=Rhar8s1i(FOt0^?_-; zI3kl!dwf!Y1xs%DVdJE)BOE|&TQifol7>LljYzZ81dV;37=_$Q2^44;ND?d~DHBqV zqzK&+8JAIDMtTm1xFVvLsg`>y`ZG7lv@6&jF1M@kP7B*#^sdFzAyX>L z>J)Pz!lsu}ZnnYABoq4yI=EeMeW@oe_47GVQk-*sEw`{PHrfBR7JV-DP8df*M+|5j zEIH?)Y)PI2m0Uv`(lrzr16PEjR%^Q~iWb0i9?$g9A`96RJ(X9OhYoNcZZ~z&BVrfl z5qtW)P#zp}b2-S`LkEy91kz98-GGBInsDFI1Y*{dCOVc(z>l0c?EOB=e{6YpOrJU~2l(mM~xKbI)@rNNd z*JZg0XR}B3lGLC7l_0bzyKR07{()LkJ0;dq72S`rYiDW6&!v1L>BBzkQSD8m&9%!h zRkj0}efkQy6+Tf}nTVQ>--?~I;35NYGW%ExwioE%lADqi!+K*F*Z70RgjgJo%j?UX z@B{5=pJt+df=ob?3o785WI0W+gfbl#Lq>KOj_hBYCBqFGtV>JoRYU zww&`Pk2JBpRHDkjO40@hq@()Jb#rlEc$;h&v7&0krTb@Ysbbni)%Lacl8kr7sIy8{ z=B~KL0MZi9Hoyv09620vOUNhyL3F*?#@$d4JM~$-QDrGJe6zij($^gXrz&*3ZGy!N zpb_T>%WNS}?U{l><*5zbNwDM+>WxZ4m`du7Na>>xQli!}k}Aj!he>7R)&5E#5exR@ zr_`;e1S=~4S67rP_C$4|NP_rf?5rPlI7szsbD@^p45VTSnD?C07slMvWT~x8VAqn= zit9reCROrO3_Z+eMI)`_l{3Q|WG)pnIxE-M!|beh^f2t~q!p2+ICMs5_T&L2HU@jf zWvj1>ahdha9WoXWwv5y6O1+Pxiz5!C%wb)Or;bIf=k0qyT?wjIt8>9DY{K&fSw)+w zJ=JM}MZ|P6iozZUF>x;MyP|9dDQ(ow^K~H5sra$GhH|@$8+WdHVp}@Lq?FHQU>Kl6 zR*S_Uw15?_I2;5Q%Wx_o%ORwUlmMzdaW_s>`;CiZ>2<8AoZ1aA6SWK$lK;aQIU7NV zQ4pXMQqfvNEb}ImGP_s^vm|OQBg~s{BFl*5Fi=94z^RwSVvh=CzaCFE?*fP6@jta< z5q7fh)X%a|rNk=TBns$h!zxZny5kw7Qf;dC0re*As7*nL=qG6}!qgHSZ3lM#>9N|X zJc!uJoLf;vAq<8=O2h&VV;QPS^p7Km=o^{7NjhUetUIy0vpeo?=6=StFUrqMini;% zK81%`Ei5%c(=nafGb`EJnPsb9GZ4L4yUS7WX9_bPRNSWG)6yIr7S{hULi`aIGs8N)dlV);w`^ze`@Qg~gn&e8JAZxlc`I(rVM zN@!*Esa$57&=x9|t1l5ygeDzb>NJaI5`@7prsOUhQNW>+I>cf?veY-I3$OI@FVS+>J8R(k(vVEopx4bX#iN~~LK2C|Xh+st(|GJ^QqN>lgMwTgyFRpMN#QzEel>3c*z&@zV z;7jT`VD@5d2t4y9WEe<{w2UMOWyI?v1mxBFge)gU7M|50Ilzi)=DAB^XM}U(QjcX} zw+PZ5#FdN%Dy8lwSmtfZFbsExdZSVh=Giw=YOZ5NC8O`(aHTNKC+k}bO|+kqt78vO z^Z+Vub`w{_2;W{b{0l`IS9;vi5buH5BuQ)<2Z1V=)6kkxHgr-8hnx5!nR6m67!4fN ziJq#gy}HBc< z%&aTeqY5q?t6PpR+@p$LTxlJ3dsLQt>K4Z;j$%S@wLq+~*E(5*`*L{>n7vq=NTV>4 z;VCiOGLj&a5wDLBkXP#yvYZ&%{cIu2KvXSWQ5l+PC$OUOA6Mcp{F{Iz6Cpzd#2*5% ze2E_vCWO9RmN{t@$new&bqOBojY>h7XMahtqN-t!s>zZ{^U0b?%EJ=(Mr}{TnP{h@ z-sL1Y&&4%W#@-e1DHPknwamJ}Q$o&vN#tdEwXxmxNttI~h@)T3bw;(#g|n=!T+MsI ztWs{16l4ZHWSNm@M8bBPs&k-`HF7Ic6{VGh4Gs|A@s^E>aq|YdVAA2V(OX$fJoRKV zLD9h`KAZ>P6xxfIu{=Oz8Y?OjH>x=|BpWatnfA5&r5w>cZx1P~OiMhgnPpZS>E(fk zQo=#7oD3mYQOR?c*aeI5$*Zu|jHMrmf2d_pg?xLO z%yMd*pq9|YNJY41adI4748s+z^$ua4eImZx1)Am_)!6&vi0C|v7Vl9R7pi=^t}=39 zfR@!&KDD#;f!5JpR`ArX-bCb6Xb1Y;2;j{QN)h9mFJpyd;vV5zbpo_W;80u_+E$$4 z^?4l5MKHVVN^s(K#`I#!c2V}g0i?7+=~!NI;|Wih1h2Sj3yv}~XlT#Ksx{*7jVG|3 z*9fye=tk;pYTyR|crSTTcP9*3+@{K-saQ^=k>V{0c81l0hhs)>7sGe!EH`9aW-6ZDnDb6y5J=+hXES|yP>Ie{P83FB1IFmi9<`zbv zZ^^T3$ZRfnn^CB(uYVwv$?0oNgfDpoSAW+nGP>|%w!R#+ca3B1UC)hY|LS|i{_X8{ z5f4}Er_o5=cC%pyZxq7StW7(FGDwCewt@;mfbwd+%Q74TSTR9eb9J`1fEATKcX<@w z`(1>uCp5lVlhz{UKT2=#W%1EtqQ%0H%A)_|BcWWfy3t|eOYj%7TAo6ldb8F$B+hyc z&bCx0U9oimp6N4bb{4?_~=jrpJHJNY{G#HzYK99GD*66lET0p9KMwIQ3 z6&KSs>;IfnY2kKC(5|f=hU!kZTL_ODfWphv&1tNtXc9Fxaj3z8E6M6a{0oveT6jh= zq8a#AiK4JZg{@^`&yuLBSE@QmLGEm%obKkt$~fG_HsNR*UqYQdf!>5xN2_Zse8O_6 zomhCWBNEZ!$2pQHx8aixSD0;I5-qiI7t;+JymqG`!W<_pC1O;f7O&W&lG>%{JuNhb z4KNgtddS96N*GdV`1K@u-_kt5hCUkybEP!rz5q}%M+)moNX4SDz!Pv#D3M{{JhU>1 zi0rLcQL%l%Q>$>Ix=u+%5h=!U7NA^rk(6_j#(L{QrTx$iyH_FigMmzUJoVceX&u3D zMdbK=ux4=!`6#C4f4b2{O9xvSe)|y>T`&xuBVtup9Ih>7=6v>hH0>CIi?Aga9Q@*e zOYj^IMM5#*$2RraN&K{B`!dXYW9nwCpTi!NOusccK5IsTVEv6&PX1>Jp8Y#O%18ld zJrdSy6Lt5RH5wvl3>U+IHws~IO4yl@80Af{NOcX=RVpJO!&73mWu&_hBzm=p^fTR~ z`ufM>pBet|my7xRZylMEOU5Kv{nl~&Z1|Y_uD3kd8n-ffsjCW>tB@M^u0%R>r!|%^ znsBFHh8{h7u=l8rN1;5igu$Cey?@${o;o56Iv>f=7X!O2pC=#n|I`Jw7M?D%9h6h+ zLX2o@p-GSn5{4^*TwX1Al53BztkDv(Xv`eAH|p3da83M^$kAj@H-Vy&D-QmCEuQ~N z3PbitHvFDOFr0@s~R~pf%*{ zh(gX8&{+#8)Y&Rb^@;qwDTrmc8ttHcE3$yNiPu=@m#1Hkn1}mzOpmqTk z1C5W>Lj4zk%H#>L+@s6#Ze42Kf;jIOr9O~*RP^)?=}xd)NXM10iOtCB z*!xwkYJEiJ^+`1o*rP&ASgPBPu82JGDXH1OvU194ZE?=JKLt;yE6;YiROAmDRWYrO znf;Tf`W7l5D^X<=l@=IwMU-JXkC|T#2CpwNT7jl&0oE1}5#MJ8m_(NoBd>#izg|a+`iVu+?{Hf@W#ZuO1zc=ueCM?g@$mg%=qa@I5M5 z&eD@%)pC=BjgqgQwQD>Qbv+uJ>5d#M4i=xEp&&!dTI2#o;5)_#gj_pfSw@lqJ(mOu zt`=$7q=a$p?@j3!!NIh-P;20_jEG_@0hdjV2Gxj;!F}R5dM*2#orQQ)Eo&u|5*6Vv z3A@~e&5%(@DqlmfWNv{sV_)Wvm=J@_66`Ee$tIO zA9}(_0WP5{k1jSL+T0<-y5|i=*k!R>A5laCq9b3QRaMF0~ zPE+yT1Z|0f6rp0nOV_Ewk<*A~zhS(iP z#h)qMCi4i6>u|J~${nOrI8gi!NM1sifdW-RP{ju?+bqcuyk*TP&kDA!Lis4Xq$Buu zsr!JUiOW?YuOXC`F(Gs&>=*?EKgzg`Lr{dBgp3I(2;uuhw%>|f+v&H^vyoTP!b;n3 z7?;{bS!rLJysqO|U{6lk{gxQ=d!Sl3ziAIbc0&yr6pW(n+%-8aOG;ZTjs(J>GodrV z{n#@Nb-1EaL-~c+Nj#-n!-q7GIoh-sK2#JpARJ)E6$c=-5G5-o4UF@y5{g{(E*?6B z7lDhQADNKawidcTJ|Wjy4*{}LF(|SZ(kftpUc9oFb=H;uCeP=rx9B=)mr`o zqehw&&QWds4^|s6+vxI(62ews7%1(qmMGR6%da@Z^3=&Oyf-PM)1Nv&veEUm<~5(h z(}sU_Nzi$w|Q#}YTw3g3MzW7dL!K!`U+=c#k!%xZNr6*;! z6@<~)C9jnEr+>NHuN^k(4==+H#~z~zk%7~7P`%fPsKO7dXt6kyAy#7((HdSEYq|G5tGEi8I0-EBnozY7EoIr{XPm#Ty;l7QTsKw0nFXELQZ?JOs6OYbeshpRMTF=ZF;z(z%jl z06oPfWMLAoOAVYb?YTFDT5zOATt5>E=frZ-48(CL8M697m5l0HPg&V8Ac539in$0O zJckJ%f}hL<=ztqwG>tUSm&91E6Dk!FA_4Sk3cktKKQjID_pTx}oFjHIcSTp_g;xZT zJS-cF^D6SKSv!jr)kgS)5qa_Z?9%f;6Y56m<0govyWYu32Q_Oi9ha!}hJ>{cj?05( zHI{%&uj&x)OqqsJ)*lVEI9#vr3#Yc)YyvrJZ03NjDXt?GO1RaU8U^qR65tPz+G~FK z)phyu6=GVye#FY}zst(hS0sFX;fR@vniZr$sebcchWCEp*QIMHykS3$#l1`YnRnhM$A9HV zY-m1(8<_P8TVMDa6WTRwvQNBIt=8W^Rk z$ZX<95~~Ug4a6k0lmzzms`=VEu9cHR)wujrE}9nLCvziKRIN34H)J?ihRcwHj$=a^ zEtg0{-5>*Vxur$J__wOaI-rF8HWlOUvuS~{_ts8;W3ZxHh!>&pSc%{Itvln(EPX!q zPrZ)2Y}{7@&ZB>%eGruxwj(Ob*-3LDIB5Y6YOt}~1D7=78JACx-5wS1V(@che59j= z6m@y3o!p8lHBfG#0``y4DbA8CUfN){8Wa2h()F7BdLZ*yQHAyitL~52$@D6gpm@9B zsj542Q8aC~|A#P?4|J3*w4dmRon=s&pSBwY0vw!MEe_X!F^-5b(sqwU(6VLw1ai_) zG71T@RL25|Uc!$X?nXnJeOEpB^2K7l`0E(b!?yZlh&d>x7dbJR%ksYHDR2w`~vzh+S$7Ns)Tb-%~fy}_8w|@33kEMW`k%=R$LuO`>7w=ZyyL!lw#;H z!vJ-#Nmv}N-BXV;(srt4m=%{KXeXzxZ8QMIq-MIdF{XIp_it6g%Z}FPt~?*F0yo1j z_|qS@QvXsYk58SJ*>Aok(OfJqjDE*NS74Ac>*KrumwNy9s1nVcX1h`ursTRTH^I$e zDen?iO8?-(4O`#F$_mJhvEPwjH!lVh2vA^+i+ODHwiMIaP z32FY_cW%1Pcmwb%WYt+N*C@#$^qcgU5#^z;A#%c+7BYU zf}XS168umh5b&fvy?}Euv87;bj@#;BK4~=^9xV9`3@F7Lnk9v^FkuUpaZABAgT|bl zRIZ7Z5jV9}o?)W1()j_560%W!6q_!FFt|U0qm|*oB4u%WKPfd0@I_JMMqXqLEKV0j zXaXA4@mp@Sv-m4VXd-J%VT4DXrSgy+Ah$xpHeicU(iTs3%eJ-Ve9rhFkVy*RU6wF* zUlpHmfrDCHm>&|}$6%bY0d%+GNZqwHk;?SI8s(%QwIilM( zV}LHsN$yd3QK2lJ7e_DP$%`Hdja<0aie3s9kIHE6s^FvYtTgA$vP{=EgCLxjFMZz9 zp~V!6j&98^DO_YEWJ|mOEmOER__kH-h5fY4epc-Y)2LDkEi??UI>3x;0d?($3dPky zaIp+`Cg^UCjYSgIjWDxqPQ6kk`X^%6?g;AU|9NXo$G?i-M*j5;_2`jT;tBj8N^2{8 z3~Ikemb;1R;9EO=h3MLgNA1(2*U3cf7IS&4`LGRc#x1vAh*x1IWnF>i;Y=|M6kF>d ziSeCgmk7y~p4CT*g~ZyuX*$4TE8O8tT^P(xene&MD?#+o2tGG)^4)m5YULHd;>~!D zRsU+Cq&QJ=`PUDr^FuUxy-b@%pv@Z}4`uUNBwe(<7`Fq2d$5*LokMs%Hx!kLT?N3* z1OZ z5P{8kz&3F38i*LX1nCIlZ{6^`-^5}m%0<$SvkT^*@SY+P!X>;PW)Oe`9be-)2()$^ z*6?maU=%1MYq_fw%?3c_swxQK7`h&gjw_@tw$6p@AX2-@bS;{$GAUSsbFh?ZI#;YB zlRgE8yUS(zxF~v`OqNL_madwtui>|=O?b*8&cmJPqi7A>0i^lTGGQ$xPZ`CE3ZbJm zn*7nrE|%d`LWm%1K%EDjZCppoN8XBMNJ!=eJ?syQt-?ew5S%7oq1WEfwkD+?! z=b#=3=PSM;WN?=&vm00^Al`CoBCHr1&mdMx7^3Qw<$mqGg;haq9nTf#B*L^i#FGhvlc;0 zN8`pf`l1Ts9rFwvMR&kK7FBO&Q8oll+ekvcGGlqc1cmLxMaoY2i#FU_#`7Bds9IxJ z1$HtP8%AZ>_ddORr^MZF1SnZe*Ww8_WGg%U3|M4?>Jhf}jtAI!`sD2~r|`UhR7gNq zj_;BLy-$@{B6w&K*Lu)ov`1=}rpH_*a8`djzE==G6?{Eh3S=}?9npIDCl@X)>iIe) zn@eH6byQaDWAZv}j>*V!1;4XkxF0^fY_@_g%HpxQ%+$kX_(y@nUvm5pZ#&yxSb*#*C^}8GLqP?jI(To#%^h1*`ODXe zz3%U7&9%ibGatUXHg(M(-~s8g57lP>*ZaczN@Tg@k?B(s+@s^ot)LbhpKJw}+uy!H z!>Qvc(R*KIYPb9sJPhp3T0_A}t+NfJ%%(pK4u{CNEH}YJZ)P&3P4QGhQ;)nQZi4#r zuRT3Ad4;T9@t;O+#K(df^_9D8@&~IUGJEse*Mi%&lR7F9`1F4hdEuEOH8Zvi6R>`& z|K(eycJj~gR}lV@5oxru_h79uOS^%zbNBmFMY;*D!a5O^9GD5IV-rv0XQyOi_3dIF zdgPb{&AC=R_$-zVU*)?T6)60h@f9rXbrK&BU2*HD)+_Pt&___1_4Nw*_XSd$EmvzW z0y~f5WD%;%U8q1fC7eccsNEoT70ZN&m$CKahU!v@0OEr&a{LsK+@@y+HXwA2NbH{AZqyInF|pyI4qwl^La}-VG~t>Gk7I3ZcK`& ze$2_tw!Q*q(V6>7EC2_8lJZn5pVhkG=gqfL0{Oux^339oqK zZtxNIZ(9lOctcpJF~H{r4Uwx=cw24=S5X&t$Ww~k=24lD^J6tmSC1!+@$M3f z2ZQ2hsl_3*g~e1HLI}w6luF2O)NzO_AYB~A=J)$*)Av5ISlbL_Yis8JjLga>!sR1> zHa%}v|8z-DFHM?ybe%N?Vtmr0(`ELiR-@RZ~YNuR<82ljv zY>WR_DlMmpuRJW9Kff_1^(T!8{^Pp>k4sd$1)=G$aSn|sRmsKHDsvFx$hj&sdD(r~hJUfd;w9H1b>gV@?$)n!Yp$nro-orO}x9 zr;;Y>VcSDh>aIwK>2O&QuIIFB{*PLye7HgR%z%$eMgAB&0V}xTYiCFUCxXkC%BKjR zffr_Ah@#@}rpIGR{A!1NGudZEdPcb_WHRL#g*C>x0F#~@hG!UCgRKvXS_)XfLoG-2 zT`5h9UEGStk{GLzpn>rz;DQ+bAqnTdQaObHHg8J=Dlk2S8*-_^Ll9=Q85~I9*AxYR zCT^U- zv?5JN45@YjDYD}#FIFr|XE2?W_3 zwOA+)44897G2s+^un>vcI0O%z_)W6-yN%ljq&Z|15>&O-#@|a+j#UwUw{66ZK~|7R z4^c7}xQtM2nt-7@Xq#YAQp0iuiBa?fIi3hRrkY8I9cRK75- zn;~Y=99-*Zu&XDeNubg-mIXl;@N7#2r&D$%k-I>KarQw9vN)U?7F`*kcMJoBfZ7T8 zfFdG>@eZiQP~r*+uS{8r4+US_2hxhM*e^EW41O-;G*P;x_7H(m;vN^vSF0<<)?^+L zg9BWr2$GoYnU$>d4skip#i>f&jY`;}ZtNsPG%K!fJYI%#R^fcbA1S5fVm9c8Qi4Nk z*yB{_Uf@hY@=m@JlVQv{kY_bD%y_8uWjF@6F`^qvLncu1uyN5wGP6NrOW)07t_WKO zSE7Y0BjY@U+?jBbBILtSgXpYe(a7?4rKjMVU=@FWRByxQCSMiW@J>8-(pN~$%*j(& zkdB+Djs;Wp4$j9_X(}*q4%%k}PLemGZEeA0Sa}BW4OGlo5(QYwVEuKBIeC2=2o}NM zU^TJ+cX23RJT_36W`#07We))Wv89x=Q-}3Yb zc4sP3>v6nXwDk6xJik%1+PVdgNKGA^>x(d|g|))!tDTTutW6w}Xg3Vd>`@meT1Zjb0J8sg+{ZQz}?77Eq+Huk5&*i(i@g0(ZS*20Tb8winS zP4sd?^dm-$a>AdD<`b_OdGg^eEScHXSn!(dx^2j_7h}KW(%I|e-f*?16S^UP2yO+M zSbdBe5M#ltVrA^g)T{*)G9nYvpBynWa^|>}uXxLWi*uJQ2BANl)8yrD`+6_(?n zTPYd%Lt)Yakj7cI7|5pU+ky^5Gi!Ja#;t-PQz{Mstnd^&UM{cLSP}|@pU|L9!cork zxC{zeSCG=mg9&4J;;eD8qb9ExVqc<$cL z;*gto(yMU_&^9fbD|NLrPDXGa2_=e&4S?hp4{@;j*?wc9hNph`l$ph%&_1TqqrZmr z_#M~chkjQ<(pT5*?fOH(CoqcrzU!XdMa3!h){#$z;p!@WVH=#5Ih0C|D4LVOuP=Y6 zfsm;rrbrD1SORD%T72w%+HY{UD)A7+GYMIaWi^)2(&qbvsgwNX+vhHkrPV)(a^dmRnWly?$4v)>h9E1~_ZyB$Jc+D~Vi;3D+ zeL7MHEI!$b@GB4_6Jz7`HNpGGoRZg35eCm_jNF2EWOG(jY#;kd_}E<;S;JKl%|ZW@ z^_%en+uwkzEZ%*YXDi;MyH_!`ST+!TeXEQhW)U60qI+mv(HAUQ6?Y_L9^)YXi2-+i ziOt~*D8M^BSK(7Xi((boLmE{ZgOg9fg(0pMD+$33bi6)AxR8LWZ3SYafo`SnHJb}SywtK5;cGn|u4D_~*b89K1U&OgR*abl zjrp%5@Z)!ftDA3`4((bHH?opa;*YZ8RqF}tFw5o^#=NN6c<@5p3c1PRZ_wIaED=y4 z8H1s#KolB18i(Q#7SAxiYVi<+GYKh<5?V@diPT=?_Y!OPH1n%e{$Rt(>7PA6GMD~i zk?+|IT5>u5;O4QraeMQn%j~VC0#WoosZZgXOj|P9Xkt|7it3gV)^J5NdgtH5sMc$p z6mLoeYZFMK-Y}5zM}knMq&$N-e7WKhxXEUCcupHiW-(d33}k+4G>ouEH8=hv5`FpY z|6FPtm(1Gwx9auH@Cd%H@Q=luXf9eaa?EKd7C3>vH(slSwdd@IZf|0x=2ldv7gfK8 zVs3BT#0TDKQ+jb(vGVGv^m0P049q35f-8O*bQO~EOOA~{=ie!~T*l0A$-MkEENdP! zm)bink`PD3G(0RBz$gC{}4kIgxS+f~80eU$T&>ew7*90S-vWvK;Hx3NwSH z7k@MAdiR{7y}Hi(!vS7b!S6xPwB4*P0asrYDA<@lTeTScGW+BD7JoC3x$KNVaHfz*8~7s~i|C@`VU1%79Ed z=eQ8adzW%4!0jLkI;w6ExD@>T!ck0y$t`}Z ze#|n6cIC+Od;$Vn3=9-0OA~%9DQUN|;TA1`8)|&X8o?!b@hJYdN+h4bD?P`t7lW-m zqq3?u2jlXAId;fmGH|k8V8^TDK8Ez7Dw`9>^_v6!;vG#l!s)Dt!-}N@>Yzx)ArxyE zU@<)e;Y`9zC&yO4X~6VUxArnM*rTew_(!u==RPg1(@%Qoqk|E z<4e3ix8vQ=5JS(FkwJSJ#foaW_KoS)f4_1>CZ54P$!$+T*35;$%5$@L1h^c8PcKe# zbS@|JpU$ssE!-j-_CI1q#fqv{|92mk%}>4}c=p*p#}hy7QCUbalvL5$&IZD4eJ7pP zGMQK($fs^Vjm*fL{uL_2{!4V55!lkSGVv7L6&^XRV|TxLE3E%Fy{=3g-cPej_78FE zcMaa^d+7?`CsAE@1a@)dmoRNSJ__Hw8PW%hCf3VJ6v;BG*I=_CSjF-{6!Un5&+y|9 zJh&Elqrpe;)Z)uH@S_65APbQ=8Na*X!bRu0I%k)h^?dCHcH z+!WCmgEhhecaDy4pjDwy)EDt~RsA*qEmlW%EmARfZsR`92xcK+salt#vbF#eW!8>s zNHMbHvW3`rj6L$#m@l~Xu=u3U9oqp$`4VU@vsSq;6fe(Mxk+n7kxfV~XV z<|oi`RxDzLWa3nVc|!4RE9_3d^)aou3sxjn+Z{m(Bqvd++QZ@ zWaNZbqE!r1Q@?~H9hC_)D@L~96U_8{X%8mghRvd5D!S$r-Wtl0(aExNN$gPwh)H?D zh7Ko$1`f42B^01a{w!&!Mn z*i^KH`T0lWmf(6Uq&COxta+dOD;bp)d<(sp&?qCjwvKlb__984hu$@(5{=n01LsTY zZTRGBlcAXG+tJs#VQ-HntV&l_f+doYSSH2cs?jh2nXaBJBOunVVIWD6uYcF3tko{$ z$29=GBRKAhL|7g*BQ&0G1hVp%M`Zc4Ka8)${`Sc1Yfm1P#tp}o6Ed3xcfnfR62px!ir-uH{^_}F!^w74oS#5-y$!FlrcFw)J9 zO-sG;f{HSyoke>ZTSX&kSMuycT^1~UL;1g<$la~=ZHO8BLA5)^W#SYE9nj2NDC=>s z;EatZu|F7W$xOI$`s+r&>!U~X^j#;g$NS=W5;RvZH5|cgWgE8Myt8HsB*jS!yxa+g zVs5~V%Zd>r8`8WQ-rzpHUSly$AlN4TsNgq&SIOvgBdp!MB-gMz6z-09B)Omo)gpjS zXgEAs{CyCsU?>V+$F1%s?h)9EIR4?C%`$N!7x2|ltTKTHn13^=j<~`2(r#W& zmTMMZ7Q+=~S}fxtAH!FLz)@c+;Qlz=#tH)kvWB7GjiR!0*x*x(NzfC$QR0Ma=Nx8mP_pL_=Q+{w4@bH z@y@N7bW24Q9-TJ^i`5W+X%dl1d`3p&jNo>7#d0t;HE=rI7X#1f`K8;jVfp5^PQ41h z-YX+ur* z2>RS8oWINs)u+vsc*i}E7jHIA4p|Ac-fFRvI2DOy$FEK-hb0^eoR5_e)_`Gv_30r9 zXAG@G6!j@MvULzC04lKLIPA{~Z2Q(k$L7nw*z<^Qjv}pZ;facui>9 z)g?`7{T&|L9K!@K^ET!MWsI`qCJtekWOz#XBS9!rQbG`?KE>e^8u;GwV(a8+B#)h} zN%Mu##|)DTDT-=Oys$3e?Qg>0r2Fw&>$%niDsLJwQR8zaeEd^KtUP*kD0ksc5XjFh za=0m_(eUlZY7cz^MYmsjSXLjNS>nK?c&-&aW1k4?;l>PpE8e#D!`QQ$c{e7L*MrsF zT6nc(OEvcZ2)+xO;mym@^nZf)w~t7<^gvFBO)LQIaeJ5OF??|H_2Jd_M7TEcbYPEe zzHh_Ik4{OTMxK3ordBQwKhzE71zD7lsUXykqwpNq;TH^ifPyqffWVUI-7} zQfta}A4^#0`pTvVGVTqGEj0Lr0Iv`_UdhQA&PJhp49iSQ-?~38X1Q++6PK{J_b6Wz z3Z91?^(K}Gtzkjo!EO*3g|{0hQ}B8G3`{$+w@co^altO^-LRhH${Y(gX2S-`cs%Zw zRX+2=j9OpdmYcyKjM&IBof2Z*15br|IsA_ns&rQ+YJ`~c>M^u7D{wyKxVGi2=V>EO zj>z!`zFIVmhxy3e!+Nt*Wsu^YFz}u;?~y2Q)@Uu*if(%x~C?uw2KVe+(pX z0HOs1GcB5>L4a?b*RH&*Tz@@~ztFmUr)-ByLC|cy(q4m~%e2h$ zXcPr+JTB35qbnomFvl%cRA?Ecbcbw@tYKQQ;nNfIQ=@+y|GEL+npj`JPf#V&ufka| zy#u5C9TH(!+v62g{g(HvOXIyLMR06WPQE)>vT=zD&+9+|yW{W4GMZwv zU57tj+*JP7&v^=M7i|_@6Laq#t*9*9uE8OVhXfBcPoP}nynq5#Xav)e|o|PBgj}JGz{$h^$F;to2jiOs)uaey_LiN1Q z-IGG#%oB6#mE<0u!!Kj(Kfo{3|2EtjZ(S>|v?tczHu0^awP|-patePX!@Nm0nlti) zyhACYnq35BBpeG~dPuPWwN6_T;(HKR>3ejjf^m zU5i`c=dd(T6}!&*#Bg56a3`}*qekm^j3sv>b8~}LT|($gMuD^RN)t!tT^&YHEE76? zhg&~`&k^h`8O|(?woVJ{D$xR}6fJ)lTW)LmJhMED12cW}B(LZZrP6!Ma1-+ctm=}jbcpD!dj`87f6vyz4WAOK@zB8*`?e5%>C9nKy_ulE-U0vN( zUEN)`|J~y>*jG@SrYU~MLti;p=wNT68}ZQCfC|+`T0^Xd0$~;_i|-dOVK||Ru>~8g ziUPXm2ByasT^&*xTddAY9(d8@m`goTfLBL4hTZd&#o@CLnGu1LXa|N-(^F>s4z0s# zxB3D^n%bIq)Q&f;-K-cEmsTRdg|gLx1}NK;C~(gRz{bNtSNMI@CZO^UuE$EUR)e9@ z=K#qq|3px{`b!x*lKJh3*Zw3rdKbp1Mz>sIZ27qqZB8$yjmt-Af-y#=I+6$*8PJnmpPVq9M~H z1GJg4-1F!CJTZn(ItEvQTC*6mZ3c}#Ck#Kab0GNA61(@J-=gLruu*(tsuq@>e_KZ^4~r z=(7RD&*WagSw9u%On4ZEf$PCw$#3FJn)}aTo{2KkC)kJ2^~x4de9A>mhVnP?1Lg=l zhhgx>hesW6w)^Qvza;w`PvGm3T3ks`(wFKK%4_^r1JkVNt92g}w*A3d59}TCh3=^^ z+Ac90nbzY7qj`nMdJ*C+K~Vd<12C`ZX$2aLmX1O+!3zGo!P>U?p+Q?`FPu1d+8sj@ z%@~=ZMw*5lXyKxY-e^Dac7jv}L<8m%u+GLs>orA#S6`yL;wqbv1;Oidp_hh{{c`XI zMLvXUDVn5ljJ4n|>T`q09Uxnrx03Q$M)8b%nr581JG% zokaEy(R+Z)sY97n=`$`uflqIl@XWZT21Hb5#7F@bo1%izQI?)W(%$N9d0c5fQcxWb9c1CH4yC)QprCigYB@3aq2!7jv9e9rr%!RRQ&3f!q9Fk;r;g)->U8$v4q%EJygFPrflEQ{m1zN(aVu*;N>s&LBYW!6 z0nnaJ(p-R*ty+6oXLJHzxfZt_h#?5^CzLZi#j!=JcQU8mr`ck8O0pOUK?EN# zgQ*Ek54rSl)qSRbPj|vzcTP9aQ=vBpht~IglNG+36x?wnX*<1N15XUSYE=V*syKb> z8rt=RXU343UMJ_H1u~hnX*G0``8J9Y)~rko(0AAax*RxQttvp(4yZHew=G&ULx~q3 zLMB+%Bd`jw%7P3l0le{`l~yw%0mO^~mHR-;H!^|KpH@!sLI__b(I3!MZXJnoqN_?B zJld$h0C7i*h18Js3m+ zIE&NaHRFjefFTKoH5^)oZGcT{kPu^py@b_yZEyS<-hxKeS_rBuI4P~}Kv8_5wGe~` z*%w}i2pSY~hDiouhsP9d81jSx1S=>YO!bbdZxcf#HZufg0)$vE1AhEb0M`JQ0}QF- zr3E7$WMSqZY;X#RBHV-UpJxbM#r}aoqUVRf&IZa(-HC7jb>QgLPIL+`+ycwsK#Cf6 zO{QcTR_=!hyAmR+(&I+PE=nW@aU9Nsu8AndK>Ej)U}Zud<#L~Xn}Z2~54kj;oo&#O zVZlamJ+0#;KonQCz^Ox{PHlxyr$H5$N5K!PTT5dyfH5pYzX1V7&l{G*8DvXw5t;sTuscaV||_QrSp; za6P_te%%O}C*Ompzt%fMwI`es5xxBoEvb8Zk>oD$JvX;bai_|18rz*k){Z)jI#sZJ zfA@X}QBq_!oKMxN;&le+-Z790*ZtVgp5iJy(t8Ed@H*7El}p%#zeQp3M%2P5-(uzN z<-EVHxk`fmpD|VQgb70|I&YkVKH>L!$gF2ZAx{LZyyvZ+f^vJXfR~+UQAFS02$89G zqJk*WDMLi(qj|iWK`4W<{rh{0#V%+D@JB3S1l{+9RaJ*K???%8`oHuF{Y`V3m#K-h^ zEeWTO%A{tDaiK80nv&>)q5xQL(*l~64J*x6dZ|m=5bDi$095c|#C6h$DDsPgLCgh_M@CpM{R`I=uape!G}xmhQojO8NE1%)3RU=Ou2$tJjB+3AX13g5N}lcAbZ94v$l`=g zI&NV$8{b=_aziJtTZOz2i~LiVuzBBSyH$|RsdpWk!+PAiF{A*H#>3F~mi~1n@CL)y z1$`vHXo}`jc*V=5Y`%T~-Qhz)COf(e{a}>J2X|Z>qCHLmiTv4%g@z5i+N0%K-1tWJ zZu+_Q#3;&EZHVa{xe%4b2`s91Rf+vy`MW7;eFQkZyS6!nJy&P z>UMpoLwJ!_aXdJcx(7Tcm`eORZ+ELbuCmzz2~}(zApjHj12bGj!TPe5wHP{q zYZ!K-TIvuvWE3sOSG9rO85~p*7zRegINS`kpEpL&=K=M6vQL5sbQTGLKI(jokbIY9 zltc_9W{_$Og8AFH7PNh4`*q5Vga%O=+-ZKtOW?qt6v5zNm&VeY%B~A`6boo77^L2A z*I1-soM{N7f{mXL)olJ;eL7+Vr%O{YB$D3V6Hg{ONri@MB4%P+b z3||Y23Re29SP_ynP0mQ8;&z$K9yj{BlL!r!dDK*KfRulJO=us*{3uYlA-%za ziqa8~ocz}d+3x;}kZx%7(AvMhWN6HfQAt04@Ezwf9o-Y)Wes>3qtYyN28ZC@RYUq| zIw5?8BMdhfdK+W0g8faz{!G|U12=m85hXO9Cu)4R&s64jj4Gr%vo;8Cj)fRy&W#1D zIhqr4n@}F3?!7_f#V^*z7!ihHV@KW%d>+JSZ8S9 zXxY-Pta}KZuX${L5SAC8O=c%7(flE%-u<^Yv+a{Rz1nLbAH^nn`6w1wz3;QqrGj)$ zzq`;dYJ3BQe~g;ImjG|3+q)PjA=|$3tScL za;nmIws$ThW#`-gFWQ{WV}Z*ka)C83_t;$KevBJDx(01GKC#gPHZN_WQuFta-F0oh zdHHoSQt=zkXZX(Wz|oZ`Q6{=}6k&muHnhixp{;H|<{!p0ga!QIXG+hC$2q`ZDF|yA zb|-@ri@Hl_R3fZK)+31Ap!&3c@ry>R{Wx#GIgBMaWX6Mp^~8jM3x>u=h{ww4v<0l$ z1_qk>!#MM&jOa`)8>xw&3=aw5Ac!_fFBA%(bq#G)e0TbLTrO7h8HT<7%qyTX*Nl}> z{+gYOQeC8u;#L)M$`013Qp174`~#+;BX2&a`auoGLehE{FuylusRqN`3uZ%o z6;uh_q>o~}$s}9pu$N#ZRBynLDT9Q7xV4bpSSv61e%Tb&#JT@KDx0lswZl^)sBBLS zpcB_Ag{7{6Pjy7{lpR+E>j;bj-SvDpRtVDe>dNadh&$-yEVZck=02Ch@r=>2|234O zTe6K17S$^0NDYA&*8SmtGEKP6_n=c8Fv%JRlT%?EaukvCZoTP>a5i?h>sdeXGQ~Ys zO{Wem1m%=*X$E{{7ky|6mDN2pz}}EM8;#AIFpT~@MHr*1h@vC_%LE3B9{e=Q_GoHk zaPJ!<(lM&hr&EF4i{lyV|B281{d_?nu&BBLULAbAqDP`}-+%sI80=GwW)CzNAbRU9JDLEi-H%ZzndxkMc{uf*Rb;aU7>~N6C0$rls`*L4*{}U!;QGHy zTz?WGyXO)Mi^|%|@9cH;Jy(%_=&x^h^95%8KP@M2mr_Tfr5`d0hKA|6*9KC4%U!6^ zDDr_%GBs~@D3Jeke2l8_eMsqhyVasXJyoi+aw{5g>a|X(Ooe9qp#YmPNm71_YPH!O zd^A0{qW0S04t}?-Wph^v>_Sux97VNI_c{y?!Q!~1=dvufiO=zic+^{36qXBRA$bd$ zr57!Q%xwG^m8c+Ig#6p^-M)aHzsg8;ov$8k?|J%-_NsjPk*P+aMa8Xs2CGoHuSMan zLQIEYT)G_#;=o>E{97ay(97HdPl3=9ATAo;oHO)5Gok&2dau{0=XznOs|DJ^6uJHM z%Ky6KN!XSfT=mrCxc^)(n3{#LA&gPgV}=TS+9PSi(q@elH&4!w4HQ%-5d$-wa;a51R5qDXNy!g+1XULPCPigz1tPf&6=nnr zU@)HBqm!kcJz5cuHYE_u2H6x=`wRn3)f!;^U3I6at_nmJ2j~+(zeXQe)-22~#2Jff zNZBQ)EOAjI`@snM@34t&A>Y6W>2xpT!x^$rIqZbPHH;0|v?-BdAc)|H(NldyZ&Q2~ z5(s1{JcZqtB&N3*Ou^{0Bdka z*SD4k2frh95E2R&g?8@`3go`YcrDvi=*uhhyRS~WLzwjwO|Byxg)O%6V=P0u=kOwo z5Qd*H!idthSogQ@Jl~8yah2yyl#b_C@F7=>QMtLfb&9J3X)`~KZBInQW)<120h6fB z(mTnm{w3i=&M+FX`mCi`KkywY)_?X+O#8lt*sY7CfMgH!{04>w?oZM7cU&h?eL3|w zO}7&Wm8tOJMO4c>GNZ*2><+`Z(Eo|oqbGh1@&4dSENnZ9dI^~1)?jneJg=kj8OY$C za~GoBtjUg+`uTuaZ&15{YDGgIHMMABNwmUjPTfgoEe+!me2khy2hM_8?c)Q%oy*AE z*Rv98c|z;**)opuC^%OT5Q#s_x&aYOyMlD{Q>j}?md5>Hn@-rUC0WPq$NB!ns2PQB zSw1OUt1n-69K!IYC?3$)))k0!K#LrL4vN%to`~KxqziD4fu*$x!gBOwxY1gL0@`Ef zCmIMi4gzPQ?jJ`#eXcS{p=5DR79^FN1LudmS*Xd7izs*fX|(HOaJV9@jJ(CH0Uko9 z+L{Prk!IZIix$&BFO9O62CAGAC)Qi6vFh@0O;cK~`TPODJAIxU7;hw|T#9-bNRUa0 z&MnMWU{V#u_B*LI+TP-lrV%X6t35HX*gDcaR;~Cpz75TMzzv9 zps?No0zD3>S-(szs&Qy6w3TP%ih&Ev`GqhSCz+GycNwh!ok91SJ>MG@j^IFm<`s^^ zf9atT>;>WvfuAQ~AkjV8y!Yg&i!eqtWli}UWN}P=ur*CrHxV8{dARmBn1a}XZsyaN zwb03X!U9`9A$Ip;RB=SnA5{vc4rLf*W2#yTXm)+cdz4uNSbg6URXpnWQH-M`0*?0H zb7)auFTQ^?EdRrTJ-L7TLzjOV`)VF};8t6%UP13#_qZ88uycv4b~Q$Y4;&1Q{`(+f zjHzVk-(vY5w4Abv|qurnOR;g&-+T3Szlj1 zdIWQMNl=V-NDtOgg|+6WaRI2>=+VU}^$o`Dev>0AzsZOana1s`V^m(ECn$(2&*_Bb zs&O(0O<7x-j^Oi=H!gg!2h8N<3HxDlv_E$zW%!-_T-Ua)ed_f9>fBMCre zVP#Af7McVjVFBw1s6@-Kp5{|y*#4_uhd&lqjj5EfvTl}r$+JntO^tR+Zf$d6x*#(F zy0C5l!s z))i5`;aPYc!FWktfR+eak3mEQo@lyE3QG6>ix zj+*_I^Z${(W=N8g20;tBI$f6 zK1q2(bUxvEz8;05vu!y3{P^K~{N~;%VUEoAhhRHj9rg@fLOZheEDC=W7M0K&AU(G! z8sh71f8~cb_8JydH#$Ym4LP)KsAL(4NftVy8;9^RxdM)V{@q$nct6c3L4z@>lK@d% z6}(f2MpXr7Tt16h5TXx?0${yaT$2H9pD_BaDb|i+l|dIUR3AD!JaF;rsD9%^S6lnG z#Z?E3YWpKAZngYZXH)Rimu#g{zntW~SV!erX?LP~aqp!RuEsj5U=#A1zdju`YYQyB z<7~wJ=@RVJDtq2UX(%~|Yo6Cp9hYuAMs>DzRpcT8nC?A4pr!jT3wqr$N4;-}!hP$o zunrcLeBmWGItpIGXU-2JtW0loL61fb{`bKw-jJi-QNwPih9ZCD%2GJ6)j=}9X=6P= zrX~y7Kwj_rtce4F-C02r*g47If?GhYf>9JLvn0TRD`fg#)0<7?VDoHFjo92$dmq0O zRrg1_V+$k4vc^>H9oI&ix**8eheH}HAZ_d*4UEeMO?*&;sSHY~kLqCh3E;n)wC)7Y z`F;fJ$&jI80aj>Ho_N*kwJ-}X35`G*`-#)f+vB+*1}W4;o<{lge%3RZTZ1Dbl-ktkQ!z@{c!LB~0Ym_>)6R;Lj0 z>tIIIRDj9A>~XZ2y$BW+OmyTX1|ipWPWjc&b-uu9La)K)Ekxu|3$sV!GS#p-fe{i8 z8mf*%g@%=-=u#LBgII?KGJ)tdLM*`w%9b%qnh5{Wo~*JN$vT(}^#Efn7~zVXc6v^A zY`VY?!b=kAz9I-(=_;lRF}0>#Y#H)!4zVt_w6b51=)4F)Y+_|7GzdC}hKKBQ77<|< zKn-R`*#D+sh!Cm+4U`?$YBmPj*0x7FVscIwIeKi3F~1<^M#f6E+Lf@VIc)pgkbHR% z=O@~CFXBE}R1NxM-#r6)%;7aJz#PO6NA~7mQFSwzF5tO`n}i(_nb2<9VXI6ZkHo%s z4(MlkQJu9RoC;b-XTYM$YB`mjH6-^f5Y0mplxp`aAlW#~Sig8-;2zCpqaPG#^vL%X zQcq@ub!&fS8kHMy1gKw`-i1<`jT7J;hw(LFQS}|cVS`-3@}TcsfSh1krQ7(khUQ81 zrkYeH6G%@A%7+KWTo8nDuM=oNoL!7|vV?$^6`Srl)axCT9IKvnp==cx)F9Fy8LubIxMRX+)=EFvx|ifTG)va)Xz4M@n_w6}=k8 za&?9$W2znzbSwUy^zN=mkE5TmOQun1PJ8O`U@_Upaf7fLtwN!`2i>{Dzk}#395EwN z#;XJ#6Ci@HRTiwOjTuB9_7O~Z03F3ahlc=!j|1q69DnT2HLcl6XtF>Nd?`zoG-bq% zH*OTP%+yDZK|Hba;5{AG3ZFbLF~top78XH>f2&!8jAWWygWf2r|Cu4Q0e$ogyK{@ z?YVBwV34kbm~CnsC-_57;vBbV!EyvG^-6?=sKUMR7)=TJ5H(ka8J(Wi!n!G9m?6^a zofNmohRSjhno2=O;dbDX6mB|rJ%NkVSX+;45(VxFT+;%a_rWSnkIG%WsUazksL3KP zMl?}OXvWkHUFvCx3DvaLd?f+0ZRz5e1+~{(v;ySRq4A4ypub;MY@VPA4qTP|KHSgq{fz25q z(g;0)I7h3H@;!SI?R-mV96C5nGw`{rUPj|}5}-0?#n-~qObISjYl;h6$xoup7SKZN z3mK@}_dIPjG=Y`?CHle(!HuJ}EDinS0!y18PCX*{xI-iN>qhXc^U&UwCD%I^RT#T% zFH9h&!H&0JYG@y91bknlDL0-S(U(7lb!;!-EpXuZ)RUFJ{=8E*^eSnD3|O7#50J*v zjnXpG`_YVj?=zQDH)_3+|Ty*AD=x|dh8Xc;Dfz4MMB8Z*TV=fX|t>Hzic`DZlne-{|be+q+ZbKC$rrPD6`SMcKwb7xmV zQ=prar4=&TZk6Xor{;<$Q<3F!!XW+gAn(d)PV)m4Ej+TwUDfyuTCA&6&SIWsura{f zI?!ncNv5#@MFf#trp7((6QSHjF~^(<@}-?N!<| zT=CQygQ9j(qHXk3`Q>(GUsO8X7NNE9o?F{NbN|XXwj+R%QO$9*S(}(|k;+S)dW_(f z1)DUq4Yx9`&cHs{6KksT5lE?oCEKD81=z1}L{1;tpy~QntL-rlzfRL=;u8Er^;y?a zCx8GKLJ4sDvc8#t55fmX)gE(I?UAf1RzM?@O?Sg8Lu5$pleXy3`~2(EChELNgu^(JYR<{ zLtkIY;8odBv(XhjhG=%c4$~U!261UJ4r6?(ns{?JLXg(W1>Zir+{n}72}XvIF$~2W zSnNMLH!XDbhmBxjV-b_Q;n-QYSy)suNGmPl^YC?gOcw_&G zs?YGTKI|wzFQTz+)euu`$*|{z;K(-~S{Pioc#Eaz{#0>KZ;DILt#kJbAAQv;@Y2GV`=2Y6wxnTKB9CSt^g_XazM{vr+yCuOC0p>@$boivvb3UCQB+op@nOgOgiwAw7La zHaDK=YB-N~vBCP5R1o9_3Xnu0Zovaqg?w>Ak>tdV!ED4(WvYv;CNp!g7}E+@(mSuA;S(gjfa7BKj8ZgW4ihoF`JT0eZOL>n++?W~ z-3Y{YbwLmh+OfKi3GO5lr+uWoaOU4v2AlV#PuDtf194#HJ;xL8Y|sS%ZOv0WFJJL4d@w zhRo*aOJKHu?)_L%%X$N-R+XFT>IhatBVACGNdCctGpPKh0$4)6OmMAHmM z0(jl=xd>{Y9Uz9_^4tkQH+3Ui3u%&++PEkBO$MIeI8`}0bDUs7oGvN}Y9|ga9!Htx zD%18sl1*+R^S3FJrO#v8(;IQRd}%=x4!$8hoGqB)3!lCOHXkgi?%*z zCQ@ku99Wrv!TkbJetbHa0ApWWTU2pWt%0mgEol|9;3AcxQ-?}p5(VxV0p4d-^J=X~ zP=iglB>`g+ZtKT!nDy7P0e#}*E?4+l!=J+^-G_hpmSF3@Jz;|MuYLwEY}?7a8)H<- zVC9h?4%x8052&!HNXj@sF!#_H6-qeKWiz~GZ!g|7r?8SKm}s6YDyP!Dr@4StWKrWe zlfO8LH8w(D{jq7#-i4HY)8j(CBNezermT73ej2UrT}@~8u9nfEK9jxZi}6f#XOdVH z#KrY?V9e~KYoXhHBRN#tA3{J|E~jra$vWD6ndWnP>BFMZ$>(kqq;vWw5t^kXUh^V) zGUd}wii=OVujng9pU2DE3t=;VI4$|&rSxy~@S;)Tw}(OM`bsmpANG4=Yjb-NF%8f0 zGVq+TQQts4GBiY2_a5BodK!xZtp$Xc=J?k?v~TnLN%wZLE_QHhkz(6>j$cPiyDdiD zLYN7k#e)ZjLJy&FO|XS!#f;voOAvGd8&qx7Ja8H*jhUJ}30|~f(8@O*yp~P(dgY%A z)bCFV!A_yNZ?HNh$p~E}VMUw0(G)qf=lwSvf#>C@FoNTx_M%2WU>Kt+6l?))D)O8R20|fdjab1E8p=~j$CfZe ziG8!o#939AMQdzo~XCqK>G)tA74f8bniL&cQ zU0o7opTY1R$Vp8_41hyGc~rP^nt}q1s2W?cTTowYlgNE6iJTTq35XWW9(IH}9pUI> z%??E8HwS2fK5HkELVw4 zdp9trL)R7+3Jo&FomeR-e_GWA7ZURs@EFHYW(&{~4BfFJj+$6OQP0g9GZBOao+i^Q zEDVa79V4Tc{%xA}z@lmfzfRGO52Qo-(h|y#eFWgQ8^#i-&G5z5t&_Nz?u=@oASDnf{ggygP5u7 z0;0wav$Oy8e-86`EOL+hpr6V&VqfIZm#TF8Kcu7Z!8H`@|LZ$(kZd4Yi;_TG@y*pn zh_O@7Ig~oig!C4bz~bcV@g`|6*S))CgdH#a@>;B?=}tj3+GzndN#)6<)mdAFNd$Em zY`l7Mp$PqQ@Ze5oT~BirUD8;^LNE3)7Z##X$zMo8!}3{2(W_>Zn@+GEZ+g7U6y$N7 ze=<-2dI2rh!JVq@OtfQJz~*%j*NIR_IW7751ZkBz5{3z0O-dT)q63kR0uS#SjD8Q_ z88I{>7eQyY;2_4bg?^T)Oh>V>K$X2SI1Hm;d=pFXVjW>^OF}xRnK4SrwbO^xNGJLxN2P;Emfi{4o ziB_|UH*9H1$&H|2m?^2`e1cdP+$dI;P-?d6=|O?6kQ6OwTD;CHR#dix@AW_^&@2On zQV0=gK->0X@fm(Gt0j8x1WuP_`^8cMA?re06%wGNgcZ6Iibs9LZ*gAq&dF&FBI^ZF zJP3yIAO>n?96W}LaOAcAK#sORP1sxk+?{|813kPHI9RJ41(20yWP3|YoubZr7%yuj zIq{Er_Gwt%U&TnB2>3y0! z+8ClC(DSq2NDQmFMxd-9oUasFvEbY>c7_8aq^nvNOt7 z`#=S!w=TJzl&Z!#UEuH@bD7Ufbs>)=QdOBon3bXLqS0-#Gau8{A2uz43&+iYEGp*? zx{(@o=rY0)oyu89$bnvi6K!rvaWN=Zrrjv8YYH_Z|mO-phBefVb zMib=>u|=&#R*&+-fk2J9Tz>r7!DL;p5TE0RC%B2krP z;~zO3fl(1YIRbS$Iug;(ikJw?X!KU{e4L!1qj6-!hj9Kp=KGi zueyJn)|4)Uw_)5)6NI3{N(*H;ixr@%KZyc2${qy>Xa{(o!DDC>Ff12*;nymP(HSBr zPksjlhmS0%(}vea?$QQDw;x&(xO0DpN`qKU?jHRJ1_nMsmT$Dib*2WrL5|9`5ldKZ zz7ZCclcV@#Zf^ZB6~ZEkj;%_I#w06UaN9=lQNfji17luOdd$n9f-MK$Oy{|w%w z6Cn&t`Vt!X<&nU_q8c~XETih?2~^!<*!9=^-qLuO0c4z#>XO?@sVc+Wv?)qE8J4tA zWTB{}I|H(s8Tx(b>hIHm%tN~zy||S2xhso#)7QfbYuRWG*ukWyb#JF%4wEGXo(g^m@5PEd7^Y+yW$Cl1g_{Hxvwl_G#{4y4LM}n0hYH$xQrJL;x;-g=7vV`A zOBW=7vO1nb0%ub5)i5dA)o6s<1#QtuN!Y}u$X&359HLe*1a1YxdT`f<7A6rxcq1U^ zmXYfl#zQnfV-E5iiUK;Tst0uk^8U;*)+5($Wl4{FtiLS z)3?he#lkG**zCzDf*Ef8xS6ZvHnf(pist|}JI zm1qX}+f-DHQw7xlRw7DX3qWw8pyR56(v8)G@vAT+!24heP2f>4`aj^e3m9I$-MQwG zrRe0|5(XFpWjVBDoX@?&7Ro8CSXlWh!#$uUz22L6m=rr>)L+)7&@o*g_mbxe~-8$&c?nq=@iLzZ6arMW<=in{lS3axT* zB!GSIR?`d~T{2X|(zCGn@Ku!CwZihi1oZ3|_VeTTgoxe#l;K<&`ye=hmVa!M-~=UBYGBn!_CWle|(A-m6uN!nMgoEF|olIl_*}+*w7VYL=y}9NFK)sNptl$ zx%bl|^E$i3Rt%?57$I%u5vnglTXq;GeOK^1zBMggk{8IL&(!mQB-Dx?Wa0mdCW zeqIeAe_M&OA!B(zkeQIFh#ERp{)wn+CzhrIszf!6QDMcxJ7hQ3O7EajnhY;y@SU~Y z45r_WWeq0%%sBzJFF|VGh!tCC%~g1O50cst(eO>u z!;;!U!@J)^`Nn8ETlo8hl0d2zT**2bxMfIZ{rndcBaBfI;xaYg{hG-N=oGt4ZYQOx zag^^<02QN$sF3n&4H=`1rCv=U0T=^NBgFrTn)j80>)&!+6u7@L<$%LcJwBk= zrTx(17g;asgn%&^V*wslv5rcxG&%|}6clnV{4k_9IArmbJl=s&I|yZtNz)H z3#%mh?FGZibkx@NJ2A$3U z4QSFMI71?jh8-3M?t-aPACydD9x%W-IiJoqkh^a3HGUwd<{>0>o9=1!ebMT04ee*s zpkVV|L0SNn1Nf}i!KK+%(+Xn_ZkCN+4$O=zn~L%%bf*Lt|%U zncjXS<=0h1so$_5kllE#;Ur=j9$aC|H$G4#!stW3=X=(cF`OE1xZL36fknA?4Hl1z zn^Q+;ZYNO`RiVwK=tF0bDaH)y>Z6-h^Ndip?=6HJCPS1t&r#voY@k;WA)ss(>|=j``%RgzpCy-^AS+6p*tvj4+#p5!@%nLBLw!MF)Db<3H#X8eX(HZRgXV^) zi7>|HaH)LboS;Lj(5-P3qXDl1m{#FRi5b$DVn@{#WNuJg#$|?E4H8HR_J307;Hz+? zI*oeldBR=rtPu*(YRrJZhPo$D4MKY^1O>JA6YyUZkAoK_W<$JCqCqqv>SA!WGD?%^ zc54z;BnR_)JOG<{cpx+hMpYjE!*{eF5ui{y#Kr6dBo;%ANfhQQoWtg=G52&wP%R*r zNf6P)L-lBQh49|>d&_jJf-OZkdYsGIZ`k{<0IhS-bUhV}hxNW-*f%x_6w_N41n0Up z22L$1%5T8!<8};Zcwq&g32xPv?^HpQfD&@*P$sni7?&?ilsSn$w9;aAYcWp-z=sLn ze$vI}p?vN__5>8I1B7y8CamYK2zIz{rrg?l3S;^Q%ijs+ntHD zqfV1$EZv(iuB*ZKe=!pMO#-8B(b}UVY6aQehpo6{CT-GKhLt~3iJJ9WY4n=+RJU&V zjtgp2vpWajLi8t51MkYA>?cPz;blgycL_k7f@#DkcF=m&EkCTX`HWA}oFxK(D3sS~n;& zE^@>AxV0Nbq32lcC~mVVeA$!14K#~5{W++5_p9QDz1P0^g4WlxO-%&oO+Vv6NsZ<5 z5J=Q3s_X$w2o?q%UID`q7S(t+i-RCci5p0?NP?P8+Oa^zAo4o)=bY|Zl*r$l2q;Na zd4;eS%y))XzELv_V~(Jfhc*POKmnW$XE3B*E_(vpP(fbRnHWNyPaV5LK%xTW(l)B0 z|M=}oj6H7%I=T<&N3OIKB~b1W9d6}sO+_v8JAZMtyH29zJO*6U=6i7_KGg$CH`6c<_6HZ{p&%Ed;fzPH*Bj= zxbgxc>wiL{%_4TYKXV!H+48KR|7Oxb_~k3acldJ?Lx>|Mhx0W0zMn0MK8DxsHH&Vx zcC3Q)>JMp~^M~h75?--{n4EKyU+K7eMfdh)dNpLHQ-%=2Do+daJ(=qNoRy2uUF_s zx*8447EFarEX^&eAIMMzuOLg^vcd>y*Z7wo@Z2S5voGzK-wwjYTGU`)Uc=@q==bbR zU6M#n@p#0!&a0VqxnU*&f#t?M9dk$(q~u3gN3%cMi zu%&T0F<%_#l=Xy4-Snc5Ix>tF250qRHfn<R6WmU#D?H~~$z1C*NkAE4?sydq(YitfvQ6g@=cR=>xHQ`apS%=g5RMSr^F z)+z2(W@gA^5@UwQ+EJ$kn5oh&R|k4TDJDSZR-8}t)$i80-n`AZbBBZS#8X9Vxev{k zfBLE6!l8#pWZN~my5k5q)ASNRo_LZXT`?LQ?xTTiOO{cDWySpT2T`mziD(37HXCnIw0HuL(n8eyhP}*Qio0eLE1W?6C^7a4pX1a&JwFX#x7d_DwG z#Upg4F%O}Wa!DaEExd}>#{|H}mQY)^`W|daw!@w)(H1bkRCI$J1_hcpT54QK@Db8v zm0+Z2cR(4fi`!8Lni$TZ^5A6t;BjU_ki6*?Uy44LT@>KBX4zsu(?MjB>&q~!l)@1_ zZ0{AEvqa>I_{?n;D&kuXjW9PXJOHFv|L~5$B^24f%J>jNP=?5K6em4*{CNq;5Js*BV6&TH%$hSw0M(D&ua<$B1kgM88sY}wi=*05 z28=BL4Ok5@LiUr8c|p+pEBnFtMD5yBTR$PAk{vezM^vt>(9 zEE`URAH*QWsex#8$UW8t1BcdZ#zm?jTJVC)qu__tJuRU8{1T!sEd%QFMx^;xMMwba zSe|^X%lG~|h4=2bO7!eS3V&*3tcY6lt_x`2+GmX%fhD;&x1M3qCeW+H-rv11y8T9H zIQor0C)zVc2S(N|EM>oSJ#zeSmtfa?$ekB2%I#1_UzrMx4L> z1rn}VaMkK_I;O9ygf-d1S5XZ2-A2LgH;1M7)-D`3|NJXh_xs7dzGi8u!^a;T-Ss0k z)RC433ekph4pX^e7uaQwy?LB&*k|OiZ~l>`u}?D5b8p5zo%@$n6m=T6>ha2hDw~2M zUKSX7zYxB^&MN7^TL2n17nHoJQj8oXtr#s+Vvsfkc$q_wM-4}8z#+mvNO<4X)%T^(| zmvO!d;9eLOwBi;57JcKuPy)V03iBYsx>gM8sl9+!2O*sSRjYv28iEBzD8UHZ=iLBe zS^emt#vTaE@%t8crt=DiIzkrJnnGWEtxwA(r5GU&aP&fB6x1OS{f6zeB^aO#QaXr- zQy6i}!)~nju^2qe3Bq3V`aUgdAlp8)5ux+*SP1bDQ0wKd^?W9Ngv$D*6dJw}`5Z^PWFaj|O7K}>=Qew9I0%>YbC!|3vyzSWSIfCkMC z4nYB}!2K><_zX!CKTToWmFfoleHFkCX#JQ~KAkk(ZwyxYTY>%=q8WfTNClxvtTP~$dz36N`N1DAz;=Z5 zxi+vJj2&6vdj4WX5Ut1Rpq)^geTU%iE0f z{|__+;m<0z_g;M5ue=PF2w)nw9ciBaKxTAV!TX_nvLL3e!t4mDsAj!T`0qViN;ob* zt5Pe)=16h+`PEd28L19lC*>38t6&NO$USdXdp zlvYAO1w}g35Kr?pG#hDLT78p1h@4)1Ky(&7j^H~qPDMy~Np_*`6ly(GzU*C{Z?X)c zKqonh)Y*Y14hHe2Mttg}lzr>anZ_#}Do8-T3a0nLF-=YMmH=Y|G~gZ~)>(p}u01*$ znBX8PpN<7$-vhJ`vJxQRzY9>g35EBZEd1lD5yK}b{`;`JmyIMUQ3I#M~GxDkuqB>YgrSm41AIt@>A=1_V^wFf2HsE(j0wLw;L!qFVYdNhai~ zbFgSI%8#5nG*8+jGcHn&Rb2#^N5K!Pds;wQz!5Ae>3=@*s7|RR0Pm5riJ!ZY!oAO@ z#`{xCFzfeQwC_WO46Vt$YjEqcFGQhQRJ8l&v{#c$0zP*Q`h-4{OPllXQv3-TyY|0W z!*?%7o-V>7?tu?FB_X(U5;f))zb6CJ*q$UZtH_k>i~uoW(#PF2$mXMm#nm7RoBIvZ z*!HcZmhQv$r|?IP&Bl+1ru-(&_z8vFIg77AXpZ)o_fs<;7JRGFR=W2BQ`m8uOBB)MkXb3%Hf(nR2Bv2@7=U3uoJ_Gi3>r7^f>SW>B`KzwxdBD z1|6^}0!dL7!3FkY6K{f8c+bNN`J zp+u8qCE&pyny4d40yp9#qGtx*|5XoyR`?>=P(fH{Fb-c#xCXMt0CRwE1R^60zk00V5gG zqv3<926rJTlB+Yjj`m(YM&)fggYc?1Q|$|%#&YWeHX7M*4h!6hv>6-0H(gz%;feiOec8r8+er z(zvjwjL!Pe*aVb7eY7x>fH#~^{YU?^iUYvY(OrM=rgZTA^r~Rzqw9&j&NQ+8J-2ci zNvztu+=AlHAf|c1R zPEGuO9B!q~M z7>p=9NbL8viGG@P(1#`5(DK{uz+n(JtElN59_qLh!jgl!``*+)pP8FD3fNmz18t*o zJUJ&Q;3#MiyD}D;fsH6yg}$y(CUokUZRkOxHwG#U@pC*upF|Vm5_qTEwFi_#3nhY? zF%V(tnRbO9DoGTQ!$Y93q9KuKO*lFA)r2Nd;GWW!`B`3!!XaZ?YGO=8I0==3)fqM; zon9d-Ga{$0=#)jesj1t5O#xXEO*g;^OF$9LSVz!dtg?zWWf=m}ei*bcZ$nOyd2jKz zULYq~NhAt#(_vavtvRCM0WPPG4uXm$*h0lpsB{GTT3Y+KHSV0?)KD^1MBFfohZ3q^ z6^TaJ-6dsEBG96|pa@$G&Pgf`=m8@1&pPc;yr77Ng~0*@Qa2+Q3scsnl=;aEDn6#L z^J#=l6_=s_CiJFlH@Ybw*EM5Vtq1dBxU0du9T0(*hNUu2cC4p_05U2`~*>034wJT$Qdv<%Xwrg@PX!z)w?B&zB)KW&G4&Avtd95{^uZj_Zz|X55u< z3kkB#`csJq@bEQUJ$OGt_-G9`oWIo#fO{3dpyDD{N$|_qIhZGhzBO%{UV0k(GQqvf zcvGVV+Hf zCJ!}2Q1$foP;N4DT!#Q0jSlo}mhVNgsIR z3ap{hv4jFtq`16VLZwOqEchLef-g|kJ za|bJ6>Q5{+5A3RIZYNpkCXXj1==6D-JmwY|FlWkg;B&Bpj-feT?ASO+x{G-Nk=3B( z{$q)2oVz{xz>&hj(skF|LqnG~&8>3Z*~39_g>f!ThqFr;Q88Jka0KfgX9HedMlA#3 z@Q&-#=I*C6QayS>o(_K>uSn0v3rCT^uFJ@GEIQe(O*#+ghPq92Dv z#i(QzO&Gh5Nabj64E>gE>gX4>M*z_QQ$r?0H+o>V;qJ!#JE}z?m*=M zS`wt!@3@ut{wmSCmQhf6Hga+g2JeEG!o9R-i%ujcm8xePKj$;!(Rn^UHy$zyJj0duA-_q+hXMUZ+SgywLPqApyMnr@5Bp6ZKYJTaKX^@e2m=#05SDsGrO&5*JD5OHz7e5y3YxY0 zP#IaMtm!fKaN4LvMcDmLyX4^n3iA@I>wJh&@>tWO2_~ulGtk*8uB6e>NxZ5v8tFru z%p}#ZUJ7Is!3DLbx~P9TzQT+HINT6O1N>Zt>4~R)nzC8j4WFO}o+*ekpi4RG5xSqa zF-Xcx1wztlij~A<3UEMh12~e2kP%*!X$I<^BxjMk;eF-C{G zQle<&Vh9HL2(e%dLC*FN#ZwE3%+a`J$ zFQRG9o;EFsz-7r|8{VhV63{xVoBCy(Jar+Kl@H%R@8-Ld#jAYXqv95Nyrp)XiOM?< zm4k=RfgZja(wZ2D<&X0P=uHhg{Hx%0O;=<(B?46?Rs)|5n7s|C6ewahi2c;Xks6>bc&Y-`jmN1>3R}vva{k z{GOVn=*W?kbJLj&v0|FvOVNgJT`)|AACTSixh3@Y_kRs697O()z7&kQtGMpP&&=LkU&(88B}+yo7-nVJEJ~WJ z4W#Bz%j|k>Wa74KM<#|JNfyT;CQb4)EhMB(%GpIY!lB9Hn#82Zr;9>T#i7(tnnx+G zS%Z^0`S|tc=0Se^TJoOK(!7L6`=j~gwS@A>?|bI%t|d#_yEYCIInup5cjnBQIcLty zJ$L>ly{b2X?zb8)32p^eVLz6d(&m3J^t`^0YkGD#W@$~A`dsibR$Z$&Z%Dky^H#>` z{QiI3r0ksU(GrBNALgT=HBMc9IjV50*SO|PaOh+-zJ zw&F9Yg8Vl84#?COh%Ex}Ayp(_&Q>u)L}j!Qz82~h0xF3EE+6*i+yV<{u8%4~IU~-{ zLtL{0KZ3SO&Nv{(s{pL*;24AwV8G@&^a2cUf~t^|wZ8@one!0|3jJ9qCY!^1z!Pib z+jt8@Y}iEshi;KlHj>0^fndS6q$4}0JLct~1$d-FDb=v4GU=)`MFvgnD1?mvB3+FQ zfSeyg0F_8dA#Mh0Q$`f>u(=XwWF;rkHc3r(gVC?9p|YUz>LEetiRIQV`YMfF2WrZF zE4L!+K_F((bW*Xavjd%f+P=Z&Zy)DF214nk!*F^ZI3^*eI1%UDZNS4B)h6Bt%+ZedW`{M#>}i|e{`F}Q3>nc__`dNAe(XTzc@9LmRT zlPpAmXH`FX;qjGy@TXl|>cXxShwrB@v$faH9QUP;{MGW7E{qet|?yvl;*UR4#)2j1qti}1EE~Aesoyn>qObJ9`;FC2R;LwFosvVwIdK$ zQL4Cs!N6e8EW-T5D_YZXp@-3{C50uWw63hFV$eqgvxDF#c7~n%j+X`(enniaiHfd% zOT$;XsaLF>Hy|o{xQv*ed<<-X#3*R>P(Orb5gG+-5DS)o`Le^r2)mfB0Ff57Ozh!; zxM;*_v~$kQ&0=^3`NBu4{bqCy@2pD_hLmB~g(XonS9{Q+w029SZ8s-(mr7b+T z4c;Irro6~ovH^jUiv(#i+^zr(8~_QQQ+Q}ym^^=*GaQwZ0py=+=oPjxk3JULWB5<< zBl=NF#dtxPY%%8fcdz7BMrzYLW2l%BU*q*-DFYeMHbjmK{Kz}=w zUG+eSaw>NbvXzJ^LnnQf2PqOG4$02?{cHmsPatZ3$ zlj8}Q!bp_59xYe!Pu)Q!{aKfrp?8qB;u3C85{%$ak;m*J1Ey#)%YRd3;#0%rd&&KC7E;HCHyGM-)XyHvCMe!BQ7xy?y5ui7cOL6P3qF5binPG)8y;SaV0}VdhZ$QN$Ql&&V7$D5CCb!#}e8zes zxMd-KjBufG25$rSIFX`{C4)8@!(j)qK*G{ZG6)C~(!?oKg&dWpB;!e5f^!QQlG+Fm z%Q*VOy-P$O;Ijc|*giitf~D`^ln2aeGNT5Et{Q|_l3-IDkpLN}AO<^4UcnfyDT0<0 zl?Ys>qEXe+U?cLJV@M!kbe3K%*wl)H1E3IWy=3;R77#LLDof>bTi_f zB9GZc2F%H_tV-NQmpI-v8S6jz4aMTy8t{2PfW6>j*~<*A-Pwa3*E=i0p1vT}H4)#q z@3J$C{{06%>W^DPF@L-@EeXXoF6y7p(7bUq)APe$Bz66@qkiErmF0=We8+egnPf*|NqX>28B{HI_uyto+4 z-fxcM9E1!y*0<5Y2x?BLe112!$h%-M#m3L1vv>`8YS;Pf^Fl;+eZ}L_(?D}-QAzqN zH`GE8Z)?n4X$x#Ov?Y#qU3Q|z<~0}&D=VzQwy4KYa^K6r8*hG{iX>-9VP<-$-Y^Tq zTE(#dR5XaGoP7Y7vtH~e)jN&5h=&jhpOXDG zI6QFr0yKlo=L{Ml}})y!zNf%hxf+V94CeR zsUFYswyNRyFf5bxYPeUHg^{flbClkKjSkm5wj_VYUCWi-^q{ZI(+e#HKR^>-@1vRn zi>lfbnMn6AE{0Fu_^A`B%W*a*yY#?VhoSR66;S&BqdhemqxkM~J#+BK#*V&nrWYhH z#yc#I7Uv(68Wpe(e2wA4IC}Z#I2G&_s3>W@SAn-=<#An1{_&b;PRG4_N4|~`}0>&r8GeSfNiBF*8)Z+UX z16rohDtYNT8FP%A-ZVZguN2Y z_;6GwKg@wUQ_ri@u1?8%YrtOLETY}y`q!#x|MrSCfX}i#Is^>Pb~g%>eH5B)UwaKP z!1ONI^?8*KhT}bjY;PR(Jx&&L5v1;qWR}(wb@&#Z18`a7tpmLlT&#ym)tnPRMo{g- zwm!^&yy#nlkv%48oRt+k=IwZE>?M{#C}qU124`k3Sn|7+DXPUK^`4d0u?M#uyTxyF2nA$d*QVc!fS$4u*nvchaeYj z>FUsgGX2s=m60Y2ff9DmV|3z#R3Oed1>PCvqYDFXT1RJP0Oy@Biaxj3AHAe9TDXXA zx&0AcjRh+;_CCYZo_**whPnpW{9qs?J&CAsZV^S$^v!)FyTw}^qbDlw!fx45kZd+l;GP!Xd=A>%#5`d7;Eh$v zPh(98O#w@rFwNRl7SQ@tOKE>!Evxu%Z}+HYHS=|(2F%+Q=kG-yRp$Fc*!1kUJbEo< zd*VS)Rt@L#p+yzX0eE}7o zrzBte8`yVu5G55SUipp1wMn0TD6=uFlQ3G352gn_=^kx}@$G-g_J-A+MLW7AQRU-RWslbBL8j4u+GDg~a2=-TbbaAbM6n6X4k^Mq1FeR-!5dkEP>K3+!6cm< z5D5t|xI<>R!_5hIUafAhs8TSX`~?IJB3f9)(s%G+VN8M02n2>Tj${^s-*CV{hb0hXvVgkOk>v*LFse31Nwjfec68n8r@M2t8TE@9r{ zB1v9KhH*I*{8({M3P2}__{4O8Z4gIJwXVqgI_+!!-$^3>Ux=ov=-jTNpKGIM5qz+K;0a&z#t9qbS<3Sjq|4E8Mm-w z%*fM80Sor23#u-rZ4DEv#MSTQ;L{_@ zilS>O;twExjyl{^xRt@C&hq*#DBhCBH>RP(md59Pc5E3wP-WPGNQW;Fb&73 zb3jK8i_1erG~#f;^GGI8He8BDDYH|yAn_$|+YX{8~frX1z8{d9LX%+^2 zbto)-k`9sj+5VS_`q#t3}5w)@>j>|q8UNt0%F$iOCS%z zB@lFjSt>!vs`x`2=gguC(+3NogHxuS^G3jIzgQFk{d+r9%hul~*&Nk6a5OFY6VR`) z2&$rBMOxhIV5R2{$7Eo;uOKKWycc1m6y((j@GY!&k0Bv3!NwqSBv6M!D0Rpm;qw5{ zSH#Z-VOMr!cB)vy{dow5x=hW#2~3WjfmiwmU>sF-WgKJevWP9vUY?JWs-_3HTBfqp z^?(M~j_=t%en9Fd3aYY#f{6@dwW|ZC`dtZuy%Q6RV7YsQz6o9J49WbBysJ+QSfZh* zLZy)MlcKP=2t{+eP74aa%56%(ai13rvBl)_I;vpK20mBMNzkab|%z&TW%!dyXk=w-%WYoF+@>lSGJ>;Q!gf zZFN#n`EyvmRZ-RQaJ)iyzSZwG&#OQ`@qk}^(V}Mxqzraeul&|CitxP>0;i93j=EW! zs97oOdvjoX)qz3!vE-@pf01|h(wL&;M`*`=|Aco8e9Ijlwh-q<9+?9%C#v$fGCWPh zxaJCKk}#|7U6gAp3+WCoIh+l;s9#&UR(rI4jrMpa8O(L5L7iX(LwVJWSr$Rmp{`zN zp+d!VpD_}aUnHl$XI7}I?X`jR(`Pxk1oX5<;LQtBx!qcBta^{tNzL(3cY?}6dJ=21 z>1RdvGGMSX4d2zJc5zZIPR0X$(Y+0FZ+g8Ry0g z-%hE9dv1OuZ^e4jcrQhPa_@~%m`j^#$i6T&gw6$tR?aJyro_j&Avi?lEE$QI1ls6o zXi=3l?HwD0Wi^K39Fc`HBJ3!HbsZk?CUsyv4~+suFVYwJouWY{c|3h!GOT#OqqcOM z!@jR;ITUnA<5k^j(o$wAJ5pP~ndo9;N~jH(D0N|w!v-3~ z&KpbAKk;ek(so#k!;02b?pCWk(1iWzIxNG?F}g^{%9`H{i^@=XSx5C=1oS)R3)ow? zmZ9{bp;Dg47rCQm?(>RGVGH52050s<`Tv&s7}!63!fSfCI6N|5^D&$5~SGP*7wzbfzwZ+!qe zFZU21@UEu^B_9roLyz}liFz?P1Ic9}R@kVNy#|3#-Z@V6Wmr_%C(fWZ`L~p1S)`5S zeidsee$4vR_;LPQKxd~X-+y&qvO z;Nr~K2o5`ksk!)4+PU(ms+iJZi~{`&!tVPj+5T@UC-BG59z9Z#?`41}{MTs$*TW z4ReR_G8MhXRl-j+7<9nPH?;-PmC{lg(1eFHAM&mU0q@CEZ>SnXUfpjDJYi}Ah{zQM z*dtczf`?Z-m>a=WKtBsp{x>n$;KYQ3D@1M-K$15`k(2NgYM{t9Y~V3l2C+N~kG>2% z4X%y20Ma87f^9fngI`x*jdXA-7&IMBOMY7eGTWsY{RY%m#H(DQNhF4tE!jn!@^c}9 zE+a$kZ3$lp0FgDe6)3ND3JaL#% zpD^|%!0tY$H}0n^^+t8TyRWTm=xQ)VbRoX~s=5qC+}u@Lj7DTTJp9FUA^$Hnm=a}! zzQh#Got^eA9-TjtfzDJR<(eHp9~G+Z#9qV@>$Li9>*9_@mZL+P>BizI!Z+fmD=}Gl zkW!F*GOnn01 zJRCQU6+}5{m?jTLWJZw*?P&q1NMS>6YcWh4_!U8l01?4=&mnc;T`{yJJ$lKehpETp z)%o6v#X9N3I(ve2P#iNxXSh?Eoz_r=CBEfn%S>tMLSLa6#@~C*64$`<(7Tc0{CmAV zbN@O=L!UYKeN^bHlnMBQI8ePcUtuW!73zVZ?uGKYikb6?9$iA3x6w%A1*)X_R%uZJ z?8hIMqKpO)bB0ysHiu|wyn8eQr=@fbKUufFQQvS>5?0Op-}iS*nlF)I-l0JUW`P@FtG{I4C-?TLPfqF?WE_rbFO|0 zeW5maBau0PjF5K#6Rk!pX=V-l3V6>A5Vrotw7g(QP&NsQ27XI063QS{7l^d3zDq#~ z-B5m`;sBa)KXZ0N5xN|>?6r{#&x1vU7D(A6;I2QxVm!J&7JVnW5RAocp`@EDl?)#SidWoV(mS8CW6ppG%c4c|EjgkblX@JNqo{||O4$*WJ z4_RDbrwxmWL)6RbsC#9nx;B6r1C^h@B~ATXV>q{9eO`vcccN%MsjWaQsn|+u6y@ z7&1*BM$l#y*^B{dGHNk*4l*kwBfuBy`^JB@wls{PnNL-ztN5^5nfb2i6AAV>Z~FRh~egX{E=cc~3bQgQ!EoMP#J*m`doxfx4D?q4A!%-r`; zH8?SYojW_E&{{!&MwaixjuhWeb_?~R=8oWVU;;x7JdAh;(ED3hWpm^dm+hQ3Hv6jK|lM)jmQXbl((GpGk5(u1B%$OhoS!wn;5XizlnEWUW< zh{rqt*H2}mGvb&@U=>yYn{65FW^Hd!EKQ>|=oBb}fX1-7rHY65Sfo+`y&vyQhi614 zN!n*KQp&^9E;KYUCXadvfbAXuNt3}u1@i_fdiXF(TbQ5FA&5*ysTq?T z@b@Yqvb0_${h6a%t37&p5vGnUNV;iFu_G$4$k;4>+*CRymgF!VpQ%b?9zVRB&CFCL z`C|HZvbK^m`lvj5d6DTVt}rFSJCAmD8PZ2?ceQ;|gp+rAQmX;@h2{c8_98xu3+2&< zMKuGe#BB+h*4Mf*T72!ta2|$We&fyBq;sD6_RD8@cEct1Sg>CgYq`(*)t*a@C|GN# z==0;r|8pex)k{3T_dh;u&9e6ys_|{U|Hm@3D0K#<>pH&b**#4U$dl|0sEGORwD*v5flJmb4_0vnbLr zGW!Q(Bg2P{zqk6_AK-MsGf0if^!I@a>UsdwDcnX9o^yl(O2S;6RTxJpWhc&{LE3^m zeftSl+C@5YCB@%lM)RdN2A)?WmfnVzz`Cr}sE3cob$BtvwdWBEtMK=oMZU|o=%X@1 ze?CGwDpLt5TvG)%W>h*6@F11Gd{`pFP{iwweG_+|yRva=Bp9^I78fQ|ZUw1M%2 znVtZVG@e|uf}eQ=waC1%ST}uM6^Jsxlrvyu5t>Z=5?*1XSW^k|8$x%06>BI0EZzqR zaxI!gWrWdB)-6h|$1|27vuHXI$(**}VuKR+Yz@aG*aTS5VjUtZ0*lHMxJPG|gLRqZ zKLiea>{jBiX1{UbUI{GA%{7t8p+C==imMTvj{E+uJ1~>O*o}}!HvkSE4<%D@s6at}EKVJCfyUzA5!yICFt1z_cd0M~r5 zJXpCopBITabc*fKD8kA)qRgqm!WJx|M3=G_SezDT9B~CFW;)D zYplJBlMH@L0jFc!xi4+HcO6r@^v9^Sqv%dZdLKEi=~K9k$mEn@;qr7r`z-+qhA9K7 z*RcBK*jtc+51{2PcLopJ<*FJ^c<@r_BQW6AbH@#|&SXCh6nwCY7Os6lW7{T7<=7d6 z+Fs^$@Li%n*S66c)H;EmQ>bZ&E;s-l#D&2H+(gVq78=8Tz(gU7KVY~e3%0r10XQ=Q zj481^L}4(q>`fk;Ha#LUu;62zMKyE0x%M^fWB{E8UErgF*Oh^&f-VTmLsuM5XpP|` z8XH5@Fn4IgPjEZbhD;glPZwGxa&k|y(nVMqpd(=N;qUA5ZYE_KjTN50B*hP=U^`%! z38|k}vtwLAyCNWGL^~lEi@T-lTC#jEWM)7BKl2e-G8NfH1)Kp~;K3UvD!NLBhdu*O z3$w^gEVmXIXwpbN-Xg&B&PV&5TY*{bMuaRCclD$=U6b7N%875bXn-Q=7c&;8sp<^% zCD9`s_cC;=bX<1W?&5y$54>ly92A!3pka~F)j6=h(=}LBlt)8{N}Y`Ll`;QFRO;|) zP%IOc07}uRsw-#Lyjplbn@_MH@N98S4VdmDC{e&&y~cYv70}A3l=5$0G!pnvl`@B) z`vkfw&(f6(85=RaXF=XSiUs{9y8bC|-^YxzsPu|XOs0AgA27w;L?4!()2_>{V+u}| zd^Ne1hc-d9dzw6qM3%DfaamkV6md@rnC>GKBf$2TF+#EH$t+cddkd0xUiETUKu;_T z*2AK*+5fo?J64_J*$hm|AX-v^x%9i(v}rKrB|d<2$@-sLQjNzJ`F-2&Lq=ehDuZ8v zDcpu$b9g`8M%qS=`5p~p#*|$t<%L@J(n4>n_5@`;jFP9ln>nAln09pTT9hlFIc&7>vC~MSo4gQFbSQ(~%SWPJFcR z;6BO@;n$kF+Ek<#4uLK3?fF@lMSTc{^yD4^m~d6~pi-mID+cX~j|cohV48E{oQL4{ z=4q8L4qUYZidzU<&S=UWlh;%YZ>BP|Lk&PPO2RKhw)wnPw8AijA5Z5I>+^_R#*^8H zJ}L=Ruv$*TsGpEJ{m_OE^r)INRDmk;n@g0uDT|te_nltv;q<~!aQ{RvD$@`Aef_q# zzV13An`xtYWFkZ3bOa(Uyan=pFBfRP$nYm|BR{VeSO|bF8F2_jVbtOxjf8%^ zC~6pY9vNpEz*@iazc^?RTKw(nEeZW5VMWI}UV zz;vHB7$e$){iXxXx?Uz!APrJGg;^2&!3Hg(>^gF|-+o z{rcnRRyTd4nq}_Ji-RUMLjBjIimBatTRVF|i@b|uXzdEhsjGrfl_6Th7eRXt_=G)S zP6L~wL#G|uSm`dh>EjdFz0svQ2Npdr7Gnm!#n!uQ(AHcSenAGhosVwBBqiKfG#~w- z#jra>f%>pV2U>f$UcZ2*Bf+KzEr~IZ6c`Dh7|Al8(KiRy%UEZli4A)28WHT(3y>`l0l1VnY4C~!;38PS7!0QR-5`>=er3#!J|61E@|Q|MGt z;wlYE!GlFs8Xg$~0%%}RB~hzvqdA7hY*0Z2AVR*HsSnHOYjD%5yp^2#bZpd77r*1I zAj(NXQwkDw%Dc`8rMf)G$^)P-mg51Fd=OixMT1e&JA&;pfDSoLO4h=J6iF|GZyKV< zFcc6Y0rMag+Q&{Kw4jVS7@!_2v^)=soKK6O!fgs=Hq$O#jQT!x!@{-jsEN4TgeNSX zgOe8EY6diYFsC5gQc+M^0+Iqv^s%7K10>o^)(CBdeR6%upm1vF14WgL1jJXGt`~{N znA|>&&wz9>A=E7B%c!-3CM&}2>jBzQl#^h@oCNM`gL?Wnl)9b?Gd-=wvea$a8POjZRR2bMuHfvJvxkW-RG6kuW*lQPoqxg1C=?d%oVI*4Vw zOw)i+F>(`{uU2eA=hZ^pOlnC+r0YprYmn~*W;EUfdtt;9?Zzr0gJTPD%LopcR9j4e zlmipT%vzyt&}iXu9TIKXcrN;Ddt;7H&j<=O-e7UC=%vL>Sd_Eucwobs_YxxNP1@bX zH9H`xszOt;2C+Qi+bm%U2jo{trs0}*U?fKzw85F-A#7>p`9aS=bgL5Y5&mFJ6$a2D z)dO|H+T;xs>+a8f+%uSF6$z|AfEtFw9q?X-&G{lESBwXJ{CZd5TqUFNYC)L2t)qYp)mA6rG9hTAm*-Ji^7olV~{p6F--;jWjp9Z&~*IeF3?;>HW zjK*m>a{UNtb?kPv5;1nrlgq5k8qU)@bv(fFYaIOFHRxJ2Bx~eGCwsa zRG124At zQE#**1q@Uq@MaB+f`KqlZ(XE)VTUkRw`4;wwvhuFIEVo3;R5J{krN{$$7)Kowr*kr zqyL;&xX~6CLf%k2uL#j&w{i^|p2-YkE2e=yh(;De`mW6YLfFhY$2_^!8->|(0|{U& z&E02!S_IL5m0>`?}Hr#i)t_42%F1K<8+&Wx9E87>lQKJF*5-X>dAnprj-a1QAjc_ z@^Be(pbc@_YvlpZ2(XQSrcVQP=4^$roA*MW7TmG|Jh*4^)?d#kT3Li_co9x2x;Oy*WN zI2ka4++f?v!|WnM;B;9|_i2YWtiq~N3a!$%JX7u2_oIOi$~~F=Uhw$eUO|UOE+=pM zVP)t&3v-NQTZ1JsUCTTVN4g)Xy}Ur{FE7`@n&)X9V?k43*Te?Uh2_A=y}_;XnbTW4 zlA;>suwp%)M|p1_1-%RgFyMQW(#rmh-bTL%4nB7Qj=Sxp`(R771}1fZ^{`Fq1z1P3 z>k1y=F^q(5j^i7Wbq5tzn%42>EVK=^z7RO!ArLbA*HEp5-0H)41Yi~r!2NU-Uya=f>u?L!6`>jSa_0^+x!D*3|fQotjA)NpDoN;sqj8NX5l7U zVz4Tt3w*+@AOY0SNbsn?SVuCL_z7pmNG=$5heVgj*K-f1kp*rzB7bd&f$Rq(P$2<1 z=>r_$R)o}w3E>aWb5K!9G^;hF$>CoMf@#ds#{18z0qK{w`tlF9ipWL1@5#KxWz#Bf^AAiTN^%K>}vMUsKawT8f0yjTqIJW)aX zGb4uOqR2|3w>8GvI+4>H>TCqC83n^Mv>5W*t_LWy4ezI4*Ei5}wxVoG^>M#0#wW0c zd^Jh^w&3=(iJ*r)<+2~3k)_EP_M*Rf06Q3AKhL1IdnG+5IeJ4WB7S?plWmL{tN@hr zj24xvE)v%@Bu3I|po+UCSSkD`vs^o{ih^(AtiFTaB&_i}GdPlbukpA4UA(_q!tTx6 ze(d!ZpIboDyC-N z{!FS2{?cfI`5k1jKRhu-OUlqas2EC8Ux2-*jE8Bluodv$WAUrauMRzfEFrtNqN^Xa zt^pL@Pn)0wMG>s6VG*%-Vx<6JzDZNi9uD*y$UUdW$GDCEF0Gfrwv;G1~>h9kp_FsuZrC1An_ zCb(NfUbO={TA*SILNeMq$&(+*iLex{ob=j4y&zj8>XDN2kD;MrP2OE14oFKGbXOH_ z08>^XW8l47fegFhY7JFuB+;s1LD79M4uC%J-n>w zEnGnT9ISqQG{149W6hZ8lug z6;yskYMNqEaws($KfEQrj(uy~6;XwY-*GndO zPMkJiSH@1eb&2V{X#sUq?xt)MIjIjD3h(wu`3AI2yd9G0fdO)`V^meI!~jj9wwuR>|DSpq)L?|B3h?l7>5=&-Fqb1&36a5BK?)vVL|`F=yNI8qX~aFV$9RE}m)Zk@ z`8L>O18TI0w4|M?mzA!!PViz}^ABnqm8Z}Eg0fhP@o~{B0bbA8X#{h`tn8`l!N-?F zXi$%$VH;?5k4j~hKF=h!5h{sz>O~SAe~vK9QR#XOt^1(C&bOa5#_k>|N+cuoh5I3+ ztIzYc@cC^rYY3k#@9d&r?*b6G!|W|T(xc+G$Mv%a-O~f2s#Zuz*$E4b%N33hhp2uN z1#T%dgR2=Z-A4$u1d|GBe!Ggj7yss+nCXOtW+OeG9qRd2%5DGk+x^Nv4wC8nNKm$Y zXQfR`ViJgn?vMXVEEC-JpK%`6-=~NtFfsDsU*%DW8f!9zhbAkLnFR6)VB~pTe7rx`&~zpnlCW*Qj!9*)4+Vq?0pOm$Q)j+eGz9u*buQ~mVC zUnxh^^vMQK43?=EWmNI5DaRx~q&0%VJR06@Le<*QJ@*B%uIpie>F!}+$lbx%lW4mwl7cd}Yd2We$1 z{CN=ONo&v&>XPcjCz}PLOg)oNTYeZfc#O!|m)-_EKz?3y?GwaK@+95tg_>?NJ)p8M zK}G`RAy~ z*epyiH$>Ejp3qs+pKEV09|AWVv;EdZS;ms1Dr5_MoXI1HvL&%-&7waSK4hf-*vJVP zZ2(rSSsz=!c~+1OYOgCZd6>u0l-R6!toMu>lzma8R-n77On3^ORA{KiMk{(EML~5D zK2LU7_cb>wEeT+vTJ86`^146ae}ey98Txb%zH6ejQDV0Z(yCa&77iufjEg*6MjQ%P z69w*R0aJWr!GZx+Yd|V-N0U1{WH#s7nddu3M$(A113h=eI~AGI8I)M|lhk!bM>{#& znwsQT+o5M-yghc7HWz=F=`(}zQN4Juo|h(5pwnbUxIIZQfpJ+Dj{PsV&8-e{7lO@Bh!AsF3%YWPWh}I$HR~;RJM=@^(nJ?w;%pRH@);&nqTfqXZK?L{zXurkfwH~+!EIVHiH$l>qNt?U zqS3NAw-t;0QDMwklMrWg8ZEo6#|jAaFT|~&-Sk?Sp!K>3S3@&IcZzJ%jLwp0d(q%n zJUuMy)J@1_UfPv*YI9(K7Zibwk<~-hV{m|6&bZ&#_YFsW$w;UnD|{%-5|XW!!Qqwg z=u_BJN3ns=eJbE0e%k<}(OzL$j)*qq1PsUg1t@`ZV@89kXlR-`;0PcosdX%S-&lCa zK2mxVwzk3uR1nm05mxB(f_zt@C%ZYXdU1M`46Oz|GRSJ7Lqdg8sDj%u)~?}sJf;hp zf49|bW(iCCv_sXw-QX)m6|t!Lu=VoJg$EUN+x4t;oN7&S{G-1qJo`sK>wWr_KfL0R z-;JN!zxA`(!j17S0AZYpS$|orf{mFj%Z<|aR^m9|R8z!F3a`q6at!N$hzc&oD{vytkyx=yP5**HgB# zYextc>SuescyCV@TVzYVEl$(S!&Mmi>cXJhxx~=LPilHH7cZ8d{1AsMG?@aCDcm;5 zw)txWZ;Cu-7a1^{$u>oHqE8UkCLud3*wTQ6z5P+Q>qhoUJk{>rC| zn~q*i(o9?Lp1%mjo1bvzeMJBM|D0>L-}=!9{$t5?y3l)9d~4TwP^!@r%T;h>of)`K%Kn6)?u)bkD#VNV>Y9*_15 z;BsHUcnMhSjs$j`e!_uYf2DYkrm+HUb*MoAUqI#jAOGWz-uJbau;E7W>h(PZQE!Qf z%RpSVzlsOQ-StnvIe)=1-A?x89aqPbgDS$VgPZU>g8i3hTtt;8561h6#FTX}$;sYv zYyh6Z{Inj-c5lCZWwUXRX2Xtoia~ZxXWL z#~Pg0<3yZQw4#O>7}T@`7W=y)G4ziUV-K_jCA{gRPt(KaP7n;ot%XI^(}Ow*EUW_& zhmV=V99BFW%Lb462gfzZL}xBUS$8ucRhDpq-i3(>Fs*x!$#>shLOwrH{f2$NQ@=wW z@4w{QPD}yP5DSZuiQYTN&|s5T2*`eS;U-YyZtA6~ek8Aa9y`soPdK!=BvsckvOGv> z7;#8}H&Ni87SQnFCh8`M7X^+cF9?EbF7K;$zZlqxSX4KmepR1|>tFg2My2-u9%Y{x zT2Y3Y)xlz;V!R?%yoVIFc*cwbXHg;4e|{T2tbrI@@Ta52%6AqMAN_cp1rZq6DKuGW z(yRxx@nZyMiacf)88Dm4Hbpkg$59ljEx;I*kDofDCdFXvw_?fC7@6SMGAiG5*E{IQ z^EXs04-a?INPN-gXtV33+Ha^c-AO!72gaqMHYI==GKSX3VL3^MCXNsDK23JHYs?`Y1uy3hkQzX5ZaqCgZ;0CfrX ze&P|4F-eL1p^is-FSqr z6-m}(Ql_pFNL-Tb3zVucvb6z50iHvs7mMUp2sA;OvfZ%4cC=L@UC+!4{ZYrW;5zZ3yMNMgt?|ng<@;K*{Xs7^$|g#+99qhABDG{(85_XFh23n zQ)`E*uZ4(Ji5oXK*OrklSX+)31Qk-p5Bw)_D-S0DQkf?Ne*vzwxN1Uq=It403eeL~ zffWHrN|H4)^eoBx&;*w(HhrO8@L=L)EX2Pnh#h`N>pMx+A@}hhA-CEb3QzZIxiDuiQ8nlPzuuKED-UeZXk@K-q zQ#2$Ji0aj0^v;LS^i(mS(>J8cvGD_g<9qbME*D%!ly4<`)IhHQAuSh-8#f%M`YYsw zITivQE+Y)GfXVRjtbtD|PSM5?J&oE^K9&LulNcPx9S3 zb1aX5fwxdRWFEmhh^b}x^!xIG^7w&qX^y^{UUdMTfL#kPIs5K=YgA?GeEQeQIr~B5 z%zhVoI&LgPq}+@+BvYcj78mk4sZQ66c6z`Rp9-Q#1&RXWaFQ2sCG`(WY8+dHJ(pm< z-mqJF#cyIN@an%|+8^}VO`rN$s@Rm2%OCU#|MtWbHeIebbpV=K!Wcc)!xgWrE*K3P zf(BEF;*xE0dm@d9=V|gV5}`re%0mN1+|vT4`+$*X5Sj-cAnbAgnmrGbGV$P0`W~hI zr?17KVh_eV`sQ-(Jori!DHFC!tDoOZr82CqytMFvWG+5y%vae0J zWTzcS9%P5cG;EDbEa*A7Ut9HO=peuv<#XuEU6g!gb6pgI*_q0A;obLws|8&<(9o4J zT%VWpItmIU`VCO|pw4?YoslB?q$56WZfvG!Wd^TlNTD>@ReZ4Mrwc%-;lh`#Xh}_u zWk|?Nts9Oy_y&@pvHk>MmAu}wM42oZ%}`=&Hfy9?Li7idWWz;T+C7O6%WaOq+K}f- z*)UDcCV9@}#QWXslmLT?1g3$J!|oh-kT;+mk|jv{-%- z6|GzaV#SvqK8WfkXIOc5vpT{82_NU~-sIzW7NSH|J&qcO(>{#0ieX-B3&Dub$S&M4 z#6rW+m-6ux()}^28m#)(=m0I#k^`3{4|E#Hjskp8Ga7TY5VE-YZilZZm6cl+*XtS3 zG(kXM4U)Pmz|cVsj6ps63BHU_GMyp24K6W-NCk?9w{C_-br+rejqf|l3c(Poby%?U zM>JdpwB?sXQu7Avl$^LJpi_LNJ#gq_dugMHih_;KqFO_+sFvD|u%!|h;Y(6l?>b|2 z*rB)>&?TMrY0Ozv*iQYxw)8#}{GPCeD!hX#^HpB6lMW$&|FH z7MDv0>Q5{W^`f!jmJBok7(U4VBp)~38-|+fr z!yAaPT;1hv3OR$PQCyO32tl&s1z+lRe)$V8_(-p$)NWV$3t@bhcPVL>qV>e534-A<7U&2 zW?;}60_DHVS}OW#aC9hdZMsaSwW(ssvgFr@Lm2RJSzIn1QEQe5$I?szRzf99O;qt{ z2^j5TLGW(DnUVN4f4xY_Zu|i(Dx&XRj$_6C2Gcb*F2IgLZr5DA` zt}7KC{B88UNK`kS!_)~hSmFBf>*Z(s*YN#%1cUUq>Vb|Vy3wmo(-yBVfWlX_$b1xU zvlpZwqM=3yVc^@_QIbE#R(zN3Hbe)aqs1*peWiUK#wTlB_OD!R36H zVudZH0!wW+9FEX_2-Cd+H`K;=3I4 z5MNl58>yW;6rg*c>;-3r@A@#xEO$tX3abJ#dphFksd(TWdB85SHye;Yjle=n7&PLL ziX$pvaY<1fHtY)ErKM2Vj8du8E;ami{& z*${`&k7A%`hGe;NYtY07u{}s+99^l9kQrf6s254=$a56xx}IzsiHj^4qr!||BXz>y za*#sz!U$%B+6l~$9YI@dNTk>rAgNleh;1)jV*ravF&5BBlRKY5yT+=W97@GNxtExj zFNC{P#JX#do5h35VpNLGB2QYd2*4qnXG7LChgOS^XW&p|`i=9Lw>JarZwp+JyBeZM z_Fwd&6pV@J=#d*FG9~%I!O2Hxd7{B2$+KwfXiFW}ihND|VV?d(w+^=ck^}>g%v&)Z z;f3?fb|^xJ#;6~SX?-W18|+fmxVpeDmv$!t)~K3c*&Q?)>(@7LgtdwpGn7BX5%Eqg z*inTEFNm*N5gnDqY78Tc{;in~7mS7|seCcWB2Tz=34f?1kCw)}q<+hZ$U-j2= z=TU6w0V|lTAuws<4XUAm45s#dYdLz+kE&euE1jW5)np1pSO_(_m4}mnY4R`x= zup5(Q-;b4Q_KHc!vp9K`Iq2QkWrS85@6H-FGWONv86dMnd~LnWt4q#G^l&b@G*tBm zmirJ)iK5ePF!a7mNmgH2n7LwsSX zqXiR`NMJGMarD}gB47+SU`R(N6rx47aFLYf3qnH-B00wFO_hft7*ru+&;bL#KmjE` zxm}w%>z>ARJgLJDI^A2QQ5-YJIBDNTUQasWED_qwo|HF)BKl;+g&tk98Qj!z=+e%Z zhto7onjSHnIs7$>p2)FQj|?13}S5esOq16 zsfiZ9kCmp$m+|S7SwZHWPg~npU^=v*9Ol%iSY6*(QfqQ64{d@4-W0Z*L}nD3P@NXw zK1-)aSI{D%ne0<%diqTl+hAp!1_tlJJ3f62I?x|nf(ad4bxB}bt27V>(6cf2;XxI6Mu?AelKcZ5=PL${q|s-^{TFc z1@uesvx-SYD-~9?FWeTliHR!}b{*<4=tM0oHrh^QO)B~86r`|6i6!@WV{xzGDq!qg z$qpq&tU5v$?=IK6BcKHs-#~keff5Bc8X1utNcBElAlf;%VA1wTE?g6LUp+qoqlN4` z0x?$6q;*GYen4fkBL-HP2&bASx);Bv6YS|Eb~3c=WbQ4-i(TZ$7u6Sa-Bpz57!<4z z`v% zQ}X-8MONlf6@P6vb~D-V-uJmA*>LnHFE5wbHeEa(GuQ>n9D$C%+q8P^^4olrWW zp4}u{E;)#d-9T*lJ0Ij-Bgk2yH_CWNsg^2AhGmCOCFm6X|>GPzkVY7N* zOS5!){bGT}$Y|~C3}vK|!Z=ir(AE12chg7Xom?F_oKQFOkuJ~WJZw*dOY1)kFaX7B-8Yxn?6 z17KLeLM_9DgbreP5b9Ozx7F`5WEGr*5YcSz&(L$YQS_KL1_uaNi=r>lV$J4=01svei?hzKHdQSy4?w+486i~!8%P0`%&0{)IIPcb?;O`s-BU^;+Rs2o3*={qM!h# z2Tb<~Fs5+XEglw~J zOYRJ=W}UY30GJjqgHMRr`i^9!!6y27zIWUq`&UStudQF_(n2YP+)tPVVDI02lid2{Ni`wUsm4`V=o%2{uj?c)%`H# z>~-B-NSsroHEcm1VCAOec0%cgUayyXz^}j($oKkmh)SORFZoVY_>s%&=pv%4n)LiKbYG`ofY%c-^U^Vi}7QFUk00D9SQ4+G9tPV z+7J}R>?lAvYr>z!H7|f)buP9T2rA`)PDPq1^vak%@JpDUUdW*` z*&-ey024Q?93&?C$Eb*`Fp)oPB4V9HussRf(~H_nxi5W|QFJ$UaEyUjSX4gyY6L6& z0^+yjud#8rac5-W9;NXHAO8dDF1!Jnv}Y;xj`XvL|1<%pPq8t=G68W(!$=~)IF17M zw1BBTZCF1-HJn*y#g*t&e&%^>DvWnk5*8Jw`jvOSS_{qkZ>T$GVX42v6Wm#WQ=6(e z?zpPYc$pVU#7h$%CoUXvEXdkjC|U?pxUKuO`(p%giabyzGZ;>SGGjn9BXuDfhZl7d zEE&i2(#8Luy?24G)Ua>zZ~j^R!mZqC5rvUr_j+n8kF`g%DDP6ds;>I#f7PR_tE;QA+>_{Q)EGxpa?g*> z4n8&b`yvzb{SrQSvMe#RkTjMpe)U2v;k!KZu)15%|JfPXZ`XJuhS`w1MMqThv@`jh z9;^Xv1jkAL&i%N&pR8w$o-lp)`OBwbM0Mo;a&D*3ue@4<#rbf>TVa|0b2uq~sLS(h z0|aYgN_I#90}bw!WenT#&*LS3^vRmf+v9ua6x%Z3ahTy7@G(?wi@X+_&)>n1ijRM;`Z1ol#ehPgw? zKi$a6{>hs$qLKluSGiXHPy)Y7>n=CCXS{cOxYW|=@r!!iEO&e3SHLf!KP>l8phDI? zSDM=eU;xUDF<4(2iSiIOhE*d7oaYi+#4ukGX(U2yfWJ!KJhE`<(C2-8;~25{q`Tbk ziPr@a3ugo-d?b<@diQZ86cbj7*1kR9c|AXEEPh*JdMZ}0E?icCK7nVw19$b_D z{I{Nas|&yG(0tC2fuT?Cl?XS9N9IO)X1%?y4CI5=8Eqg$Ya9|Lis8gshPM&<4_tst z)jkREEt+}n&f8^JAHWcyH`H>+j9e1EC#aTN6JpWgZ;OY5$Get`QmI}h56SYlVd-yS zqP|YVmW(MW_ykRHp_eU%A>QnuHKN)n&ZqeH0Tol)Q=~3Mq8Y%Ue*5Ex=c6{^8rm&l zMLhAOW09&Zc^qF)^D6ZdxeqQ2(Y?$0SdREm1ry?YZc|KsoO_rEHMaYLMa!5&jr_1r z7iZc|sYy9aXe{E#LdM?vsQnUtcC3IoDlFfx1_lwuq_pioXF?f%7}ap*3RKT2U)1qL zLUDGNRCdRkK(^hnrKF_j9NKl&{Ipb-YM8yOV0d62LR9%ML4|Uc)Rr_hTQHpIg0qca z47(Nksig4i-{b^Vwx+tL8i}>DO@$+>9?Vf`Z@)a``~3^k>SUSMs`nmLlVXCON9C5@ zZG{%g@F(!`TNg1U);fMK^ z=lbFe_xVY8^}y?toYNnz9DYwYtPjG0s+`$J9{FL9ukSk}&{Gd+F<(4eq949CvN^ZR z92I6PS=m9U6M0fX!wT0r58l@7uxrom@dYca-*)#UfgB!sv+?h-kqlYpjOjK1$4 zkrDs1o?OlPInp;Kga2#Ygw!Z7NljsOOA~TNY04vHC0HGBE7t+FCmCiPvh`kUV?paKNO1ojvrmwcZR2q9+s7b*Y$=gS3QRv zCZ6sW|D1i~UqYeONT26p^ zb~hM|a}&lH43rjG2OMbH)|Ecd3bkRx)|`#FZaHXD1~J~$7%;d5l{dgeHE7r%;_vp@ zdLGG~D6Hq<-HX904u07%(3pK$pa)6`eT^RLsf1&Aw5l;hI7_Bmmb74?bcKV89^Q4a zR;V$!MI{C)8)E-juMEnt6|E%cZT~{#BoZ~>*yclMPEBlWGO>EPOiMoQ1)(puf7xj- z!a+T#jlmG(X3+0mhYrsK7ZCl9Qger*tFT=h$BHiBUoa?O6~%*myxPhlVb+93Cit!tVZg^1J? z2WtVrG(SmOyA^{Of3#-;WGXgMq%lWz!8H-4;`Ul*WLiMAxTE?{`)1@?e6d~MH(xf*>iY*6qqlVvJ8&tKjhtL;%CP^?l8woPlORwLN&ZqED9P` zm30-W`?=`x17iy`K^6RE1+Zi=Vpo{hv$x9ixOM&EwkjJynDAY3V~cNkGy@GzMr{?3 zI7XPn&j4^f=BW@YCphMUi21RzlH#B&U=3S8;o}ErBzpafvK&lD_egyY$KEh}#V<1b zqAF8;_=vCI84c?EjG2Ol2du-gVp^WjuORvrvs`htR*YFM;qFMxdYR<&+fFqf-8v?2 zF|6BDqGAZ#gkZ&5^6{!4D;KKe1wwx7Bo(T4a%hqLuj+Ae z@wNaoM^!P`xrjBx!V#5zd@Pi&E@4H_iw3Xo4lLZ@*FJ&=!TTa3xBRAQ&ek@JP15ff zIQs7b{n+mr>79a)D!rUTT^}j^t%fx$T1|c|7M6y=&z6Q_fWQ=jFpEjymnJk~zKk>r zC8shP{SY>=xf9&^rL3dh!O#E;HtrkwRl!F{7bJM`KK)~J|6@$_k9t(;bOL3~j-DaY zcf1uB(`N(Beq9ia-1DF7nx;kpmYU*VEg{4I)!-PD;-8E^+A{$%6`Q(@F-v4}!8IX9 zROiGPMikI+s3JKsEhBVdDSZN$-$U1Gj;NNR`O}Qx zgRL`zUNEu0if8S{?^*8u?&bcyatG~lBPD;cjOm+m@!^d69F<$X%|m^x1JTK1i%_Fw zk2B*ku6G)DG4`s1u7*WhP6iN7=rBf9Q(YS-)CIHMbepgeu``y_fM0^?geqQ*lq$N( z8JLV`4H|C`VeD|d1d2nfQi7M1#-}Gy1=SeV$GTU=Qr6u9pa3&uGG_%Rew;RFn1el? z>Q#Jg?J+run3`9`R8@kZsfM_8w6g252JkCkAmq1@s9kYT-9?!&m(Pk zWTKgR-h1t9(d+|v6w3!TKO((jy(Mhr1YI33D7;W&A06)b5>VIPxf^aUO z5%UjNb$RV00e2lKBPE9?;Hi7S{pLP7ed-@Y%*x414&EYW{7v41x@n(Yy#2WqU3=sd zr%GjNNAHy2&evc=@uM zDf!FGyp^}!66jilF&#|MN1q9CB?W07XcQ!#$8fW?m7KL%$d`tFBCOr~+a3DakYzeT z-<8rQrbQ};QO0*e^V+%C*i6NF)Uhy{mtT(jp)d4=*l%9zIKKGk>mhGMX0ffH84g}x zu{FH{$;U~*3YSx7}F}UO`Jx&L9H5NI{d~nGjtw47NUnmabmzmGNG7!88bjs z@4$OX3IR|Qu!mn4)o>MdD)^417!MEpNLO``O~V(~8S_}bXxl|SvsTcs7t^!#^*&`h z(X{+gZfp%5=KJdCkCf$dNpD=s4dD>PBFr{o+q&se!xTb5ng<`hdID#X>M@*-t1a=Y zgj6s1EC58F^9l$07_}#EFP^U~cWn^cSC@-md!k2g129qukk=rXRb~+5W1xW;yGtY! z41QLuVxTUzVM4~*7?M_M!yME`F;JWp08LbrqcSnLQRUpw5;28@FC6;NfMToUmKCd# zVjx5pGO8zj9#7c;OnFTj&(|K9q#Cr2 z1_a^UzKx9-O!7htmjMt&1^AlZaqxq>7iYJ8j3CsC4NxCc`dFFRb~HuPD}CTKWqE1A z!z^FkiSHtT_UxyqWg`PMFS32bU@=8wXm16QUu4 zQ2_kNmU77C0HL3|P4y8Ki_L}baPBI6kk!^*Uqc~-oEl~>uHYt8*IC3%tsMBpHOF9H zUD0J^<>=#L=DIj}O{r7J#T9i93M$;k*oQNdm{Slr^a5Wk|KjLEO?y?T{xs%J{sTTE zGC2_V^L;b$odX@(Kc>xVvGw{$?}F%e;~xA&DwoZ*5OWzBVR(qk0|6SD>z)iEEd+lw z!xTvU4Sr2A-@)$Pe-QNBB&HP6;zuCr)93@#FJK__`&j;Q`un6dH?`=8x4i&ckUS%^ z%U1`YAGuT}iufdH`mRf)Qh9ujy5vm*IvD*`48@LOwUKoV2YRTxC`fIH5AahA6kD4@ z2qk6AE@nb_j(ZwY^Kk1AZpNwBzwbP3?sZh{>Z#MB)8&C-G)#UV%!SShJypdE@^bLja_Vj=gl33(=BEG-QQ#!KH`eoDMR*h&_U*G{1uxC7dg#M+ip2HK+i- zLS>wxZ;^Edf3^_Snsm854x6T^(%=-VV<+Ny$i{^$8Ya?*IOVcwy<}i?85tGG-2FhI zRxA6$5;I|V1%mI^OP0@inXvE@3dHkua#HZuz$HD38U2TAF^*9NSCgrEgDT1WK0Q=7 zwr20EI5^Qfo@7&T^{x%k7k1ScmQ%WHNji0T?ED^(#df{t^Sy)MeNn@V=0fsIId$BJdF(tGz2PU7x zhzdKRdvg29*n3gS*J?K));}w{bmeJTxZ}D#z48bivn11)g)Be)l@(R_I!6B2y>`Ox z*8AY4dqYJ{Q=<|8nv#5rF!p#1)&Zv`<^ z34Qh_uqfyZ@l9=y4lts+_ImMZa_<2-aM9mjpU~;o zd3y(67H>3Xe&MA0H*xBo(d3etVtA6ctYHFUGmuXoU{tqh{sIk;!jB93FOy0p zqZ^-079;zw%tUnQM6;06hFZil1}Z>MSFy_o_8FN+0Db)3RTwl}&x@-mti_x{Il(z$ zhzpA5><YPv~{TU>R@hh9drOPYvms7>uaku85h88HC$V z9r%oA?C<+!P94GBnn6@4-HNqAccVVj(aHd>r?Fd8dF|HCoS832Iv2C<2p_xBxDjrC z>mx#CUB+CPDPgpYno5m zhLzQ0$I<+KQ%Tog?e^OJ}z3pPJaQ>Tz@t;3v&%lTxetl3YaNe zET{3E5wJ1wO`~ecY?{LHRWTFM>ZAvnb)C4x+>wU;ulm(+?i9bWa9}|Vn5$sez))>Q zVT69)fPaDhIeT%CUgg7iF7(ZFXMN0&o$Ec0$zq#fvXRZeGW zU!Exj5>A>Yti=>+@Usy7(F|u2(wKSEL#SbDF+tqQ;H_d_jZG$wesV#{o#@Ob|4nA` z*3r=P6+eqD8XvBym9f4`E%;0^E1RlVGtU#F9=KfOUth9hoiVMkJppw=i%i|Kf}m+{ z?I}oZHKOW6{3^5CGl|Qog)jX?k=oOHL|^kJ%e44_$JqY$r>cRY&w0kk>m^)zugG60o-@Y1JnoBgsrEYLgP%MITilcATMJZoJRrbabc zyFd-ogv12R$mV(w+<$<;Zc}ZKug&i|&ETEPxHgAgs0aaS5oTjVbw3Wpgr$ZtrWQt+yu(DU3VYFku%Y0;3RxZI@YA=6o=HZc?RhFR=RO$& zKrJIbd332F80Y|oU8g~4T%)QE)MGK8T|S3qXQtRc^kR>)75v2@CNLV+i8nJ&Mn~+8 zS*acnGaQjuX>9?%!S_#103d zB32AgEtnu0KWj28vEd0JAk70cOA<^PbM%kUQDKg%jwG->nHn}4dg?iJQSXFIJoPh& zZ?4FLH~32Kc#WBy`aw`z#tNV6$hj_ioI%bDKPXc9wK2S+`?E5aF(Dnu0A;8V6;ur5 ztYsTEOi3aCG@yBIsoCrXBFBWDA>|U%p*H_blP(9420Hdtm$X>#8SXUgEvpJ(M5fjV{H&y2yW1nK5f)Kd+gubVNl| ztbh@P@FbSgE@IL|{sJdR8O2r568E{Dif$+^RWMU=w-H0xcpRwQ2@~;ZJ_lYMLVC=S z(;OApK89guO==2*#sNDEb5KMpm^(4nBQukpj9-n;P~DFh7rV9UGeHHt%sqibv$oMy zWVS=~Tf^(O+^rK&aBQ>yfmK$DxJua8G#DK~9509lzfwX@&I&rr-<7i3x&RfK_#sTV zfC0Ix)_ue8erOH<4Y^b*n5UBArhfy@x=ps8cAIG_Ki!NluY^ku;bBVkCGw#7+ISBf zkRE+@R54%blk?{%n!#G z22K`&bB$j%As<6X85sg7w(=3&z5MTY;_7uUQpfu7jP#l_aL@ak=ihVWiXa;NV7NeN z8O>gTFJFA;$^NkS!OJk<2V64?O-PMG(D2;RT16}!66aWDb}+z6rnYr~758s?NMkW=D=(DYx2$Cw${iu{s;6$+ccoI+``K z|LdrmlNVxX!p)7E)GTLBVLExMkl`E^mb1cG#pe%fIU1FsMh7XR99r%`RWk-K$6;~5 z(Lv7b0ZmJ8f*lMzW#~A;M3o-55e|ncCbO!*d1yGZY2$IoxV0g)q>1pAIo2l7>kxPs z_Pwn67`kHyhCbA(BQ2!W!PFz+Uyc-$(^k-J9ar%+9`w!ep)&kJQ#eZ{tXB9~4-U3p z=2{O(?Lj+}{)w;qY6C)JBE z7gI)TwG((ev~Vfj8NGJe*23~!Wn5RM8%B_~Vt_VKuEx(6PTJ<{jUb z#)Q-;@G#^x(36u#SSh7qKei{5t3IS<{0Yw=`{Z6F z$3Bev=kM*i+w-sW{Hg!fs#3~MuWn`oV5Dq3Rq`u{?Klne{xa++;Fu zfC4w+VZj}HF&sKg0`sgNUGVK*8C4QiWnx6LhLqD$wBq9zqKpqZZ}VKvw2n~D$c+Uq zf&CyK7>=UEZ%5OKt2or_3l&x=@U)azS@`;nDn)K>@p`29q0L`y5PGsC;S?xj^Fqk2{WZ^?=_WMwp|T!#=Uz z2h^28W$!FjAc|W2?h@AHpX+G1+TU;F6{t^t>)`?XMvx&>YNHrHfo6vznGpmMHGZ~w zrwREOLdwVxV8!ZQ6JCBL#J+Dq^xyBn@{JdU*S_>&-}{(g1o7!#QAC!KH~1&`2*;Bj zm2eup9c!s(9-oKACH9o??&{x^o+~fIx99%HbkMAATed@w_L#-PulHr}RkEV!lSI>oXOKA& zYsjeB+7wdnHJM{GNts_Xfqn;8xGYL_po*oi@}$&Gl5>rc1Hruf(8*88_15b!M|A*! zo8feNY6nv9L|nXj#_-z=_@s)t8|zx@6}GBamcf%^5B=n z8%p8Z5@25C1#+m@SJi!JGB-*zaQCf7D!(Ph;8Nwi9|H#$3ed?Je4ku}|Jv0i(VRj~ILOzC&GBN~EEWWtr%b)!kpZeimU}AvFppL#U&*ir8kiXUr~Ul4NbIh-Nam zIT3-p8D4tbe(^6kPZq9!);l8qX+TN%?{UZbFSkww=gs%4seg4FP|4GOA)cx1TdsZj zQWH;=CZ*o+48(O{tpVKT<=>3UY{ZE?;D#17`6>S(rh`rNoioG1+V3eb-(Qj!KYf~9 zg^mh$HYYjV`r-3yV_Gergv}S;;apnI#;PWlIcM4cAgk6{Y|XaBgFvpuBJ3Kz=WbVI z9W|`z4y1`0S{!hBq~pM@8Dg4GHZvUX>h}@6*FFZPXpIwv&+{474PMJk6%nPRfvDiO zg5N4??rT;hb$FWTcG8}@b(aO!s}ne`hHVs@b`3l!qHB=YBvvIC2)nBWc?}q52ZVhN z`4`g)ys8Po--H+Q8S+XdQkk9+n}Mz}K@*#BV;Iz=acNe-^KQw?vg$MF-6axTTM##(e7zibR6 z3aL`h+FmR^De777_^v@At2hyAx8;gWgrd;Y#5}xL3Jitbp}5H=x$qB zpmKfZ1NMGw23;Yxz4ee{=A<{)JV{!p;Yp?+!g<>4(}a8s^A!@Biz1*&Y-3H2p^yMw zkA;j8S4Lcv<^kWjAJWWT_qSrWNo(EEX`<;{aNq01?Uotr=W(YN@M4|X07_dU z%Ol_CWZEOyb1`XkS~=<1V<8hv*p58jK9 zzPm7-9oiH2&HSth4?Uv;!yKumsZoeb#M;`ICZy2BC`|^5Ll`D09$F#{Q!-3&p68yA zVZJg_1VC&sc?-T+VESfT=YBGp7FpQyN{PY_9HJG{)c_Dw62uYS5Fde^xsVM zi0%V#%Anj2pv=B1DC)5sz{rSYT&ztR=_fLhY^zY?S&8#9%}?|{Gc zI-t;Y&~ss|5ZsUXDM9t1`|zTp1C66E!erNU-N$hb!y2X?a&HTWXE*GU@cg*HHF_Fq zEA+bu@prh2gOjH)L+VF;;v=Wh6UepmDEPt|!RJ@b@;&oJ=$(7;5;@8IXa9aVYeal= zz^}^nGpr^KG!KmO=LVuPCmqmhfw1mtW@J@mbvO9gf>aDp&lG|%OIYETCa7EtQ%fo3 z`Hd(DqG#{`co{qB-G9-XsXp2pcpsQlr%t|aVWM_BRuY#o1K{C*?(r&r^LD)3OkT{9 z=w-V=8-vJHtb?@)gv>~ahm1cGgkee|&q8pX_hmkY`N~KU0I~Oveh4$?M|-6AiWg#q z`k$gdf6aOR^5CULs?T|H$*nK;ER^sf>ivH%jAZnGF`^&tQQ1=0%txa@iU&t)Q?D}5 z=F^1yz`%eP>4mYEd*0y4y{b~3#RA#)o+H7LGl%!!Gg^*H9`xR2zTj7*kv%Nk9Z4)Z z(J1xtaV1k4MLsl*SKmpe2lRG?7%Hviup^_q89hmb4TZl+ zkqTP>lIu`XNsnlSjJ+C%ok>H3u{YSz@KQ2*!!b-QU=tL*OoKaUO~zD^!Qi(AskUyN z&I1R-f4n&Gt5@1KNO*4)O;KYnAqPTUkJ&WPDvM_Y-4PUdx6AxlYQ5h%E_br0?_Bd^U*`f-p;1;fLam6I#SD zxrj6pQ=WZiNchd~jIEe&?O6;5Kj5hwYM$47`3o_R@-2M#=!d6CUlA{xhAmEN$1a!P zjW5(P{ES8g1*Mw8D0@T6$W*L@wF!jzNQ#HVY|WDdVR(|tBLtN9Wj-cGwm)j{QcSjD zu{vnxYabPF`0aAX@VBs~;=-xbnMYnL=D?Fk|EHH2s!&WAokm|f3C;C;M&>X&qX|0I zOEWW#0x2G>Sp3yIX>V=nRl>Fa8PlykxzE2wYG+%+$`m!e zyaoN*x3NTT;zgQhdthLT5(~03;_v|NOO5v0!jAkEtgRx8NWoekUktOw?!fdxU_uRd z+v?r16)!Iv}VdA1Ywwx$|D4nicJ!xaxpQm5` zKC4mD>-hRZIjNV8?UTOSzmE?{eFmSzZ8o8%nVm5p#e<`@saKh2lZY$UQW{^Xh2QMO z6!E2qy85k}Uq+esdn2E_>EnwX-0r1>kkel|OPR$d#8(r06rJnj;1a%@pUnE$peWLK z3kxqL`yNW$1fKLyVWb_;4EM-K8_0Gc1yA9V?s$}AUyIi}!%KNX4ZFV($7VS00Iz6aJ zq;IFchRV`Im^+aL3?P^Ac=~dj+h&Bu=|nZ#U$3BkIc9L;y2&70Z&vHD^bN$^Gd3g2 zz&Tfs1@p%SP_u5t-Cr3gECum@84XyYE*dU^?lJ{pz%i}+tnMsDM%p4)3{cM$f-tRA z_@xOgVwhY;DbGag^8P*8ocacgIh7Xm$2amFHIneBn&PJDZ^@6_WsmL?T zkZPJ5g~*)PEHqsN`oFrWMm9llM!%nGaUvvCMd_IO8@; z9aVLO7ss%7teW(UhiWkhFX;$H-=kgZF}U}^fXptya=~v;3|j-&OGR;~>~kUy+hn7a z1Od9~C8m~wWR zFNIqgW9kOlzlk?Z5-77?#{hpvji{QN2RvnM43>`c1(OformirdH`8;hcXCWWj2d=J z-2<^7S|1IguO3+I@YhlZTw~C(MbYk5kRr!hoCz%ZH-lRt~;xs}sjj@6g z(LoX4w@Fw!<=TFrIjB7QP~1M2&`P3}K#a0>IC_d6RctanY+gHx^eSt#Ld0GJJICrN z=HWo*?MQSLwB@N5`WD%DDAi{*4XRLJFby~6i4q+fJBpTM;$Zm^z+T)2VLrmyx8+Q? zB;vLw;g_fvb>d@jz3{*DlW-YdD0QJ}-X<=~kdXo00&WOV5lzl$=lM~9t<5O}2= zzcism43oMpTGDuK_wnP}Fr1I+eref2C)iEo~R!WKp@_g5ay+HHi%Kg16dREUH z|7`WA_qy|BHF0 zdU<2bN{B^4g1#NkAL7kf6w31|CmT^wFgRa5t33;$5-# zdnXxvWS?I2f6<$Ev3US*j~aiaM`J~YX0_Y^O0R}2JSuG{gk_@`@bF6^2|h=CF=xW|ax4 zQ2?f59jr|tiG0OC#vcj7FeT#|oTp;bgnSJ1m60N#S*-f27ew;db4#*NIc-dqzAXCs zgBOXs<4nGFH7nA+F0;KSFw+6 zN;R0ric#GB%`J(T7|d$XEn=hHS~QJMjYA{b{&_${lI0mE9S6`r>TTx1Ip)}a_F~Al zbqlpBBQ=E}tnq7Z-BY<30*XnYp&1(EF1DB<)-iyW5k*+tVbm*trnN>45)FQ?VimEC zx@maouCXROswIhuSdD+Cz7nzW{4p2k5qqU0IhNFu1UOWV(>A`_3laAv@ z%4}0;mn`LDVr0P)Q+O#)_P|)%TC`7M0jD@=s;*y4UJdR5cOo|7Rw{l20lM3~S2``6F>^Ncm~ofYB4(9@+$ow%wzsQ`4Oa6~yofb-7CBZn!T42Mt>5wK1`D7J5Z(5-0vN&gs&5CwW*(RN-t z@lbfGVx{nUk7+&o;GFJr8~AY_N6b<6UW^=7%V(z^@QuhTBO@OQ6y7~j!!iN~Ef1?` z;>X?Ip^=e~V)^lXVIW^~-RQDYR~lwu*(nBcy->EnqID3J>Dux!gp`pXpjoUQeG5J= z_D}ml@3ynTp`$;LIkV6gEaJPO9I2Mm#4EL`ex!Fv?!*!W)&D5SbI3fodS~5Jqk(I`U$v>Hjz&{>?B)pBv42FGofH zjNNFXTv6{|F!`WQmfB1xx*Wc}5QgfqkXh*3!^d_sYJw8Ewt`F81XB6}Ozh!*IicOm zOCQ!BBM@%UrNp&zGuj|@5^w4OjTlvbK!Ygw4%dAxNpc-k@CQ>#3w)lHZLho~+aYAE z9bP2p(Pcl!`Um{G+A{o?X3oGo18=Q!4)aX^8qGB~v%-aqQC{dr`2gUg7(=2(aVp zDjCbo9?4trlubM{3Sdzi{A|CAKbm3mwv9Wjd<-FFWC%#a`fNLwzHbS84Px)b2hNb% z@Zo`l#f$hL`InT15?)A;eApQE3o&AWS8-M`YwB ztt02lyu6ri$+20itp(L57v%hVzZ6OTzbSL&^0>(NUf|2YBRw91rDUF77SCLVjdjlY zm6(a*Ukp=ETN@L>rR#p`I3XV&b?T2Bv0P@hm za4C@HAQb;`6*F-FVHcuPai3Uc=aOT)Ve0J*T@5scoxKWwRWe3OR-~0$^+{Z4#vVeQ zV)iXa^_4wc(2Ube1{Zpn(Xg3b3n#H$-Ia3)Co2oDFmFmzX=p12I$vy}>*{Mc^2W)x z>YEp2v^s!oa(@Q#wLZf)XyGmwQaVCK4CR=&lE8Hm=*nM1E^OM00b7#zt9gRrZ48_U z0cjpG3Hca83JJUn0pvNs0L0GrKMn4mIY;D2g4A=2RIYONxcc@xT*P2Ck!67HHO354NXLW>yUif9Ch2~R^H z#Ewk7VoZ9!ibsg2ze_D@#xA~61}=U$hVr~ERgn_a#F#(FW=+AX#8Ze!^=fzqk*mcP zSZm0H4%uoHepic_Pl&gGIVzTix%ajGe(<-wZVRply#)#MKJlgxqF(M)TBc!2DxQzm zu|9_SaXX9te*8RCzi^hx4m2{4YIQ8YI}dKobzr&akXCgX&*8OZUBU)HRzZ!)Vtc1^ zLo2w2BnKs$zJ*&S3>>Wx-l0S@D>&F|r^d;}?2{4Uu^qbA5TNnDhI}HQwa1-s&>16C z026tc9S!e;tzX~?R`8E0{I!=c*0f@>Zdyt(edQSv=vM!$n-x^A@N6R!GZ1Kb6Oum5 z*Ba;0={%)_{lLo}scPq(*^_ zAuC5~6|v32bN)yXIhQ3_GYR&^)=UgxrLVv6g5V=~cWS|;|JcN;(M28x3RZ?hCIEk;vm^m3m zBdmF~Qx|BU_P)MQK1T&aDBm2Cfn)T_JNp(I{I_{XH|cNaDwZ_d5@``-M)1}Q&Xx^s zaxmMZ5H2I+N3F&%!Gxv^yS>vD)YoyRI2dA5AgO4f7~IxWkXlLx2>b$MopO4jTFP-t z0$?IuM@CnR#R=?i4QdFVj4I#w;^WI9PQ=dAI3c$$2=@8IwpwAN4!`&YvzM%8BxR3f z2YOR6mnuVUt8|^y_E>ME)PA45nP83IzVU%Swg54df_bbno}y;}L-d`%z|U%-sX*gn3FEbn^o7*-|RafTY0T9JuTeg=rCXJmZwudtXL zCX6UV=)?~V&mgt~YYpHwFaK^5&99Q{$*g}(@Pv<78k0wMYLCIrsOWiAm?_s)Ipb49 z`;Wpi)qTjd(`k}xfk>LOqEna{Fm%(DD2;O$s0x=-5!y zF4CCoq#PU5l19H~Y`&dys5_!z(AUmi_Npg){>;t2Hz3x0Q~z%hkz9<$XDdHW2b2(Q z5EWLYzsvh#;_8wNYf3iE72u~BpbacTjUO6B)4+)kkmezikdGmxkRZhnkcu5T8)Jii zm9d#)doB0yvG04)Jqy7d$9`CuzJWJ1t7UG| zc{q>pQ;otsJhrRiFMhlnD%SH-(zuh6UNoJt!BXt@2CPv11#~cHu{Tio-Ho4C1``Yj zxR7QCYg!CJTrzJHjIQf>1*=cet7`V3V@d>1B^=3cjT(3;NBlN~p*HwWcu>42R-W~$ zihrn4gBXN5j6Ruf$*}opwqNqvq4b#rks}B2zQav0@b0~;Dj$z99EFeO_sqK4JaU@! znm<`shV$G{xDc7oRL*^;_7A5?knS1bGdVKly7Z~}a$0U9xU&b){8&6hd_sHNq zuU4XnPE2Tc29eET8wm+iGhYtE$Spzx-cA0JT)%hG=g{i5r+hOhFH@893U5;W<#s0} zC*`Kw!)Wr2M&xGNy>lsGn^*YJOvNi8AN{d9p~G^c2>ri!HS`c0)KR&qvEisd$DJa* zRG~C1a*bPpXr7jn36{`9ZDlXjukGcgI3X(RZ%Ow3_AwiDsa42kouq7z%wdO(+kG^& zWz;84BW>%0?S>~KPF=bpl+RRmIvv}u`^_L$FwV2}_00Lv(EO-eykunlTn#5WklJ&5 zAfI>M4%C6;YKUP3>%3xsHJ#O1<0n*+5d6^$XA<%;gp`pXAQk(y3srdd->Rbj z84si0c$sHbegLu4K~?TK;8TTC(r0}TK7P_$%$tzLfQccaRBW-eidaGt%9vfugjsUj zTf`)+i~eTBUhqoX!8{Juzkg?=r1XKyP*PvwG`j=glPbPhfulEQMAhsv2X!_Kaue3S z9>PbVg>Tr_>4>=$)#;{wH&5Yx5Oj4XnT;Azu?}qs;-Z*R^~O?q|7QAL{|;!@Js$q+A0k&g3_Nyx_#Qb-l)RBRzTI}J$v4&i5b z+i(_s$MLh8rZG6Lv5B;YUfL3Z$W0^G%x;qECbO>a>b8YlQOcbOdz$r-V&+W8opfb} zDVd%L=V`M~6Y??4S4e0giU4=9h3xFI53X=%-Ro**rcofpgQM7#8!g-#R4;4|ZTOQ( z^f%W3a}3mNWI{11ByWsS&MFiuxc*cw#4voW!jC)5$K@`I{irJU#jbM^p+kjHYTfBB z8MY-RIumaC^r8;bX`xhKg`LWN*c$}qDlFoEyWGpC#O3rhFY3GdlycnK5iAAG?5tw> zJ}1+rfk?ZjB%wtNxs_2?>@$1B{8F#-@A*m~)md*z{*T{~zR8La{alajR?65(?WS`= zZ}BxV1BnSesisK`d1S>R!Z0?4CPrz-CJtekqr77Li~k4tVm;C#Efg0?J55{Pz3k+gp{oW@efVQamJLHBSQT|M?Ro!THE4ESSWcJy%;4j$67hA=u4bY<|Mh|1_H@1z1!zfP#!pZtg zN?Z>dq~dLx`n69xpf>^1omu^U7)eLfOuaR)&=mXCIaiy4o@?;3pvu9gaxvRF!us35 z1kG+l(-y8!hx5z|dk+^HN2Q{-jSG1DVvbnV2hgdqk2UyM2>wQJm$nI|2Lh{nC8QUB z9OwT^nWg~hv^7Y7+=zVoHi;fSRmU0~_M(BgH_p*fsALYLZvp7LFUE&!{>}m2A%s=c z*6_IC=(u_>QE!z8u6|_&;72$msjfaYcBK zo3JvfX{^!h+m8qK&nn*#o$8eug~*K9G$DnuRB{k9BPkwQA`DY9OmLp(o{wR^GExL! z1(3b4*B-`*;JhIdPCYK3dHo(GgX1!J!;{{moO4HHp@bKZk-z)3NUnVkE~7+cN*sny-@l9-2cqc;rKkNkD#vl)m+d-@kJ{^PBgRrxUn3sfAk7hm&?(n05 zzZ7gtUxYLqe7d$LG+vQG4ga#6>MH53aI1BNOEuxbONeGWyc4w}l3Eh)@|Tqm86uMf zcX9)n&6%FD5Vi=t#TfIA+h8c?qrQl$G!}-z@i*!~->j$Ibi9F$&tH(0Ppr6Q=opn= z4OwYf)eTv&Y!w46s1$;5E+H3FUI4n8C&f;ENgK0&4?YC^HLpX9RNT^`fSa z?IXH`z@zrao+a;RUn`UNaK3}pO~APiG7p22x4HciOKFkca| zGf@O2Vr$>=ML!DXU_>Qm@u2vhy9{r*AMt!y_##0i#L?*(mRXO!FSKhMY*&@)rJ0*X zffNskSk066)}~%1Y^zZ1Tx|q5BLg?}24W8QOa6yZ{=;uY$uup3DbjWXb5tVVE`scg zG;M}4qEeh>f~$rmVU-!f!w7MlAZCMGL1yFt*#iUHp7bgU(0a7NLpzQNuytkJBnHq* zIL=aR=Ou)Ep>V-Q18DPK*{ zY?>+pyIHJflu?bVS{|G;P%%c^%Z*KQ$YOC@|B$o9oHZ%IlLr0NX@PhbdNrBv$3xT0 zC6L*82DH#9#Z~a{mawWALNj8SbW#lM!x{Obf{*7aMhtw5k#Um=i*i=)x+s5GU zs1Bv_Ib0<0KR@P4jnYU>A$9*`&CVbU;i)`&29%0T6Y?=Jvfv0_ipk{J>@xcx$}lD6 zUKh)__Cy~4eDXsQ-l8ToVHrQ9F-TDOnO9)^)-1M#Q5nsUXS1l?Xj0`AN;J+TWFjVc zHUuBs-$xIW(8%#Yw^m)kXZt3zBH3*Ux338&O7o~96^A-yo8XDkX}xmTsJcnkKgxAK z0F0=*DSZ3!(ws#Y=e3}O;3r)I*)qv)WokPfC!Gy$s=ay7VDHq5ORk@A;+g}o>T1SP z25m6-Wv|mIq*X#5%KU{yDJf5gwRg6LS(=9jQ5~X78!NNUefjdG-a9VElsrxt(cqQF zAd);Q6X57zZ2}=Pa#Q?EWtw$wA+N4)DmF2y8*@~eIwXo2ghvwY)J!3wl%lVm>@RV7 zgC?|@S1pY}Lgl5{v+=JdoUBb9A||dw$iE}#Ok38f#FmF;!9PAoPj*6`;ydAe!m)Tf z$ck7(n)Ty(Pq7blp&#I>1-Is0$YxU$4Licj1aE+tS_e8QV(o!}0y20KTadi{k~FU# zu4J$hWE|k~IVx9QB`7v?zqGN<^=oUJcp9=SBg-R*^ywX1}TM zOA}heFu9CUo^E2x*omG#)t4(y9cp1hY804I?3zYY@Pncf8Gp3b9mrH{>au(cIhK(k zph>L4nyD=J;mHKTzom4}z)UbXKO~yS46if>2^GjRe|1Uv+QB9hWA5V7-)m{Mq z9P?>w5d~8o=BSDg&x17odQ{4iIRyM3QQ3}{uiF+=sA6O>YA2_98qXd6;#OXYizw3GylkI2 zDw@*m5!JEk-bk*%+T;ANC5?gDQLUx&5CW`wX+rDaK>`8NlHxHlfJhLADH$d>&vVbm zFkcxd0^G%xu@n7XtW3WY?@?+(GbW@lNKp8#>8T&B+#ysu8^%28Gzd68s5&$nH0~Xm=#D(c$1@A#Sl_RwNpFNxR94k z{CtrK>6HUeL%5^0ir9vuR&89^dN;y!Z$o6w?PS;@h9U~7uVb-=?C@>L#@>rL6B-*z zf(cEjkr|ig=Afnrv8lBQgz(KG-EG0F zCQ+%~Uo@9&Z&w%V=Xp6@{0)>M&`{uN6T(IeQe$gXYp7^4$T@_OJBf^@iRFZ8tg&*` zc0st=oV8|f8E;nVY+S3eZ9kB7YG$o0ug6`oM@`7fo!sEO!&O$C;pytO9hVJDHq-oZ zuJOyZ7*h{Y<5P&1Zd@k(T)D`8|QEqy--@o|Tld{>vofQKQUD^U3q1Gzpo zV{Hl{l$7&_Vr)w$Efexk!b-_ata7bt(Zxq5#T+_~-&8n*)8qs|48&Ae02lrg8R^%T_T!Lz+iH$%|UrI2Av5m54JzYrT?MAdjotq_^_uI0uQr;;LY`w%@} z(G$8{Vg6D!0iMp;Dk)NrP^9W<(WV^$}Th?tj0D1;iCI7VlotJUWZF_OB0O&6`hB zn>I&NXNJX!5fwK*-VUU@t)#VE1qys;%6YRYJ+DbCn6GV< zD)z(998ne9yehTcZLf-Loa%ZoEWJcF^6b!%?S)EgGeZ}ZAhuGn?zS@S9klVVVO)nJ zs-yr6)poyNYQm{mdX3M=5K=~l0C%xv?234$<25ib9C39vM`h}Yt}?q=cj`+IXNGny zVu&judO73maD9%$tO z=PKAL^NEdPN7YR-oiK~AanNq-bMTnyrJvaEZwu;nnU;;uo1Eg|=}?CwswB5zAa`xm zjLluj5tmQM#{gDL@RT9KU2HKwda6CIbRYwi@v(@pvk_G+TH{a7ABt%#_RPR3>0ELN zTV#%k`WgK4E*ngph*VnJ`8q0iqTB6&s%~|flt)#EI(CZhko_)v`+I;*aF-lBfDzS# z18f)a{Oq0ASnk_sLvqQSFk02LkN?+J(RaO4$x)GklSK z>2NbND3O_45FT!tuSzuCg2~UvE_yA+urt?D*=xL$J31Iqoreag$TS&AXr8nmxG|DFwSbB-0^& zr@&{}^4CPU{>YF`>n^fILQfvb{DpK4Qk%r;#D?_1qSV$C;e$ zMwmhnmruyY09H&D?1O(9I(EMm>< zCaG>R>k6-KTi6w)+?lZLSu*v%9sW_ovoSa{VxaPaynGBf77`kSBEVg2Av+l@=vI$j%u6#l>CruG#JG(<=}y%*iTVu2&x5R5 zyHw->jW#qhUxe7mwA`%z+J(W8HBAVrE|q#<*G;7^ zN&(w$f>2AL%nI?3hq$p$O5k5dV zz4NTv0E_en@I0X`PuR#=s}pM1b{1yhd(IPXLhXc0>{MWW`I7FG#ZJTX8ssIvNw`+qNn7fwWx>uk6Z`B<(Wn4O(ETWZGv*fy*y`+c0*+KCxJ7TB6s${nyytWCHjDkugriX{lcl#FL^o{CKq@-fU;NDx<%eZK30rb1p! zr2Xwp(1g$^km8{|G&L#%VV+GQzOV+}M~)BD&FiPh^|RQ@94e|_&Rbc@t)W|pCb&x_ z9$+_s=7$kg3D7aw`9k8zZ;QdEyi-K<7E@}gsl%%DeU1J8*7*2swLNBa#KxR=GRA-g zxhrXlm@-A_sbhbseAgx7W&8{Pnjl^z$lT!9&?}8WWGB`p+{lcic*qPO5`b} zMpQd|EYz*ySgpi3jFGGSdxPp^jUhX*MHB9q}kda-R0{YiP?61UZuw4=oXfDH+e;JQbTJ0ZXmrnfgiGo79R zDIVHGQ=>8v=GjCFA`f#^o2bBc5gmy(+eOz+*E5`~Y`P97RDr3rN(;q!YOR?&$XDwC zyEUQq`{C?5cd6I|$6qqG<^@f~oHs1BlQ9OA$X!WW#JK4CZGMFIAs`)wHZJ@$L9KbE z0~sJXu{PmG&LqV{W&n{O3{x_m!Feh+O~}VEUl}O?Zgt$opp2JIrwLr4u6dR*A&o(T z!q3&()T_+1$#i^BZL$X2L_D|8+a(tdsEP|}3t0yhQ z7+G-aOLs@?a}-;^3`tXU{aTtJTsd2-o1B``5}LI(ffUQ$*i_wZ_UsO}6zMIcO~=ZZ zj&rQa(9}PdHDcyuJx+&ZwlMQCt0d%3(OlTud692i`i@-w)e#0NQ>nKBxj$;fUHQ||-oR1--j0^#8->n)h z<7Ly^n~-*`z!_rOLtA%t%tOkUUCe~=q@UD0Vcr%oj4h)#-{bt1g)&w)y|t0Hg{MXV z*nzc%e3^HXN$)ozj~c-+beBpzFr0Sjssy=1l51Se5KdfiCv=S*mL2EY!iHRMZfn@rls488=qtvajCa@ojc3EqBk!(>FY9aJ}6P|~?; z%E|IZw@>TSIqf@-SZk+e`o~U8k2%%3O{;U5)e-AI=Ol?ETTcbS^J|XEXgaw0^4mNJ zVOpM04~-W{;5J8<&%ZiGA%b08T2(?S#b9%suE% zu&CQM=$Ij@Rz0*{0qm$Zm^P=Y&~>Z2Hb=G5o-+30ilg%w14vCcHA}C{`4~dV$Pm!2 z5fyo{&=mv8_(}7``Y?&XxnX2`XlhgjVsu;-8M8Bp%v2PakP@+)C(MhFnyp^h!K5-m zR)rCjED%^Owv1h)IWA>khIV|TadAU2MkCpHvm)J^qdGA@ zH?%6flIE`YnK1^Cns92CCgfuXDI+66v|UD2i7gqki(SSnIbXJjNm#f0OD|LG;g{kQ zvjmlkC8{kMq@*R6+>cS?sb5zHD~9&((yj;Sfo{!FZ4+;@ zW9X3Bsi13#x-~~-EWdV#SX#T(Z4hsI*-Nd#&)dMZ?y2a(LmnQ}bZbOae^Avu1zU15 zjLltJHDhzvRK(>I@-cuFlZTy4{2=Vwh>D(SZ$dhd0m@LZ_R!XY4dx-`{Gk||8#pD+ zJ(tjvi(&p!Is*(jM`egC*1eP$0j-Us57$3O<)lD4C~;vqGK0`2oRmjZCT?R+^v19~ zfm{`9(k{7q;CVKpa&t;66h>4Ft>Sm%avtEtV3(Xc(5(@bldyGz3^^2Er&9=!8o%t& zVmcSYh%zz+oS3J6K{AkmL@Um5<$2Vay>O1>RY^||O?%8P*ZBdSI{ z0IUOR4cXGGBFY{&=}<8KVW~u-1?*^ID?an@EqIkUo9= z2C62Pt)JUY=3qA6xa~Ga)xIxP^kt@J!g<>4>j^!%80IP_?(Ipj6^(;K-vLx1t$>Fn zq7|>fMkfYDC)Ot1$Qjg0R=`&~s#T2TTXeY5tBVDN~=m*kXpGNN#6>ZggTmL2P^IDr-~Cm}fJ|80=fQnF?$h*=E~lx&;*7 z{altqA+Qr@`?;)@Y_H?MuF)B-?ns-uR`5ytp7xz_4w8sh47BSGSM_l~7ATT-{^VFj z3V^PC(w)NEo1mFo?-3Ql?V+g&83^-qgUED^bbK(ZtTAf`**0Ks(}DC|=o&n*j#>T6 z8pL&;WvcTm8u9@cm%Emn?4UP|^rlH(gWzr`yY?RQ1br^6YtT`J}sO>O!MHiU&t)Q?D}5CKEnDO09RP%mca%u*1^V-eY2Z_(YIC z5kfXthVhS7C^Ek_XK zOj10wL>Q)|1E=~0Jr$cIs9X%Q6_N%o1q4P^Don>M9P^~S_A$4s@J%x-zL8?4)?x_`PhN2R*0U<-b@a<#s;(ip2i=9-GgT*7EB zriGk*R98n-V6Q2H9acKjNc7Ta3{pF>HsMCjB*jC<9|^)RC6Q+#I8VhU3Ha~_#zy!x zpgbuf1;Ej-PdMpb#>=L+HKC@NPNP7Ihqlm$2^k3UY!Y!P8>u#E?BDes_<`S@Nvw|` zZI4|zs4no>(k!|+qT14C?kczIfi2~MdPmhYomAI26*B5%i~&ZpQjuYDC2bMI*3AaSHVjwYF^CUqSo@6|O^Hgk_kdI-$LV`F&fVOPHvJNyJB}eu*n|KYmm=wpqs>wx;=GUT6;;mDVyg3 zUN5^zrkl*#^Xg=b0WP^KX^WWl!r~Nqszb4bEDcgM5v@l!=|BdEPQ)hM$eE;g$P6G7 zgkeg?GdNGhCJ9ry7-lOaMTEQ9Qhx1u)ig8HD3Id8QEci}=II7e?v^H&=`QVhVAlh? z9@zE3t_M;N;Od!P4ciY7QV8-T!-Mo<8|7kB?nQx=r<>R!RyIxZ*pin{2Qol(A~xYh z&NNrm|GF>%G!>gVC?7+PWuypj9NLuevgz%4X{OU`km8{|G&L#%VV+&u^}wzNwzCJO zwz~4Qt*+p%ep}Q7^^4nvYS+ma1B&FXq%C4x^zBe=DN9X6G6pg{Ni|IeGKlO%Y{HGq zNQ#Hd03tycrlj%+0i|NognUelEIukGyNfMmR?JH?xnAiM!;WH8uQCs<+$9$eXcy2f zrb`~!V)b%9XO_;ztTnqM0$#O7&jggg z&sD4j-EH=)wnuOB448Bv)=(zB+AT_4*e!lt=cBvM zahKr+d!V+#{MXNZM{M)5em9&j4$Xc02@|^g1gWm{?Rv`_zW(Z9tc~{QIL1H*%}kk# zZ9ayOGBO0Xi!EbU#A|m%mG#~>7*S;v-aXs(Ko>l){vNXnN))l^<~_;(-`@G_N|Iz{ zJa6~>W2U8TiD5LxN*gO7G`qsMCKdz?4&G=45@tWZ81n!~1ndehFvi5}N5C4pScB(i zgo^>*8i?lnvMNqhRAk1VzHz&<>YgLryp<=u_)eTJGb2vr&CV{xv9@6fJm8(_!^Th~ zx-YgiHTou$fuaI|5ACIm2ma8CO2P$r+QWigHz!T&T-RJteJ+_LYOkl+bYJJS@LfSg z)s%~*axwE4i)?}4qXJxQBh8H@Gp?&tz>gj^>%$}4=@on|pxNEC6T^Tcay+4Y)_OuNIQD%VTG}nU)Kl zT3*QC8Ma6nq%}FD4tN~t@qmc4BW!?VuMwXvV&4po8pz+w6fgx^6kt*rX<;Opab2eZ ztV<*9a9d?00+CEfD}!X3IN)}7U||2Q0rXBf}t<0Bk1Wt?Wi$g z*C%(6>iwLw$b0SPt~~)8zbRk}m;$Cisz6|Oy&OqyXb92+PfCm21EgjFKzrC4U5RTm z6_pOelMW&_S)z7XvL?RdkUF{yyhkj41nK3+Dk{;SEEy`rI>oay*H5{JrkY(5AgH3?0G)BRi*t_5S?L*oIl94=Rqt@e=m-YE(oG0Y-EF3tf#BM&xKm@ z%)F(|cXz#EOKj8h>a%sBPM+})lAdhOgxbHRFnh(~8-^C&Mz~|<2O{={P12BifE4Z3 z;q8d+VT*LrBwrQQx%7pSLZYdBFFV@s2KpqagpJlq8E585b0f)yaE;Dz$e`Luxb_}m zj?P&DxjeTEGH=K|FcwWN7YCp{Y>{sIvdHD>%(Nrs*ffUKS&cqsrhqAMK?U?`j0Hqs zFj?W`@rq>)3!Xi)yzLBICX-eVVmSq!NCnXoHnPEtIW~=RoFFxuC39u#&<;uFmsMH zH1r4Y zh(LO=3P{(~rG^|z|EhI{t@3#g%PD9fBZ!``kqu_dv1zO^1gT+F%iIHF(IlJ$&>pr( zH|M|3<>`E-71f93#JgL+>h573Oo1n>0F%l{W1=>D+6u5TLIL(#T=W)u&OG3%uE4O;F=Y<-}>NpTOXi}?+GiQt6?l40%Bx^lgBHT zH7t1c$nv%`Y?(}2J&5HLtfE4jqpg=o9*|X3N2%K=f!hb%g8?RGA)%$Zn3ru1SLq#M2C0lXZrza@veumB;|Mr@(IWDcNgY-tQqgo!j4U z2UB$v7Bh&H-qB_WH&PfOo0{!m{dku z7)fSa*Qvni;yWUP_fz2qhT2$T2+{*j*7)25q-dg40MH(`NH^aJTIbS2Mo0og>(J$5 zgFaZ2c9{ftR%+QjDr7M;1xx``z!WeAZc>3jR^{?QA%gVsla>~_2T08VfcCI8x)PU` zHbN30Y7FbZo|efEt*CS$9%k5@tTSwtbGdAs>vvT~NIJp>V$#>l6fgy@UV+X3qr=TV z5PtQifF@on|pxNEC6T^Tcay+X(1yd0iwpR4y;vF0T~)4 z18Qapm;#qoAbET_`z4~ljsFPB6_=cfnFDw*a-jI6)R<>7%w~xv zAJOr-F|5vNWN6l;0OyXGDKK6Ey&Bi2CxuA#WZs(R$lTL1Nabt`Tcz|Mmbr8y6+}@g4D1oQ0{@TW)47m*cx4l%hQ>(#T=W)u&OG3%uInTDzN#x`uAH@&BpZP z6*w#iPhN+*0CPRmRbvRJmIraMUGUUH_mws+d>&A&fdV@^QY~b}scZi-G$!RCh!8$w zj?E$>(4z5xh-p}D$US>xdFyCy4_hWHb9tH%7m(v~V^~#{K4zwXDR40b_OtrM!e3Ef z=H&<4?t%Ruzx#m4mj>wc_<3$9g06v1*3Pg+K8gT1wFV08 zXbM`$h_feb6a+HNu}SoZh(L?R10tqjwITQHk?UJ8T#n}UuQs7D_DqRiN z*J*`F`pE)L!-A)zQfyPswy?3=>TgfWGM5%Ig6Ihw*_fZs|OKlpumofR0|n#_JoaWkYSEZQb0sRphe>y zCKnAG8|)qxGMSkIrhqA63YY@7sX$MSAqvFuKue1SPm55UVXKrL#BznBrHvqZ!bUcj zF~{Zs71hFBD;R6$0JMj#(UrJ7ok?5Fv1tses?x{I6fgy@S%Lel4}Q1x0owSUumZXo z#sVTBMpig^ykc3yf@hB`Z#%=5$)weTSWdwzDzrJ;dYR+_Sw(e}%08I_SExW>%-Kxs z@n%z`_|6;dGY>t>=H##L0Z4n;GT|=@T)X3UJwXNeX$|YZG6C)_@T+~q9(bZ)?g3J? z-4|UWE^^I{KbBI^FU0~4z#Fr5V2?sg(@$mp4bJS{i{Fb=-()yL#F4zFkiH8ZyhZz{ zJ8Y3|lCKJHKrE&(=_?im(UWatgZWbCM+fFC-<0`Hji!4rp}WVigX3c|?UXNK;8~Hk z-~m@MzFBvMEzwOu2BpP#mcnsn>I)l)37Z(~W_1Njr@BUlcozi%ow94&2UB$v7B zh&hWf4s`&DT z+#|561rY6Ft9%76Ef|C(K-3u4fklvLnI4XoMadQ$awxE(QT=p=E%F6vU9YH)%JhYW zb?(dW3)we=;|63mGX+e676q78Mp_t2W?a{)!0F;UA_MMkJiY}&G}ah`^uUufKKB4A znkW?jw1+Ly&3A&A*772flMaF|j7MsIJUmPD@5JXSd$Of}mPgjAT3$^5#c}tt`?s~(P*rw^#XX`?p zJmVoGJ=vZKHSIWQvR5p=VQBGfgbEQ~-jI6)R<>7DZ`d;5BwrQQQ_vSm3W=ujz3gbi z8|bra6+-K!j5BkjxshZ;xJG9dnwT0v zv)2?))y3K=OS(yT+z_J2YI#KCr7z>C%da(*f*VLtk97_MHb%w3-c@WDf zXdxqrp0JS(X3Vi^tT6fGKdB3iQ+%qChMUw6s|8 zvQg$e}5oXyl8Z#G4W@4VqY^U$+wPX6j1fV77#6aJ#WwL5;-6I76& z*02sN6X4zgzuHIafhP*)9w0^AebF`IBG=sbV<`pwQY_E_yfIq`_9)ae{Z#hf;9P$j zp+W?ez4UOw16$EP>JD3^o8+s)8xV^rOguTeJEW|sEJBxG&j|CS%#RMtS-vUrn;K2` zU_y6~vku9$Q@)7lnH6~pp0fzqF5j#>!)6?(jv#~5VmwRXI5YKy4TONr%oH#MF04Qx zes*npyxCMDzPus#2&`%WM0?mOUx7;}QXvTtHHLLyPb;cS4@G1*M`G8cK?mY#hONmu z!&W(MMz2a_fZJ1GxA~OpH7}b#L^eQEpr$|o&{$&#(gRP{_}l}eW&uEZ*cx4lOA8qx z2@o}gbzrTc3dqnX8BjA*z!WeAo`eGS&h#g7n7fh;pxYNr5B#AOm4plMw1)+~Zcduk zxvsgQ`dl(g)Lu`MTTfMcEeN|uRh7vArT_|XwT(14lFYcSQUO1D)T|FTX?}E8??VTLImmMCtc%n50IJ#0PSIGbS19MR8-INCp+qSovB@xtc9*nQN2)hA?hgP0&(ek zLHmAi?D;{l!JONm^P`9HekQ8Xqc%QMz!ccB0)F)1-p@o87`CpY*_xl+&=8~to-DSx z2T08VfcCI8x)RrBDk>d_C!MCli~Nb&Wy#lAI6J}yNG@DaeZv?A zT4*{x0|OeBDR8|CFsY2RFp|u;u2X^2M{Y)Bz*EhSZ-Efyby^{U^zxG~wz&sL(L|{L zpgnAnZhj%qI@hsa=nLxzdU{YhYK+)5c{1JZQ6Y<&DPRhi0;Yf|aFYrIvRW@kk{cR= z^uUwSBKH8PSpd);wnkUt+Dt{I1M#GTh)tHLU6!ngFFB-+F1K)xsws5?nF6LjRe{a_ zqr=TV5MGto05_{ZAhYs1tq?(a`LT*hG$>1kO0iDy?9BC3?xCq>R#DXyvUXFz6gW{J zxi!B24ay(7oX=&?^V#i$eEH+I;_eK)Omy)6Ak&`b^V0P9;`r!-AbP?^HkieFx(fVU zs3p(LTiSeg*BiFPHchWSTNmo&84n@p$@WaB{c8%dS1i6^XxR%3#g{ka9)XqZ)#2@k z?P1G&lYCWJ=h9a!3dxS8dL63oZA>7Q;E&qOnK{zjNU|YZqca>bsCE*ry@!~ib5=ku z&+UTD8*&efMU%_L0ca0fq?^7ha(Oy4?T9%xjbU|G+xMWSSxte1&NY~|n*x0b=+zht zh(Q0WaPoM?vW5lE9$DUYhAopxs|T^1f=;A@=m{IyV8$Gq#yL)q8dfFCJuueH0ca0f zqbqTFI+M1TW78N`Ri%%aDX>KaHh)+Dev7Kvm`njvpi2Q=4PyZj=&n^jvZgLI*YY4PwhNxx7`y?E%m}9dJ&CUZ4-pmxZAqD*CTn^XPXjonfm-+JjgwHT2eyAbP?^HkdKTrm@Blq=t=>-De&M ztL8wohpqChbL~8x53|1Gb7NRll|C0T9%UP8JScXXcBK>5Z61s*X7$Y$2X#6o&%9)2 z3YY?>fGN{RDxiz6CkGMRtmDuak zJ%4xn+rsPVt7FsLkU)=ZEuTIO{MqvJDLfOBf0_Pb`r_sEyK_g5-)Y#{S=_`cB0Q5n z*nS@N*!dip&zi$EGIIjej1uVnjFUD-mYkfwH~ms8v;Pta?k3$`7}hiQM}K+R?j_Gx z|NHhI{U<+r@$Bb+b3c9g_U+xd`==Uzh1?nX(4{9Fj+%Z9Y%}|dWUw-l*zq(WU zlN?`kpOPH$>Y2ERNKW4QJd=|*JvGnIW)~fLj+}S7<4l~VO3uU}Kl6DO&-Zvr%ol*1 zH2Z-a&P2{4J!v>KXNR86s}3d25QNQ0g?HH&u6UVLcQR4Tr%^orKH!w(1Ua6PAL#iv zA7{=PKLt4(V#mX|EZXePWzGgr%Juch>CNcUQ*wE{2d|UgcmMPUzjHE(IMn@hA?^Ny fiE~*>iv75P_~wXr6PJo!W5)|hT>C2Fm;dnpgx`>a literal 703975 zcmeFa3zQ^Rc_w%xDyllWR1(>(k|@a_ZWk?Fk_Dv1tBqxRtJD&W3`0q@K=!ds3M7!c zGV0-F?BntL)ilsB_)H_PEo^KTkM;E8$H*+h@z@+Y!K_E@a^`4u885rzohf_09+u+|e;Vt^+-g9qx-g9s1-TXZLsyp=^Z+rOR1gk=IIY@6`eg18?-*U?xH^1n%7pf_% z?VdNi^`W;u{J{O{uv!A*+tdGW;OpPE@}J`m?hkzZrN~oCzRo>Uu2fwfLNxxd($9L} zR&`XpGGH1d&gcIA2j23~8{hQW2k(2!gU@;0LvKRL#(UrM{?$ME$@Aa;IU@h?LqGXL zNMyW8^0v30dfQuH`vw^MmggS7z4wpqd($JYz2{XYZ@c@|uX^OR=ltmX52;(< z^6>qyJ@w{Ce)NIYzxA~bz3B~)yyWs(t#2Ks*b|&mqyDk`U+~)dA9~{hZ+Yu$kM&;n zy^9a@j(zvB7ae>33wjUS{G$8rJNEq7z5eFK=f>rqJ5F#bD=;Dl9~1Qb54`=M*FW&R zi{rjr)Ti!y>x19Bn62zv9(lu}e%o6fc=PKYxgUjk@3>SYu$E8mohq7A06_DCRmvtH=3O}madAF&@0ilkb4cs}$|;xgvPWj9rl$vzT$W~@PC=ZzO7#5K)$M&7YA zfsO0DY|TwlSS)a5hy5Ui+SK7Viq&$A(6QnQvw5TMxOUZ%z%%-@k?134z zZZ>nGQ?zI8#1q%TS`V}ysO14ujMhb2tK%6meo}eNRk=dOSLsq{uP}FeX2jyUo4lH2 z;F5hvD@<le>Kj%Qgt;8T)bjAaL4Y*a(u-|2g^*H#r-T51wWV}61}x@s zfec85M8(kjV1s2SHDV0HGtuc(CWWv{sj0S-FW*S^wmIh+oYYV&)XB1~v>s?Z(0ZWt zK`YJ4oM_sb~y=) zWPF*El2Dg@)>KR7wUQEI@I@Ct0FunA-Cr(xT`rVd~`hRs26yn!v9 zkxe0_RQh9_erikU6kgy_VuCXYB+e8MwGL9Dd|R{GGn*9MBv~U|OFL zg_BEPh9}7g6`rJKCY*P%3ARy2Hig-xg5jbG@K;+(rxr@N3=7jJh~~~yt((=-(K;Dg zDe}P3&qdj7dh3CU^MD(T#nY36KtpQYvU6lM#it^U(@$+Br6D4p%T1&})KQzTk(r{o z^PJ;=bZVW0vMFS&5>0@=+A6v-F2lk!8=|@MRO`ITGOe^8m_!du{8jzlBzmo_Q|p1& z1GPNhR>LHK5Ege)Kx$3R%g91mzEWzct>iOA%7V`t+XC!JR`(z(GLn!h>Y7%}J@Mg8{&C`l&6a1P3|yUqof#WlhTxZyTNzyNtP|!dF`ra~YN; zJ4AC=49)ZdLwqZ(2lkN%Ow+au$5zv{E#DsU0B6o7MK?({QCxe}Q#C`I%u0F@5fz)Q zrrOGuHbmry8t&2%5OvfhY-FZr?mS}*Af4L8WxY%a2`fbt;jgxmub69KSa>+=YaV;5 zbzWteT@pUZ)}-*Uxcw=6bfVgyjnP`wdZ6_{z6bW`MCDe)B!Cc_mqY>SH8n3I3uXCA zsj0S-&k&Jsf(vATsG~MvBQr&F=NV%F>C`%3W>d&mDp02h@K;+(XYDWMGAz7DBdW|F z7ASW_W$scXq6M@bXgx6W04J3ug%fovm$nDEUN$MxBv~<6ofrcwE<8xq<(!maWpNHY zRa0##r6AW3k;Fj46IW9g$RM$f+Juc{6wO^?w&6*F5S}=F!^y>|O)}bRRCH4-tp{2U zv>s?Z(0X8hdZ12>0S_eMfeS5iQhXd$Q*9-sAtFD*aiI+mb<`$oWTt5Dwh&R}T(u%& zm1qL|)mG7!aTyk-*$~a0r&{M#mT9H+KzQ_{1KX&B7ro7k7e{SDFl>@#$9(I#9wVW;SD8RgVD?clwjMeR&q>8 z)r@8Gi)Q^1vjc8eX3SA}DTI{D;Og8O=W(&d)$*B)^1ujFe)v`#bv@?3Cd)my4^Z@(m1j}G@>c$b6Bh{~YDJYo3)h4wbXg#ni z9uR8vj4^;Tgs0Z{YziS&A_VxWt)eUAa-j`KghZZdHL-0(C3J(Q%Lr|y^+4-^)&rM> z2QIyLrgJ4|rOX4uOt>l1Jjh&ENnOwYz!0_7hzjb2JZv4!gG#jo6^lkzF_&WpO0bnc zQ`Tx?DpT?b#jk=uXQfmLs%^}rtTK+|1T z?;+dUs&0=5Ow+Vp3rW*TcY<$^dP?Y<@^#ulfHc_BYkW3^kSY-Z{MA;`m2tU11|&iv zPqmuZ%81Ib8^V+5hsT*vYbujMSfwPKLS%W}E4c_Lj;Q7wJ76``3Tat(7v)sLy6t0e z4RcNgooTun>?y6Z9%wz#dZ0-Um?p`{wKEfyP?cLrGi!co`32Gto?2|PDTGvs5a6%2 zimr^yg*G4&5_ziC#5NgGxr!Jvdo?fJpYnEj-N{=jg4|S-YQmFNA9)6eHPi}8ShHP} z)rNJ8SNk-qw54l3us1!xNu^1VCdpc^z3GAR#kUCy?0uLfh)Owxx#jD$g8*r;r5D?5 z3L&KePYD73YD?+t3xSHcMgc=TwVF!D8?{D^krYkc^_z@qR5|a2Od_g@x<<85A2uyb zPy9{SZ-@9~hxD{+_KFAE?+<&Wr7rP$PJTxfwoq|6lDeP)q#-;NT4YlQsS+VzT&+$8 zADh)y(WSZ4?_8Xih{{#O&>Zisk@EJq%hG0g2Ma0RYE~tQs74JktY0zIuw15QOl+m~ zKMmcW9Rx^&ExnRvQwXUNA;4d46k zj#^EnOZV;{kLXYZJF8>IdvJ$%_lq?_NyaM(7|wZm?V` zBb!1VI!6DC*j!J zif9&2P29-{73QR7CY)!3yle`~l?sN7Ccs~9DVN1o*41qRZ#%2+J87NuwZ|J5ROFt1PqMg+8&uc5S8gKBlWUgW#DB^%Mx!Jo)o)`xur6T z++r@nvSf#7?uwzAeqe}iWh)*SdOnAOqh%MwTdg;+AtTr?^QF-+*W~NSN$v34QniHk zdsa2BMeJV>G}=SqCcST6wMRWwGqlO9q!$rUu?y5xTiI(25&5BpyEFtu9kmG?nJJn( z&lm$pr#5j}&QHwASS6YOf3;O~C0ql;!ozi4^Vn0Z^D4{ilJJSOCgoU@WD~`;M<=R@ z@?l$<)&s2vw%G%FZldDT`1N88z?1O6Ew(u+K8|*aa1yt$E?F+aR39+}<#V~v28cRp z6EJOiXtn`B%H*Qg}6o1SVybQ2^K%E8Wh(Xzz_%xjolbmiKD zd)5Q|E#ekrV9TOsFKvOOE!uiuFMFV7Xp@8oF0@ERR5noZ@oaAbLXjctfhvfS=dYH911nHQse>l zoNX1ot?WBUF59kaYdzI$t@yTEM+Lnd(Xs_4gDsS8i|&dCxI{E2lRbvqYRI)PyXLd< z4x&ux!h>N(Gzu6JsF&j$=eXL=IQ!Eb#D~xjG|n}umoo|o$C(dJOh~bjnWDMNMF?}o z>&|nh)@5WPl2$iV@wFr2C-K&)v9uQ*P zv{N>SEz%G^HX-)no;j96K)Gn#br(X$-t5>doNf(~<#GeEi%b}dW+tEnTOl-W9;QQL z5q=bD*;EurLwL$9*%U%bWpH(^8kcg}%+HjW;HGdug>X-6HL)W{DfKj*Z!j_SipBhQ z8v>Qd$Vnkvso;fXHPx2V@vFiH5xFKPJ~=xWnp{V1!baxZ64SI|_VS4mGf}mvT^Xre zVpD!*2 zus9q^Ex$k-!jlDDcw)Fqr7)pNgaCiFRdi)sE|39-jLrS(8_9x#_F<@KUB)11zjX}c$?xWYnZZY9mE`Kjd>NJDsP zv2`g6zoC>c+ed^Tf3?+=#au450f~^P7@D$H6B|z`@#XxK+2tfj(frV^|8G&+t9eOjP+ed;jo02ULK-T^gxTt)JJ zoW0n>JL~$s9o5Dos^X;5q)3xwJHrJRi1aRAm$~?&d#a>43`Ye%gU_Si)v`QZ#%mS1 z2(Yk>TS;j{Dhl985o{KM55r@6!)3UXg_jX}+@spiBA~FGng^9?2`Uzb_c+Dv$$uk4RrT3=LppjU}#0oDVe5K-;x5h%2?JUnm^APu&3 zXpy9J;)sg*9wNl}tF2}%=5nD8NQ6Yi(EMN{xl&a#w8=zNt|I*xUNeyq)yOmOQVnaJ zSC!Hz*`yrX-#>tA(p4>&rw1CE&&5;S-h;U@*N~ zqpF1MS%9$So-qcHhVay4>rx&=ZxS`XNJOOw5&mi``AWE4AOjL1k*8WsEW@HiQf8Nv zut-Tng}Z)Ump!fKnrf5U7JlZ3jrQV_GYW-ks1@pB+2-ZgREHJWhobkPP1|FVG)&u2 zMAdMunxxBIGq)~uqdPS-LGR{_DVHJvuwK`l?*>mzwWSuH!>~6*`=DCBG=(nT)X%OsigwQV$1p)!M2S?)sOdO%ozrP2Fsd#5&d{ZYLRK zz)q8S#o`9DlD82$2-30{E=A#mbZ+T-XjC!uD=bbdzL_a8+1%rV%CEN8&d(6zkW_N=@9!2o>hI@lFWD4SSa{Opy^Z zMwaX`Tr?-(Jhh>)pkp7ZWm>Ut;mzYx!ZL=tVRzR&E{3Mmx>=27nv@x{)kbTwHj~FC zlUJq5uhCJjkuv#q6xGm;#^UM8LBNq2$u31cfIRO3=s5k<7S-OR8y@9zxv3S1I%*R( zGE+2no^u?KPHo~c?Na{y<*IAh$XF$s0DrYrbY)zIg=scKbLXknd6i`v6?vZ4{I!28 ztp~Q~0S-ee!d^Xr(wn?G%^2sk$*bJN)u^4Qyqctqs77H39F~L!Zn1SKZA3K|hQL!U zesV5zDR21T5bDzdH9?-CjSpW5mtpw{i>Pe>^0KQ1wI1;CfM76bA7G1_iAUdh>xq01 z491ctGfsDpFOAi7cMs>fUim8q=v9`#h67y~|_m72at7F+jc*xPGVW1fM7G7(kRrSKZny7eGESs|MF ziMI_;N&`6p%B7HNU|4X{BC2pc>fmh?Rluc&ibWi?Q}`L?yQEHTQ+# zi1R}dDY^K`1)(kl&rzwqeZboim+YWUGuvNn2^q53+QnRkg(obcVx1OQG* zc=pox$sRdgRq9L|CsZ|-411HV0$%d@GGlyw;4wmdsVt5Y)m{?VPZxN6_1jBUZA)Ew z*Uu}Y@&eVUqSU}{0orIpWfshl>M5O`s;RcrKtZk{f~rX_*hUeKOF?2CwFw)^D4IJC z8xInooZ2L!>baB&eU55iQ0=WnR6>RZMV?1z$F18S?IcjKdo?Vp4fE|!x+J37pVl>M z)r`^Lo5VNuG-_r`wv!$}M763qOFOA@M|F9G2M&UYCEh-wsLz2Sp_>hrA}KB5WoS6fY4%w<@3xYBDL z7ek9$YFL_un-D%nrK0`%`k*E}G|sWAbi@81XWuW!G8}lVs|s4Q=hA1y9u6Jml6U)H zu-g^L(;v@>Twq!$^#CI(|DU>-QcYN9JWlGw7%(8SlDZT*h~E_~n2-8dSyOE#sUd=M zq>G!?lG7HnXllty{q7z0SBHd7JdT|di4#wyVSu&q4mPH3eRa~YN$E;O3Q z#ZYHd3c@mt%G&d!QN9Tx8~S;IYBZ@;VaIP!gk5%Di_p6K5t>YD4g#dXHa>UuMkx!J z#e~^aqH)(<2=Z53PI*HK*I+a=0VUXc)oNl#?Jqp_udyLK<-xI(Ag%}@r82m>R*g%! zZ02XmOmI^;phCE(wVK$Gqm<%n3OATo{5C=d0V?y-LzjZg1=%3eB>tc^TG%;DK;ER@VLC*?}`6{^JfOwj~B}N(v5)oe1(XC+{^ceRZ5wJ?A-7 z<(qZMq?&*Mb1M}duo2Z&A^+0Cz7(NV<>7&Y0BNwLA}VnyHln&`8h@?aLxdQAwbhKp zTrRW$iIAumn*a5YWhga68;-8r@5svjN%vb`S7%yT=aey{_&ggR)R=0PS3NG-nr7@|^Zyi2+EWtgeH zdB9_$f;u6OzgnV;MI)=2%NYffU@L*9tkuMhf9Xh>U98UztzpqrL=`69MMTxnWUCau z-)l^*si=D;-#QTaMow%*RcTW`z}l0awSQAN_B`YC{p*BE%i?QPl`sG}$eR@1B-svd z^&9uV4pe%#R0L*xu_&yCDa^UZ46lXcBDsgf8XX7Tcm2%PDxEF81D?X-DpXt^9ykb) z2HW@=uf(Oacl}15p_h{Q$vMdW5Q{5){}x=x`<-W;CaPN44~nB8LxKdt<4P&!nsfaC zBnhXG&}C$dO0f~ztn{X|`P}b&OjW0v)f@jE--bDNf^NWeD-~0kdl8wH8ZUx#JB!2- z)hhdRE3F4w4@{^BOw;w+nTbkRZT|(+5T06WvnhmBi4fqgwu-Kd%Y`-|5fXW-)x^eY zIZJFZyPSmZOz@GDLR^_JUP*boT5TDf66B_0AWe8u(~)P8SVOIlB=!-Hc12bj)+#$Q z{2H!xOV@f}S3JN;rAd({$y%d-~(zOih*tM@#k&6He%apIv4g#dXmR@YLDTI^? zJS7D9t1YFoPb(F3jRJ;xYBiOPH)@R-BPp7?>o=7|E{56OD|St_w$~(!1#P!OyNEE7E`o2tq1ZwAWSX}M^ei#kcRNo8lO!eq)LPU zf3;O~Wn2>zQMrm3n&UmHQ{FCjS+$#hNHwWuktEU^H3$-Gs1=g1W-+-vXm_m#S`X|^ z4@~@{cVI!6DC*j!Jif9&2E`1rE zBqLOK;>P+rS(ATfnD@~ z8;!-&lY^j|ZhA@vdrpdvHICCyZ6&24BA?4mq(IbBo3N3YqPa`VHatlX!jqI|aPHK) zjBETs>*yg18*yA|;)K*d&BJ#OhAOl1lwFw)UDVn>)Y{QcTAv{TW2Io$# z%gCm%T$N}7{MA;`m2nvsrcn^hou^voRhDUGuX{l6bpv*+{v6S*T)G|@OuXq6|M=2Y zJt$nGCQ96DSR5WW2rBNvgJiMINvX2W0N^BJF}AJr1&(8 zAz5OvfhY-FZr?mS}*Af4L8WxY%a2`fbt;jgxmub69KSeRx*G@H7UoMB%3I%JvvcMln>j=v>s?Zu+1LWqZ5@|4U+&u=%*wKNUy1R8CfXH zS4vH_m3)SXd=p$C14JFQ2^*Oynmf-J14yUV`7)bA#!`VgO@P1JQaWpYF_&TCJsMGY z{Gpn1*KEpN8lKZztz5bu7!HRr2Y5iritmfOoMEE3Vi+fCrNr(zZ|-ktAa>Y?PHNgD z*_lpMC&oaHTzHVI%Q-1k6{n|asx74ys?Z(0ZWt!2a|=ofrciNWudbTI8hoII5=FN=idSeuU#f z8zAbaP1wjx(cEnzqRPftB&-xoM5)@2gAiIN#axDEX*NW2R}9Vc14I0zi<$Haw)Ja0 zu6;eNdSLH+Kxl%S|BA;uArQme*xcF6q!3Up8h71=5P!Ahgg1=1 zqRrpz2BYtKKnXTqwVGHuBo^U^e~k^{V~)zYj(`doTwSZi6>P}(Q@b)!yTqt~=3#2uDIdgyXD0oe6ynOHhY);BZ6|l`7(vRYY@VP} zE*Q_4Fk%OIOs)5ng<@oHrS(ATf!*?eP;q8#8>VK)G}5ve*%ZJkMTqcMTgg|#) z2#Gw^YGTJDs*FDzB6D#hDVoY~6*0^vo0rs7Tgkb-GkT@^7SMWNH#{)d4W+eo?)%rg z)bhsmgU9Fg?bo(vHRyQ7+_UxHxr&QbW%r&j1_~G!O0DtP6hf**2=G^1MOVh<0vV79 zi9FS6Vma!>@Mx3S-oKg8*r;Z8@TXIw6n0TB3_ZBdeIpg*HG5wi0Omw*2^0S@veMK?*-a_vbEn5JvZiF%u*>2cs!UE>Gy zN9};8u(%25K<*Vz)Wzu)#8v zOvN-)Gl5fKL^YLxvV5h~R9nfHZzLnCIp-Oi)KDwb$+CtAyCbI>R%;&=YnXGKj_%qW zF-@ywPidv~KQYAt_wc07~ zg{PRy#XQjHsWx4+xT2fPF4jlSP%^&ENr{ogdvc|`U99%XVwO>k;Lx>PhHGRtV4iAI zY$HWGeze-KMxp(!v>s?Z;Nt;K15JvI$jl`$673y=k;(0m^#E7UJh|8ou`^y4Rynh_ z8m4B}RHS7yvMGR-3p^!6_^U1Fqo<0wTp$CKkf<1%3J)}~NuwGZXZ)d&=-3TU5(tko z5miIisQNmW-Fr^UHBTmiLP(Ve0pn^HIOGgd*|U;$+CrFEDdSuPKd}Rckf#`$Qmcuz zJ$pDCWGdzmnYt%MQyJNCgs@71+PO@{^jJ$tkkqV_ivVyL;X%d^qZH&OocT}#L>9ut z#wG(xw)LIf62;a^m#GFb8=#d+4@f1#3((Xe<)!RmK+ScB)U=bCJJRzIYPxwMn98J7 zn{ziHHPx1qp2PfOh{&6V=0*zy5OvfhY-EPiDGK;zN9Cnh-m=}pL0z?FY)bVnD|Xb_ zN(z!X)^2iC{F=hdD;76cwag0(k(SNKrT|th{o&gY{neK9DSTBZ=5nuC1j&GtMKRR9 zw=o5&P`<6%&CMNgHTAaE_F~AJw(w%=u!S-lds`9B!ec>S{>12_ug#NwcQj+VNvyIu z7%oG#U7xw5l5e5J1T&h%fEuc;H)U1oZvm|bS`Tcm2kOKasEM15lBq2x#izp6YULn! zj1Lzv7MR39!W36iH?<yIVnEY)>K;vW8<{DZyHo&?AcQ$7&*0ptbs5~En_~_bJ%y0&= zI`p<`+HLYdgB36ha*e1Oe0*s{+8&j8z^#VG;emsI$At&UVw;mvrjB_Ao8$CT%VNa> z4#V7hE*HoEQAcgUMrMlUE-~BiBtZyIQl7!NQ|mIaDJ)ke2I@2c{%XtUl$srJMTXFn z2`&X08k?_L=T(+zLTGM7a#0IuTDPQ6dB!$vWxspCG;JV9TPv5g2b!Kb^uBfH9`%%4 z4O45Yivm(hIod9h(aofIwQxu~}_AC+4e7*vL%L+$Clko+Jq2Ns0vLPOZzxrm$S8K%FMQUu`L! zwSTJ989k$xGQqGgQy`iLo1R` zAEU;aKQ$6lPp|n94KbWjD40Bx!#Kh2$Fz;5?&4ui9e1=gGN3x)ZvRNlZ+4Z23l}&2 zd8NL@C3)L~eZi2O<2<|Or`A*;TzHUVik7 zsiD?6B+;#TkeF?FQtUEhI<<+*w&fa?Ci@XqhBfn&wWlbxGzi2HJ#NClr65CN^R?D_ zm1UX`Z(EvBR>E?z8P!$EK!rxlp2`Vij0%Zd2MJJ4t#es6 zg^X3A34q#y>q?HDHS-cgRFZFkVR@Pj(cF1j3$~+%`28l=T?*3_yJc3`Lt5Dm4;(k! z!P+2auNf|#q8Uyr-f?GASnRxJl2iLRNS*DMlf*-u(Jx9mmf@m{UzE;0Sm=aW+SXd< zudS(d+Cfl}Oh!(MPkkLHdqFnTNG;_)e1@R#8F^fe9f&$=lbVs4qPa^|EI|l!Ql7!N zQ|mHPDMwkxM>IiH%eP6aU^7sgdOc%TBi^zQv0p7=0$2u+#bQjnps`C99|$})`$ z{Z~(DA;sAJ*sgv>MZMAAvtydt!%6c1N8dz?X{>faCO7SHG`NnR8t=oAWe6Y3=*0tP zEQNq_(YV(qgcPaO=?Sr%4Y@a9o5$AE*aav&X2M`JGa*y36{^jfhm+}{UQsA9)Rb~d zHieK<8C+ef#-&^~^D}gm!Gw*PW!l=M04jtRwNJUDlzJMM8DNHRD+;*y|wvoL9jVR6ICu4E{;>UhUCp19S12VL$07wTA41m!VDL$95XwX z#1!GF%h+sG%_SwK-c$Q+x6NuBwhoSKQ)W@$0$ z(@XtqeGfQb&}1Fmv$5mz!&VivuX)My;Mu&yHf^&9bdxd?xi)}WAkWzs$F~Tx4(qrAy=|XS1xRGqK*@WADeUc+j#?Kn)qp*{p1;#lod|47b|gD zgZnaDVJX#KI1WlnZ8g)B5nRj?k@#o3A}XObQdKL#?ifcMyhZ4c&p*9Ukx@yYo^14$^qSo+)Wv4PJUe;Bsok<|nR4LZC zx!0&V&M1&1oI*mEkuf1@DGMQVm*iB#dhKIzHjED5qYtA+V{Hp9g04!X-r@kiFLQj6+uu2O0p zJwm=ikTMmH?vo22<*kxX%XIf&f^RrgrQKas+BaVajIe1QP)8~pK{G4CW+C`6JRY`x zoRLi-q(Y1k5WPf2{U86%uKW*A5%vDhgZ+*8X>s^{alAHAU0g@`=}y1W@N*rbUig#Z zu2GGCBhQ*Tqi`rZkFaSTlw0c{iSa43%Sm$Cv-$`t6}sQ5<(;}4@T~jGUnHu}81b9m z6ROUsVS^mFI#j%wS1AI-p$(-z{1H%OOcNAd+OquI9zF;XKiyQNUPn!vxs4UdN&5ly1@arrzy3NNx51Zwrv1Dws#AEFbur}868 zO<%yP@KzsS53CRrnqHkTE}|mbqgVy&=4@dCn^p6GHn8&=Y_^T4P4Q=HjROY0}U z(OuW~;XE;6nR%?KOTnS=+|yc3EZGuCnO#o8B1uIIPgpj4jfy#yV%2V7Qi^b|;XU7{ z^x_~;@4VsJXsNd-Wl+9rf8mdaYS>6+lnA}ft57}?jQz4Gsp*_E3Jf)@6)KE%v-9k( zNT8wx1z+JdaL8HSTox`&e<`Wdt$0ho#(1kH8Jj=(UIByMsb}Rpp0F8w5$F0M%2X7Q zihP&NwuNYZVxm%F@Hy%{=b4F=!BTusu?Gu)kpR~G`~=e77eqnpO5LFZzq^j+MBUPd zcY-OSt-XQ@c4t)dR(w_pfblQ5Es_neuLO^0Lf{r!*25-2w__qvfgN*9^@^x~gDk5b zRK_0dzg;}s#2O~}b{x-1n3dhTUu~X8M1>g-67E}19pMG2jVl8+E6bR)`YTGFhcVQf zQnAl2D6QnFev{9%J}{SxsI31R-3i&)x|#>9Vh5YSW(NhQ4B<)K0dg`7b3<$n%7iKr z0G6Vk#_#j~`7;9vUZT}mgReLL7Rk5G3%O)%@KO~*lW?wNwJ}xR?$X zbU%iO>fu{*{4nwi>Qlp7VfBX{gonE-8yI=((`e~cjjp_KNSSz+x0Raa-bPd_9+m8L z8Bux6X&zh?1<`SBIAWdXMSI96_r|1~8UbS&sY6-v8>Fd1d*j4T0Q~mRLwzwZg@~^M zd)*~n{~2^P%`sChqR^d!l;g__>_#rWhFI|nsK;vI0oNgo3skYMxG0Sz;bV%7lK0Bda8cq@iw zV3)7(HtMI2sL&kND!dR^EmF8frRHDJ9dFm-TH9+>GagPYrkL0q9gkr<-f`Dl>4+^Z zV-b}Af(#$QBEZu7RKf^1p?Yu*?9=@DhVJ7in$mHfA7J3&F0&opNUspiXxrVRil=bR z+NEYDoYM@AEy zORy#Nnj58{;&rS|*hmJcQxx#cj>=21yk)zG5iANu7VZ+LP$$NrCXrz?poWY$mlBAo zl*@)(0B&~FSPF9MSi8wlYJkSezEE)ki3(ScMsG6YTNoi5+@&(IDTI_tA6`PQf=h73 zyUGm6l^SrzVbp^~5*Q^8!kl6elqc6IKzN*f=b}qNMjdM%Te0Hp&tcDOQgoAKjc_$> zAu5G;ye_hfSz~eYj(t9v1hp8R#7R7=kSZX@-o*;JH4AeLdJJNqN+tp~iIK5Xrc|i$ zlo-^W#n(Kej7BxWu#5Rgx?8s#VFqUi`++amt8*cSDc^b_OMZg}w4a6Oq< zQm?=sL-#RC_>gA*mtp4xqn3_w>3W_SGvgh4?4;ySz6V3@1V`Pri5rcnvCYXrQztu~ zgWx!mk(0u5Rbn~iwvKuW0)xlCaVUNW=Ff5IO@9eGo!Sk?Bpzap<7uNsbVd%q$5_DC z)J<4Obf$P%n?OiL(cHO;@gzYAPn3uoIu<25Nt3Qq_L`neDc;FC*pzysu@L&1+y0S28HpQPL@;>5 z7r-_XzXe(uYbUai<0UZ@XSxZMVGp>N+MQs_(=^19&(UV;Qhsmq0)5V|btUW<4Xhp}jUoXa(S2pIdoB!~ZS{B{Z0w<@v~fga_jW7#iPf$979Df0tQneN3@V1gLsTY3SWmB*pGsVN&1Y!$f zr+JX_M}iRMB>F4_=T5E5$fmGdm1qFo`3;2CFJHjDc0p&r{g_omRQQ%0#V-Ilasdy) zux38eTbtF|HEGHO!}3glXzo0%b!;rN%W~m=K?NRFoh5q>kN0Da2HjacP-SH>rPhas zRUeq6J`{&uLzh$)*wy^nbwsyZ?4wH>{0{<7n@2HD)|Dh?=#YnYj3-G&pF-{I=Q8}% z_>6_AlO-C}@f|F2&HEV5T@1Vqo$(}Gaug9&4`ak8SQkC$22Nb0aNozs!k0hwer$#) zx~@U-YOivAI+Z=0Ix9J`?N7(f!6O>pL8nsPc%|>0w`Sg=7Lm_+uv$!@9*_K1$!fAZ zL<2q3O596bO5;&ns;7vNz`ZtR$NX`O_QK%9) z0IyN0lQ8E?D!OUo5C+fM8R|94ys~4=$sz}As+(EWn^GD-YHTheCxzK%VvuP$H?CAGyhgR~B-Hkvs-kxvi12<1JWB<&QRka#+34rk`KOG| zR^5CJ*K26PmDq%ES5s#c5}hd?)+P{=Q8afceAiNge^#1p$lgTxzP$Qz^HL9WJVm|nCpaO)hok5@sapNW!mx2t9%@Wpe z8O!Xp;9UubsMOL|7niW_4%D}e>JG0_nHfY>yhg>QsFZd7ghYbjt*}b?t^nA6TbET( z81?*kd|>*D8F?+m#2vd_1wd++E^VeZ<;r$2*9>(Tbv(I7h0C3oL?SilFrY$zI^7Lp{Ce|9l-3MceQ6}gCt zaXA|f@4-i zV{;ifDa@`Cay|&=cibnXD{fuldy2fSGZiz6d^aDn3wLAqXXU zJq%jb0he(q(7To$Pb*ISz#SDH#Gxks3i}9)hhc9s9e9ZwlC5`Wb7wt1E+iYzh|oK` zU2x%@g_9?OE)w9LL9lU^nKqHGZqY~`3A%jbdMOat!wqxtJu?!SccJ)vsKQUf)J{j{ zZo8+tLn)2!sNRO38LhHM-;3?<(a9PW0UOB;HrsRAJ%lGTSMz`sbr2k9GVCie^o2G1 ztWT%&Iuw{=!4kpZrXM>IOb<@}vu=k2hHBS;@{76iA#YiCQ7EgQl!aAVZfZO=vDcn3E#G zxl`*hj>!QzJ;2gGv(Z)8uMZ#kV%b80y7kU`aOLgtBew1@2lCpmx2n2-x2)tJA5g0w zd7Cn){_>ET{_CeGDdb5qX#YRE6)&7cU^c+r=$T*bM!L5lc*GWBxTDz+&7G&U&Z{ib zh=eQfAHI7GB$Cje8d60&jT;QS+0cLW81jgQ4S#!$x>_DO(p`DA`r^Eqj+8v(%owKN za0%(=>A0K9-u(DSagUWZ#=d+*;-7+IJDrUAQxA38U*(0Xw$aL}0eqheJLHJna|h$j zOetgN>wci-5VUJPHysSvxK&~hU4ZK>M)SZdgi31^uPU_D`JrKaEcnM6ReaRnMbHWO zWX^>EovvCy*#UFnhgW`hPOV)C)xv2{Peu0eJowqzIRZQ$-I3~cOroKt;Z)#b*A)DM zCXbXpvI^6Vk|8N)7!gfz@dB|%@AyRZg(`#=g28tazYn3%kt}zDe{m=cL$3k9#UD_dTT({O0ePVB@!^ROf@8@C<8LDjiY5$DiKmp8u!t!(Uz&1~6d` zHC+`H8yJ?QQ4ozS`__PrQ7YvSC9&NoyCsP>0uyx4(NAg>Uv0!x(ggsY?&<))UL1MB z{A@g}2WKxwM07q-3x9grbj*rcspJEoqkdkVAN>6ZJ^SUSnxR{K%K2fMA{47m50$cm zSId3MFhX1-QikvuyA0lr^X{zbtne2{$FaG!VhGEA=rB5iNBxjNSfdw zYWRT5lkM0Ght=srJse}PRz1ebRe}N14YfMqa5_Tld6cJG9bc39o^a>BS?fKADm)lq z-0>FS%9Ds^XOlj#lHil((@DZEea82F2h=_t5231x7yu9$1bDua64||i>mW~H!|&0i z1*zy)x?`AHA(;16fcb_$w2Z~jcgYDFa1!N99+jep=%4saLCQXpE>oj|JmgOk43~NtRp*-=j6WVOt z*5RKIJNu~%UGwM)UtYBMW_Guul(3x_Ki{ZsaM_8oxh#g-H-oLSDpV>M}6*FPl?}{1}<4= zmf?6>1CYI>bsV0=FfxcTT!G|U&{K#5+w%{ z^0~@-Xl%Gh&R#ccKc*JSVb$-f;k~C4naSWi!D-1E5xTsnp@?4SG`CR3td)!cqOINm)uJ-@nq6*7-c zV``#zrqvm9t?KJ1aIMA=%Su>w=1zq4P>aqAtAe_rY8|`_sH$T2t({$^aXHC$7!*L< zq`R6058)x92ocqiJquL#*>s|ng+IUL_HX^SA6fhA@BhS^-+j%gW2-Ot?yIl5=Skj| z2j(a-LRYKUTRc!Q;C@0ReqAa3!$vf=sufj(gF2BRh_2EYJI8r~@3w~zE3I$9ks$^z zV#ddq>+wS*s2Pi>=nhPdqWF*hRX@ToK7!(L=XQQZ*6xbdp+>btMH@#@lj|c9uL^0P zxIrSt%fMoA8~JM0S$m;Y;VC%C{710fI7oTQ;f>G*s zqo8}?J0s>aC-k`?UD7FpCsd+&fEte?2pnfJbab=gQ+0}uMyt+)xMInZDp@%G0rjQe z4%`ZbqE~%6ICDC@PQtl=wPIfP#ZTZe&0ihE{lCBM$l8B-kCG4lQyrVYZYbFJl@!(v3_g9VO&mfvMRS+( zM}iRMq&$Q3MC~Y}`vuiK2XBXj9zA>ToIb>YRWt`+<&yce;n3A<3igX zuc_$#G=j$mR=S5bKCb4>)6Gi2Hn4IM-}o>~p(*gq=@2fTVug(2$V%=!IIrIKZoJD8 z@1uu&0h{JQ6B2qE<)P`^aW)gg44W=KE8An~lipaHsZRlY7Hua-(UC#dIZ94Aapj;4 z*_C=<7%tr?>g=L=AErk^Y@axB72w18rq|)qp}4gc_Z)sUA?#jT7hFIYk)WQ-X4!{0 zu+yLYTRQR6>G&bdGKlbaxe5nZ-SAItJ2#@{QPefjyrFlF&mUTzp2nBJX=4uY`4$7O zqCZCxsspyYTqWS`hD69i?V1N{KPoZU6jf4@V6zZ>7#@#h@S-^6I5y1#grAk7F#`!v zqf+3FkYK2A3QP;oI}jYqASt2joW3r?eF|KJz)kDWzSIvj>0XCxSpcH21_jXlge!jW zB~r9C3YkI#HEA9M*ov)n5JKw}1>CRG}9{NGgt^k2b!?h`XLuFtxDa7`hv7m__O3cLB}%SA z1AGaPjp3y$fpFRL*oB&9zx;|+jruG z$=I9XYPg3ivGTx>ixDG9DST@&3TO7}a7QP}OtJ#UoL%4vw{^}mlrbRA43p9#>C^gW zY!FPKaXlg&Xl&Np%xssNp~6td1cLks-`of*6~640YBiJ!wc}ec)$(eD?I%;(Aovb| zN&J9x%tnYcPu_*~ZeHDvUS$q-%{}M}Fiuye*+SoR~G?&)viRV4I4r3et( z{>QsvO5F>(5_i6PeMR2&rhB<^gM(nVy6>L-P%f+1_rjZ}4HK;a|Y)KKa?7 zb5J4+MZ%LEHP=6?H6Xoz{Ys_2a*tAX!V9nFbFDX@$K{FNy6W(kqF+5>MuEcd0DoLL zGRp|ZgRWV{8h8@3*S(;Sm%lhLN8h@p)Ca%6YYu)1vlvosO`TDMG11dnO)MRfNXqPT z5*Eq$QU#a8yFY~1l6|uc)uC zT&K>i{zV+&SvpyIV)iV8Q&`+lNARb|K6(CGpS)516-u2y_es@1^SA#7Z!b9#AP<66 z#Pbkm6pm|HD@j>?HY2n6Zht|oD>;Dvh=<92SOdMj*j2w1S@5iSdpM}8?F7> z<=Rr{PtKnRzIYH_$H}mJVTPjl?Emp6u8W=jAq>w~Dp9YYzhhy?pD3{{rv_{>+h*FU zb~E^jX9qFSQE;4Zg`?nU*sg)a8mUI{BPG-jhIm|9Y`00iqigjzVaw=BbI2YOGj_bI zhXboUj#_yAs7n)kL?c!lz$aF8T#7vec82C^`>F9w*etD&4DSZ&@bN=xl@ZnL_yx{~ z8ByVPBycDqIeeUkr&K)2<1Cg@@g!xJKE>*lWqizG6BYP$dIN*jV3{=oC+wHuiY8?LL?!`T4$h@NT|d0IHE$)M?ZEWBCC(ts0^SDcuDaWe{m-t78wjbC+CCxVU^X< z>6+%%FoHu>@TbhOQxC2yq39}8)!|Sb9(37B0(F%}XSKIH3B_glbCFt}j@E*J5!I0c z(ZPFFbo_ec7!_aj^e3Ft3M99 z-BY*OE+uN^hzZTp!jtL`y6exA!=Gat#3JanA3Gh&a}ZH!wRFwF^^YQB(RxV&qtxTr zJ%0NT-Smf$kd@Iqpi*{TgH3U0u=aw@LhxaDoXY64z=0lrt+1xf$rZxfDv9JjO{?(L zM@^)mx%bzPsP6yqa?DC7xAgHy-5G z#WendD5at|;0w^#mJfxuUCtl-*_w*2sa*`20uk;!t<}WZR^S1I^+@?cGd0&`xfyt| zG#>66plTaf%@9#_pGRDU1i$wkQ>u6R%1{WLd%-~6{~BEBz3p<vbPP{zqq&{5qaYUi>ENpO`T63~)89 z6~Jv-el{Y4>)+G*dQ3jN{5AH1+%ooH@V%H^V2o|-;LVs`P96lsAL}(d$JjaA)7>jR z_xQ5l5oK#~8JEqQ>!ZQt73-q@$zeHs;YvHtOJ%X$0381qOg{_F>*!il5}|GRM=Rn+ z<|l?kAK%*)3*U=KYS29tsx!FAU~E6AB2*d_%lK^x{YpN=F=oXKKPTaXzgW=h*Ziws z2&yW@LUaVj9JSabCHy>&+Xr1WFz2qV? z1^NuFvcBR$r9|i{m`E`5Mw(vJ++F}0dXiIu2iY}aWIPH!m;2`SSYgDL7c!BSX@DMqr*P|y7483s8aTVS>E$g<~SK&SM zH97s2Sv_^~DrE<26{W^Cs;)c-tHj^D7yav9h6RMX#ze@(Ce}P)&FzfH6V{v66l@lP z55wbBMjr*b_8Jv(&JDN%RteO}=dSBSpZIA!O@~Eb>Ap^<`=!_5EtM?!u@|qczUtAH zwR8Qa>hKfK$6cx_0Yc*%)zW?6KB&IyKRiRLvp*XDl7~yj$S52N&poZx#15O1hiS9R zNeIvQQcYc<*6>F|%`&qQQB@1>iv}~_G2LA_C+AMH`g6}x_v0Fsx*6=j6W{6vE58+n zcfR3=RCM#Zv{-Y?Sl5;M>@EMVy8f}1NaP$j>(m3eT{^yQ7H%! z&By|DUx=xu5m7DqurBKlp@Pe?6Y$sEQRd{!Yk8iLmmqZPGnZG3HBrOQsMX@GF=DzY8J z;!1aVzK?u^t~9+;3f#raGa>32BvX03LYGztgFHgtL%0x0{Eb?afvZB839oAdzuD+~ z_6a1=_;S%o4Pu1Aty-<)WS9^qPsUzQ4QzZGoFa(*To;Rz3ii`j&Tr7n9AG;E6Zxsm zf#N89Y3TYJ0`xl@jxwuQG<+KxZ&~Auwbf6qNt1WLpeRy{s8@(D zC7s?w{*i zM;;`s6ZX4-% zV$I@rD+MFRieZ1(HxJLsJ{D~z7lIHgrj1+q?e8Y^jWg4D?&S!sV4lIRLd-#=4&7&q zRP4m&V-4Wregg%XvrMUWwJo>(J50yw%C&Igj^#)OFCA1`X82?|e9D?>b?nIX>cW}{ zF5D;|Hq)xFetWhX$`5OJDAa0j{psp&G$Gzo*?%?)&xc3no}py<{3{Q=Pu(b5ZG?A( z>bKqn_itT<6zmn62LU!(VX&dSH?65SH`vk{=Fp5*v-k^h|J#R^87v*ZbFEbpc8maX zC)6J6|DVwL2iF?)7yn&Xcsne*;}_KF-lq2XZ)dAG}mRh8S2P8;)?XwLA7$-A?rv& z3x&a9cx|Mv{SBq$Pu``~hi+BoV?P+EL%+19)*t(?N7UdSUTR+VbGJsS_q*y`<=_$2 z_vSAx57yp$$MNXX3u<1U3QYZWwOdOY6*?|>EmifE>ka7594~xj~+bxzV)x*GUxkLblIr`dR}e`ZkSvB@72r7 zxR@uQ(&{V0361Wuw06yVRq*H(ejj;lIIsS4KA2Lg*9cpF0wl5{?)g}~)U>+#2}JD` z60WSXdGVuK4ut>>9X~oY{65?{s}UjK+3FCNc$0>xpwy~s&hYjuMI$~BaVcn(BNMG6 zVw06J!_VFNh~x-Vk7AhW`p`MTzJUL@ZU=*w8H9H4$L7k(sh;}5QQR|~Km%RC4bCyv2H32?27 zG5URQ6Y~%}g!qzDA;QOwRRBs8JVyz87M;}<^hq=Y4ktx4e$|Rd8-3tcQLm${U8x8x z0pLOGj6z@_p$g!b&Y5+j^0|VKVzYmt&t6J6&a(g+;Y=HqtZtGe?%xGdptGDGtD=<7>_1GkCEJ zPS6*0=L=VN(6K56o`~Lh06*&bqz*do!OukSj4Uo!VFW%lrSWvt&#+;(EJ6k{X&#_< zwgn7N*c=?=g3UtkVR)R%7~ttlPCN^bz6W1(Uw0se6ILkDa&~Y4@9#vMjwLhT8vmN{NN+B0BP6kF+!v}DK+dc_8qDHvvz3desEcb*S7lqJ{W|*hDZ1w z{Bae|;q~HiKL88s=32j;wfS5w&zPm;mgxGy`L!3X1+cqZ@GLOJM1O>FbM}4li8`?& z>yIzse)+dxkRV8~>EX*%jJ9V5J1lgVqtvW)41P|z0@Q6`q!zFqpGCM}9v)t~Dk!~! z$)|CzfKMfuE`A0yx2`=^b9liGqGlMZazo&%I@b<2KoB^m?**< zhDbKol)&4<1eusg9UbI|LgiRLy~MhCNhs(pKUYTPruIXT>-12vLvy<=pMx`o?S5{)>Gd)rBK>zwMgns@FVukiF;l z3#6m}$8a@xH(b+siXDas@Yrv^IDN*u^{k%!oiG_SR+tA@zQR)BjbkzIzfx5Qy&6OoX1;2{7 z6n3wFZeWcY-lf@#v4@2JWH$Pj>DM)c_II>bsTtxy!!tFJS%M!GoRd(^Y7IjRjpixGIWP z?^!;1)p7K}+wpnQUiayo#am$jGIGtc(8cb?;V%vgP0UBAj%c=?#sm!eJA&VnR!WZu zEZ*{d6ftaR_KYQLPv8%)oLEHb8>GlB$Yl!*1;2cJ^22y#$4`IjC*JUDkKkE^pS$OH za62=fiKaS%PebkGL#bynLB@*XbT{QFlpnEAJ>dY?FHa~_U7h&49V}WVmR`CXep`JV z4h%L8YhV5Ze$Ea*hN782F1*5bd^`cAW<=dhoWX`))12XPx6j?gxays#4GapFmT=%J z_-zuboyDV5A&M+wGdC;6Yg7ggBF2CA*QkLy$IkH~$BKN4p+5CLyJQ>)ds3uC&*GBl zDiS`1&0q`7Fz&?h?g_1Qf(H>rJqEKM$Ba`U@Dl}Vm*(e6s5peW>#(?kXMyGhh^S78 z64N<|2qXp(h?VX*F4h~YA5nma3NNR^Be{3kET!dk_~ubK2ODD*VZWjW)uFyUnt&a? zjPivTC(r43eJwg3PWe=6UGN1tI%kND{;wchKty#A*QnGXa~JyBzv>EJ;G)&)^q8YM z?|&hFJj#B?N4({T(kL9_Ml^oa_JwJbc+R1vkP(%b(5T*uQh&<7$^BbvvCjb8iUM7w2%T|fV6-qzraQvu-zWpZYd=ZP*ortIo zUv{llKlpBSm;Crs^~y}BhLxd{qLBHrV&w@ z8;lAEFPRGOz2oO$!slKR8$}ptoV7ghmiPf+4hLZwN0RF@A~G2&SO}vN7!?oVfx5%t zUFyVPV#+1rUBAvRzW{U7=P(H0|9t$7y8BRtUpjJP`s~*_E1&=DBG$w!;g!emQUu3! zV;HW>$IuyP*WWj*HPVy$dbaoe@N@FMSEv9HRjBovsQ>ma)lExp0%rbuhW!A?sn*B2 zzYM1g{{mTL_gv5k7V#BrZozav`kUQZy1PPjfS8~Y{SkTvuGp-op9<%K6Sv6v`n@Q4 zGSZ9Y1>IG~5kX&JmUnDjqk=<0aB@7NIv(eThfJjJ)VM~4Z`G&qdz!&(yOI3%ikemr zPsCS08qrN^^8Zu!K45Yj)uHd{o}Ss>)mrLZt>l%F?V9mQjD#^`*?hhQBEL9fB!si*5k5f(F-~qWRs^3+xcOva_?-L5 zy^Eid&p5t`ag3kgUda2Mp4pYw(oT;p%d2~KyQjMA)TvXaPF0=y+uqF-z4n0^GpU=) z5vmmgI90*^SUIddL$6G+j5W#daH8OIBCiVjWaYuyB6x^D}hHKh` z4k+GMGt>9t&X&^X44a7uW$~Owm_jB{@NIl|;uRq6JY%KbNva|zfNQyJ{G&{@q0Vnb zgX;-1GfD8BQ%=XG-^6@rD9aV6R)4#PSwUxFdA>Ya)S-HkhhWu6L*r9n<&`bi?F@!` z%E7U36d9?BL$wGQI1gF@yPS9U(6Sn>PR=JlWdZgmb)3>Wo&;#|e8L;tvr?;`F94dV zGQjuhUZC^%IN~xJ7#I#6)op}Qtgn$#mx*LpAfoz!1mt z4+Ldoh^nlI3VIw}mqx-bmg0-yqMIu z5DgfAx`wfHrzGLzc<^=-BHjbO-b6W`j@GI3!cVs%7mjDE%_*nGp( z8YAqwqN5F(C1(S)5<`Lwb@Q-a>XN5PWTT@h17UlT06E-Y^~m#VTL)Z|hX}%LK&PUJ zv(m3yQo3$55PRKF{EKghv7vAPCL%4KPVGGCC{S2ij(NKqjoFkd+?h=2wdv98;wkhl z_=>+m?Z%jd{!1pY+`9vpelKeAux5V$fR*i%&AC?(2lhtGn`xNzMZ12LgR3VF?qcZN zKeTJx{)2IJ&Mp?;u8Jmi3?rEm*Hb;QPFb)1{jq5Rr}aze5vvq5LZ$7v$lY0Gx{Y#5 zk1tgmr~mZ$_1hTb2>Bp`hEIeAAIC1pv5@E43r6MG&;2{x2RMpr`!Gw^3Mp^R-OKFI z6(8}Y>{FR2J{qV+Q29fc{40YeLUW8cj}2Qy>gQ1iH(ghkZ~sTTjw?LbP89gFQhQ?f zF5E_OG%IHjIkm{ZI?=;7Fs5&8)BCE7EWO-F;}PwOB*RC|Q=W0ZgjF4`vtjcqZx=I| zv9`X<8dvSfn*J+uQhC=Bck!PtNFr8iAzaienY|y0MmOy8LV4oCk}zc>wK}F=^G>2^ zGi4NcI<>8UFUQ?ZN8%(Zu-3QCU*o*(_YO_J@ATVDNu$CXf&0=@7uk$t8zbIG|EJPn zPeW%8e$^|74WB;mcl*N3G@V8?$b#7*y+|y1HoB6Ui1D$}5%o7ThBCz{&OO;`;Qk6} ztna^ACN_+RQl23&O=y08HMdrX+|Ezvt|jTco@QDygaz~uTvg_#yf(gTC{HFFv?4=? zhsVK&c9ddpk^|YS-o`3@-!!fm&|S4TiPt((eb6JavZ{sZKR_r;3m6yFV(aBR-?hdt zOHgkobp~l&y2W2-uB-&EHFX8vxYgu5h-)Lck9cAoP$@aC;DqH4W4_Ok)?!Atuu7pc zP_yYN%P*)Sj3;PCV6d$eYkzhT0{zJq_$I4FZih>mn2$EjgXD7%4b z9$fe%5O(dbr}?9Cyi`zSF3B)B5Hypis%TnZaI#Fy6ystOvR!CE43!Ie9!Re5xa-x1 z3KkU$Sg@$pUpG3`+uXGLt!h~TdMl`d7SDd#b5ei?Ibu@!^7O%;J_%*gD>+6OgYRY` zckzgR_c{q|j8Glp^9|1}FeQ0+ION`o6tJkQw^~O1BQ#-+Jc)nj^q{JSFyb3m6BAoh zeKNRz^&L;tcExhHwjr7CtYc1;k%`*>%+ToFW;sGnW%m++Dz{ZyS|&7+jw{Y1qYjN{ z1_iffp3(nl4^~dwU?i7jxbjVr{gqq9RzXPuM9VB_j;8Ee@AhrsJASo#59I}mYH#JS zC6ZhB+v4_qBt3AX;^*FR5;5YAHR%KoD+}$q;#D>(pU*j;PxkEpR{w9yj>^BLJ?+*Y z-WJRxMN|6%!6^Q8vaLSMEiyc3$uh6#x+29*^ozlwa)%zF=84-J^_ml`82qrPWcl!V zsoTHdh{0U1JaTNA5%=Mq=;4KL^om=zuXAhOyuhBUqi`6DTG?i`i{4-TyO&6m|JZs{ z+_j1+qvf7#K45Hh-)GQ+FH;A$C1VtKI<+SgR^KxU)-$xxewl;w8aum+iS`|+oMQri zsOY@tw#aWBV5oW5t0i-65uQ(r<*>p^U_WK!tV^PrvK)^slfFsaGvNC7-Or-FI-S7E zZ3JvCjYXP7;!OMZ7GUUJVi}>&md#63$N3Y%Dh7Cdj>2uUjUOe~shQ9bE=-MZoDPpy z=D~E6_lPOnGt5T;HxOd8FIPjnpxx_J;kXb2|M zLVOXDr3p{p& zsW^o=H0tgMwxu}S8w5C0o?@;-SbBh=iY-K~h z8y91!Yrdfg1FA|2g9eKyXvXeNw^%Z*&)kzTpRS8!*At-0P~1QU6-7X|ekUX0?m=<( ztLaX()K6su?B{aoP`H-Mse{@){#ExpLzHE+=9PY44)r*(tAlRx&x#vkVrR_X?&Z%D zWABl>2jA2iY6zjMWF=Bo7(MNtAsmC2mFE;PU)+)u|Y-)6I(9*}Kij z%}0p!d&5KKD^D>3`dKWh53z;Dl%aLaFG%_5C!91dTQHs84isVi@Pp?@nZB!xImQ^( zC%2uO%`lnVFdC@vw2ar|qlmNQF}KLTJV};*+4V3|Mbi~r5k!qgvM#R_ zJAq{nrI=`~eTk`EI_^#U*vo7_MPlP%P*%?@Gq5vrP6FCHw=~9T<{XKMUd2PiGL8=}AfX|IH4DZ% z(`otkr6lE0mbk1|0x_{&&XZObQtXDr%Yb92<1mAU@dVrAZu;dZehJ{x4qa-eOijaM zO`+C8T#(S(q;B~!<{T;MQv$?UW3W)D+0>1Atg4aGa9!n_BA#&wB_p;K3z1m-c*w#J@eG45pQAOPi$!s)1V!| zNxygoi^^YFe0`KJ-(j0|X8qKn8mjygL!SeyqSC5oywL|AT;RnMupNlSUSqeUuZ#}unnaYQHn^OKK30#cyywtYeb^Bu!5s7spGO$jPWfbkE=#`M*&_{bG zzVwYQjtd8?C(Oe|hPVYG067{3KZM zspUbqcjI_e`qU_$?F&OUc*N5c{n9KkMx}bt%Y#um)2UVW%L=7n+%rYz{15w%BfNqh zn-smW3fKyB1YT2|dH5R1H%7wkva2^53Rg$vb??XjxmTc|l%qtr0$TPDed`&Ne(xx2 zLmYD%yGLmb70!||D#p7_XyIoBF3ncDZ^5w-j$lw2EUGcO=pJryTo`7ip(VibtS`n#BopmMrb&4|whJ!1$FaJ860ZqS@( zP)Vtp!=qG`x1&y0U;7-DCPDi@WjEQZF^pfR41@iomL`=y{E@-5B~ z-JeJ$5kb|{DwfZs%HgxlGXk8?E@meA zusMTEBiVW%pD!rvM|NI1ZW(5hqN#m>U=)9rJmwY|nA5T(wQZ5{l{9fWJ{ho4tkLuu zBTBI&5Z!@CmRN^HH9Wj2l!xxj6fG82c@I;F?xEk4%pK1f-}JGHWs~#c+NvWx)-akM z|B+uCzR7v^PERWLMp0?W_n@_>M{M&4Db~qc6;m*JpH6N3=4HGEQyOz0XOevs`*ZS_ zgHmGTv`Jy3FKkvmEs`!XAya;(9d8_P`QTG4{4MTPwkS7Bv9F(L#7Z-fTD*3~+6&36 z`~7I>o`HQMR7+dKU-~4XdW$Vf{r7{syVAuH>Vn!CL(x%v%cWoq%!MvdwJ|H0($k^< zY8@lNv91Umy@=ahOe~|RS1NI7(VR#E(^B^+aA2bNoM3@Pg&T(WV}voV@zZ?Xd{}@j z_+b!JXJ`@yrJ^DETK60Nb>z(*b37HPOekzcOEVU(Y2b`j)feVMPZxY}d-M&?JIk0tdbbH8*${=D65R=hS6o<(6 zDA52)~Gbp%E@^Haw zP|J%MLnG(%PX#d{4LT=8%#QXRb+SE2z2+~suKdTr(Hdh^BUn^J+b0LA!Pb0j=vv}l z$GH)SE3O^7RH_tfp-Hy4FAGZ&hL0_i!2RjM zlD}~+1J8XEE?gDW%SqJKzwo0)4~$>`fB4ZxEUIwV`Nq3+a#*VSFEFnB@HLU&mW)x{ z>C~$GWd(=I3&)bDN~qudTo-XGs}0|kDsMqY*X*Jba;_INM$)0T>ytJ*+Q*2?E&fGR zF)pe&*9AdLxnuuGUQH7FJ-Z{Dy^}>|6IxEvWNna%Gq@`{XA;TKo5_jOswzaoErV3a z(b--Q6|Kw=04~RbK^xMHQIYNacKStfah}Fxf1vKV!* z;~&^TwJ^4zG5x0P@$&x|at~lGDgN#DMyUv^NW$nvIs%UyZC;=K7KyS*$Z4&JZPcAY zz(qmhwh$g=dOEUv{9ugG6QYF40_dFuP*xt`Xw0WP9qo>xsMtpIb2({9mRgC$1SMxF zGS~ak=SXgiq$gBs ziqjc5hyJlqsgmRO+s{-XHbG7M1fWRO}6Zyql;; zq`~g%$~m4N{B)NoG+*!iVPiz{{;po}C;p9EYfIV<&}r+h*p?nA5%muJHyZ^L{SDdc zg=u%I=ZSufdg2@jBg|y02k^|>4sR8!pzhY7ajl`!Nfec5e8jYbnPr9`06UO<`pP=twPx@nnC2l zX&2zdXg-o6K~b(jkVq}E_Fg|ub&MZ$OtlN}z;T?YicG|EDRJ$E8i#{kId9|ma44#R zjqfktt={I%9cty-YUAsh1n`-x>eT=_IJW#c)W==StiyPXlpSapp|NE(*1$Un90;bD zn}cX{5e*bf47-3Xa+9~Jo0i{s2cy}f@+oV#q{-D8Cd4r;<(fLb$70MehE0pqN*+yJ zf4p+BM0k$!)?s2NW?C6K5%r{L%h;ITG-7H7T3Y@bCAgJsD|NDZ-ofdYFa8oXrVyCh zkdr=4|9tQPqj-N z*Nn*A;3TzrbRDzgZ<6An2~UhtOMqzqBiBmf>*MlyWcw(~#mpFEREO)!%&uL(F~UJq zYpqAgY+k$ye!8G1h3aZq{)JLx>|F!0VDpkj$mt2&8N`cx-RmcxIo~NuMsL%pRrkvZ zmWfTr-a2Oe>`>*8D%K`P&ngbTwT?<2V=|_>B$#N7_$K@mQ_=1kvsQkU)b$rUzP&O~ z??zG*EzbtjPDb`-$HmmWNcQ#nGWk9l(<4hM32*3%N;2oCg%PQOIjWTx28^SN?^vKM zbpPEJ4Ln^YMzoMCXob~OM4rslqhr`Q z*G`?M0ZzjzH%1*qbi4}JSd;ZiHUZD6cM?zq=~SvEChHfNc;~?p zlZ6ND&&4?%t!fSMQU4`T!=LJm4_+YO6)@6Hf@d|L&+?+_H~Q@KrX-CzUJy9No(cMb z1%>Dclj$>2p)6HC7eci&Guk^RBZJQQQPe-u%owA&qtWIiu#7WN!eBbJj=np)cm1K zXBXI0v*W|4ZV7ho)c$k7WzgmXpy%a}T zjQ(H-$DB^T;r*=ED1PqpX1c`dgy!(9DREsE`*EBX1~MjptF-}x6&Z)ttE6mKgp#9r@fhAmVX?`+U)gIV zcueACCXlm!^$FxMF=B0Xwc!KVS-L|RrZHL9I#j=bT5o58ql%%TM=N%v4fQ2ap3^|4 z0={~Hp~i^BEuVw34utLYdc$xlT6=onG)c0|Oh{(iWE^Ks6hDz;x`m=8i+?`u9F5;u>y^XDe;*;*d*mY58Cu%m@j}6Kg zO1&FN6>c~-F#gFkJw~?N?MvfPnEDjo?Fu(R^es!I-!z89oBb`J(=`df12XFQnTZ5SZSUY2>NQ~; z&@HQ0Wa3sy$HE?2r{861FcC4i?lJ@0T;i}IJ%;vLCyeZ{LR>EQQ>G`8GfQ$ z4L=DGFu)n@0?8pM#*+#|e8fm9TX`|!+p(eZ#P^k^LcaCW`EFS&6{}y&0}Wr3JSelm ztH>;u7#=jL`GuxNulyNxD3n`2bKgxXKs!+TQ>+H0|DUhcDGgMB4#_XW3_vzU$PW7bAtz9)2h!HsONS236g|e8* zf<3+zOZe!=FgzAvYj?RzSl`M4pR6jlxF~C8;2J@IrwK~wbRqRoFCJ@YKxoL*gY&wO ze^h%y#GnNg@9SaFzs2iaibds$SX6y~^(kZQGN)&L3dOXm3Z@}0>+`v+ttB5*L#9Tm zf#fgRER%!QX9Axo_!v$ry-rCe1)~n7sQPcYM6*S6!*RtZ{8)9*@~~6uSnSE#fMKc0 zv0NrdO&1_Vodd$Uyt|&e`VM<}WsBGFA2?sq4=$6u-RX&a`bOz1{J(+Jv8eVR5cd0Z z1HnpDseF{(?pRbyhPR1nY7O;)rAYi$=g2B}ve0%)Y9_Va&^-ZXRO>Lic& z1Ekt7jxDNPYE~=B1Q_sdOk+_=Zv2z4boU0Y^-OlFjC+4L5QWP&irZ#6mwLYo1oNmH za&mpSF3svsdt_+KvfxnTNza#ccX&51`-u~YKk5FMry0oW6LLR+$Cut)KR~LW7L|Oh z_ps$B0bIVZ78L!YyZJz5?FXyj;D$lj)ELH!Do7*y@NHG9W;Wqwgo)H-2xQAStICbH z4fwY%^ZVqGo~VO?;2u*!e{fV}8%yWA6MAxFr-@N+GT#uX$=FT(X#yD;1kqhj#XZ7I zgKvnR>Rc*Z@t0Nw1$X+^awUO7RYFVac`54cP<%s(ajqyRa#NPN8^ZzdjDgXPKfGz4 z8Gby+Ede6zr;vIr4q&}B=)SgbTU+70=sej|K~mOaoKQO_0}N>Kil^1Eq+Hx$TGWb` zHGc2BYBH5GhSAm@M+D9~!_P|LA?A&TIf40{h#K||m93^v11vTWsnRuOx-Jl(ikGWS z>hec%B!UBj?m;voPNV*lg&>i&t0UR$H+=~v`3aClcgG^gphxnc2DG3b8 z(3Sd{re2u}O-gMki7I7wgOn-3O*LTI`reIx60ilKP8KRh*C6OCh$04TGm!;2<8ids zyZO4O%3%~aofE#m4?a0rW5Oj7Y_xOI9|Gvl08xggrq!^ajCrvT*DU~-?i@g&Y@H#zk8a&`K>d;uUKv*uaMoT^_ z%oU^XW7R#&!!|N9;IBpXpYv>^a&Eg!D&AZWNWZI}>$#PK#x*#5vG;Em7UhR4MMg=c z`<-84Tz`&t4W?kOG! z-st&_JycS6dw%(m-|P#I-poMiW-;sq?K4T_qyfg}r)7I{YmfM)WuvxnY@jA9!jc>Q zn5-EO{zZo0^GM55pOxA~!Y@6-W|(bibrs07y-%o8CV}Ve$maa-S^K_;w|K^`HsX)f z#5=UtUlaT*s@z;*YfVPh`!j*&ULx4^msvJE_F3_p%RH)e-7N84xo`ZDfY#>YCXj8| z>}Ll!>L+L6r52aiM5Z#xHKOgKP8>w$gB+1M4dKj>PdDTUj_-z&Vc5mG@=bkoW{Ze>jPC1R(``Y209?z^kT#v7H*! z;~b*X1Wn>;Ls=}zb-wJjdJMuIO=d^1q{eFmO&MOw!6jdpZIYF+ax^91(pkUseXkgB zsvB5TR_*Inv(pgcood*YnaODocM%7Ric z>QEI`CM}o1K&&cGAKDRFbKVo=e!xEM{ z{NwLf8U9F|Hm7uEQky{Z`QEdVJwxP_BGaHJd8DelknZD1*QFQ?xYCbNo*&EepDXKz zvN~{UC#G(b)kCj0j*qg5d^rg18$J~I%?D}LH%oW}4P*8BVNdn!4m~?y>iA?YKPL>$ zbDqPZLc4b8$4sv5lc~qf28&8tyN2UNE7e%b=dzM&DkLQ4*|Xeb+G$e=X^$tkSBnp1hAVj≧7?so9=E4Z~wZe%VJ7Z?1PP;2ZYcFu7loWZNa z)!4EZ0@u>+1#mo`fviHtC7m|{t&5Mo5 z+@Lh7_tir0BVK8sL8WJRpjS_%${GLY zIaV5+u5vm66Hddo@&kuvgK})S#OKo7a9q>;T6NFz&^P4`7g!m%UxLX8-_picyt#n2 zw0Abs_58+Ph~)F-bz;8pZfOkLz&n!j1MRbg$BqsLd#I`I!lJ4r*6GJgSPNErLzVA% zGVlke>Z*^kd+@70HSaqYhE~3@uy=X>@mQ~%vP5btMjbB@W+l6`$dvL)veY-W_v75A zQZlfzn=dxOw6}8!otOJ1ay2GaH~zweRG5+W*PUYqul79Q&QN5EMuME40(Y_JWVN=NEdxl_ zZ50`|JX;5?y4Ly^b9DxzHAaDf2R9KTDhKy-@t(8JXd%WdPsdwl9_A6WSZW<$!*6!O zML@GeSHBjt4WA*#Y`mI=MB|E%R`4iERD%neNnn|~%1Ay${0)ZhhVE?zm8bBy+@U&iJD; z5H@e4KKkqQ$^dIXVch<<)YDtuC>D!qP}JI2Yc}@lbi>YU)$4;PBR}Q?*OMt0sh5~( zjkWd8UWx2mTaxTVCc)3Czfv*kP>#vamgZ_kXl^;K7=<6J?pYrC#@621YJOaEVO%>X z*4)5ef?q?UQ#rSfJSMTgj*5x45#r`m>SbpS6nX^fr@PedrP_c(al{L*$eqQ>rI< zquwpWM{A%H#`0i)dFkSj<&5?T_S=TK5%fe_k4PN-|!znA9 zX#juUmxnd$I)kIadoqlwDhiSiH7>*PYl}R$Y?Cwn{cmLQ<`HH5d8zG8bhd9R`0RJx zKWUxZf$k9eO3^1CK z(E!5jRut8$N;(D@^jE?9@sHu2So***C|*^EYUpK){S|)4nakj(;MA=*AKuOiprwLg zwWzvbrye1fBHz+6ZHdrYxsZiHa=QkXbLKD+>^fZIS{7;!19VokU-r7%wy4jHPBMMa8=HHd6u7fNN^dGTV- zDBqUUID#?`0XfU%OFW}YobwJ$q3^yJF08m)lPxL|p-I@GTB8y#Q%yO3BP;?R;pA~s zU+I@Hn6%*`8CK8^cop#4k_zQq7V|f>4v$OS3(Lpk_+BhVDEs}Q&r06VJr^`%ODKU< z=c;l+a?Z#A^a-KayE(zq-a`O(TFrw?(~oWdircvfn^VC0r5 zs5L(mGtU64b%K66 zbGdltoB>n|F0iPYwt=K~US&nTaS4^+PvTh)r;JUfR@2ulsIHzQ52K+^DY8>~#Hbvp zC}Uq<%yORdZ9Ms=sGT5l?`P4NACJ4cAIg}pKWFVKhV|s4w|T>lyj=#@?@hTZV^pJ& z?o{X^NrlbFvd!vOddB3yo9K66Mhbp-iB}$epIG_oUmLIS*g(wAtkia5@QnFAwnf5` zSIU-sS9sgIi{y|_J9tjq0+e`}=SNShvkO_}De^mk^D4~tJ;25*~rk+%fdpmg?Z(&4p{s;L@Q|6*#Ib~PkO8>>44VlNuW8r53Q=lwMP&i+iheF zRe(%Xq69frC8KmEd-dK0_!L$ChD;k*&u7vzWQD2_id|4R^C%JK418W>sOJ1GdbP}B z$@MA+oqJfBXS<99Je2x)cb?V=?KUhH)evJ(i9jn!6DoeZ9Ba~x`3M7sB#0!gZe3j0 zC(=2S2&&dKE>yr_6v0shqg??VVDOAe$*my}DU;l&pabuj4)2Mv>YoIgz@hrL78O!U zo%K^Fs1c{b5l+u1ikGnQex4y9b$Kb6GJK3Ax5oh~aN+wfm@EOzYVFhvvhVvCWXhyD zG`zN~c6or}RLA&E0Hg8N?O~1{n6=7L}czO}C%K zq8f>iakZ+*y=*j6#rpR8D4hBLL6WW1bpC6k*&;_Q)E4w=a6F866 zT#Qlqx!!&9oE}GX^mgRF&?S&a^o|LTk75*66Zyg{D$f$sd;4-`wZBp<*B)HY+$Thm zp!S7xCA)<+=-Usn+-cnYcfW~_hUS{+)pBg|L6N(>p0yp zos+k-lItkN?Z`7MdB69++fw)qrX=&vYAb>+12d`BhZg|Kht{A@!^{vlrN|WHB#%6A zg8awCvyb;ho_}F&IC1bB2~@7$;!OEDu~jdS+MQTII#DWrvPc@?b&{)0rNsLj-|0lX zMrSH`jeiapzTucxbdRP7$A>N!8(UNle1=_LKRc(j*KcZm$Eaqw4rDU3PmZKzTlRHQ z4^-X>5Xha__dkA1eRDJwdEUp7#^WhE$OD7y&l@opyB^u}fCNr5Qr3J-f*|!w@5l{q z0o7ZfD#6mfr-IwXgiT2oL%yG4Qc=V0G@y$#bwl07^9VW)z^=W$7!1h}$oOvRY!F?q z@nvibSJ;=5i}cqPNwGF#alxC26`7|F5TrSk9$MbL+AF>p%mUS%W1OOnd)#s}T=Ne! zewH?ml0-FUx={i(63Jy2p3xK1@~;h|0>WvU_|&$Cd1`R&stDkjxYqTY0Bug=;i;K+W+o{wTxhd`zHmXc z7;304q+wI3C4*^=Ls`XCj!%wunb8u>f@^%c0F;O2Ytm;=MQesSgl46-3&JO&G*xL% zI%083QE0%ApJb>gz?9x*d7SVj)YBEafE^UE&e2jfD;C2s<}8t-qp9@e14!JpzWj^T zGQ+7ZZf>2z$j_{36X~7>2wE^+N-!@av6qBacPX?lNnFQEitCP^n<>e2;dfUz%oSSN zH7NeG3h_+oZdZ)0x*e>OJ@k!e(-Sf*V5FPMg;P7MI1Qz%hTB1W9MIC*HzuhQRNAH) zgGXne+v5~NM-Z$!+O+g-bo>n-h6|eAR;h~pk^-CpHp>kI(&$41kQ6UZLNrMPvyNXK zhcNsa=VzK(q~vs4w~b}V>y9u^*n3*tb2CogC9QUc^?{&EWj=vkVUIF1bbcGR> zLc> zXQ|%)8j1G)l$Ad*V#k}6RX=x?l3-Ua{9x3#@nF^_4M zKCu^dB-Qc^Y=uR%*Ssm(7wwU{Tgb@E7gt-!MN7t{{B+8%?(Q+l`mEG;LH9%yqYty> zK@On6ate{1(j!K#^ps`e&vJ5LM|K?hIuU}(m#Kr^{_oi>E9xi)*G_g3 z0!DAssa5yO4ioQ-LhXBmQ_N>+<|V?!;CPW<-B+F6DX)tDEc(2x&PG9_f82{6ml9*d zQM#Y;&x-Z;U!6z{g!=MmTYAm7i=OHUF0Ne4aP#`Km5B`#z0%x?@_x;AL*yrbmpx}&qy7m|8LB+p`cF})=*PBgjXC=Ya&Zj}8MMtPr|HdR z^i=|2e5JRfVx+}Y4ZxK{#(|gPA%KpFJcRYDq^uIiQr3&j^x71$BLo}hC`{kN&NK<9+Ll>~F;NN9yqqMl@ zZC%LDZaR}pF7r8(M0#wAygns2{Pe=C9Qvjl*@s0HJ$97@Q#sEcDF0mi+U_1rTY4rb ziF$f+oJL>Gl7|vGwa8BCp{Vtv3})YR(0G-}QR-^mbOtAl=df1Olj8PTd-%vBsWENm z#=i4(F>)>~?u%C%$)>FfR!Qh=XJYCb46Hi`o%~T%4&V1Vv1?N{DnH36`eSbj0(-nMbvy^0ZaTh-qFP2MYY50mg<`(j4ya{ir{0gNk^Q zBT3ZoA8X) z(WQU$3k{8)7N5E;vW%UC@v(}XdD5AjShlT&2p5CxNTMbvtl)>cAtkg<#bvk>pd|#K z0T-R-YdyJEn2bI}{Yw38fl#yFC^uSjbp(qq*=<48*3DbNvsuqWNP^TeRPX$ytVF}h zt0yW|EC*9WN-HXaR{hi&u)z88FjdT#wY;2HXtrXnSbnp?c{04G^`g_Al#!Smc0!=i zLUjr`D;(v?8mx`1rSd446i;NHW3;IgrIw%SA}%i91ki(i)JF;C*Mxn(I6+a*4p?ti z2cDn0T5dRciLNtva})&oI|uySxRn~IY=n|}SWkbu-0cXas!j^l^&_aME@Wjy zK3qE%Of1gkk6jq1-CCr)ePz_!c!PzP|EKKSw@zPLnj1<^b0RJ|P9HQAj5?If85G8Jb6JdnAyPrT@QO+_3J%Gf}h$0Tfenf_E#6l zmQPdtjbcrij>Oc{mxsRXE|Op+#=xHcNZD#X7S$KuC}ne$QhnLe!XB?*jH%2drHkx* zezZnk%#z34A_G%vA;&c%GNW&rLp{>ag)0Xh9gRh<7;}R4tTc91n^Ikn4IcTf8yNdh zN|yffkW8%k_Ijyr9jS%~7=~GqX0Ugao0H6O55&3Pw_ZbY@{#di<5gv|f(nbSKr}j93m(dk|pC$4ir(-*jl0WVu-aY=KuZV`CKVmfM-vU{3 zq{sKF_i%R9hkC{E-R;m@?J?TSr(-Hjb3jv;1kS$Glbz*2()}d~MlY2@SVbEf|2F~6 zZIYF*yCf1Xi+|N~Y5`f+yK>ZIHz~7d<$kJlRZ@aB-40G4$+OXuGc{yjvY#Ta%1X;G z6SRbhK%5@!gA=;d`bh`{Ls`>3$*uZh{w*ryNfX6to&vuv-VmiP=LW0hp_A;e1Ugiw zl}BW_c@s!m4}ZaS(50;;HqFhdt0U;}7%M}TNyR+~V$pA5w|+F^#%H~0`Oe$DVYFrE zcq7*p3k;FhXz&!*dmvz|S`RLVX<+m>M30J|SbdN?V+%=L9bkcmQvW`7vm)#uhn+CS zn+e|JvCwRXz%Qd$NcEz8UQ2Ot&BTb2OhE6aAuNyfC1+S4hK{)vyw@!-72STOyY|YY zQgu|-q7xWmr5j|?z}QG3xHn21Xp*r?D~7gSO+UV@zgp^A&{&IcU?#@bn+;KzXeVGF zRyh9lM*Dub19P!r?9O^X2YbkrNUUvCb@A|crT}e6r=t-p}ydC$1V)E zV^K8%dnZELkLc?6KXR&#QNh2kDkqtu~BtcS(x z`*09eoU)eyW<6(kg#ROwYY(RE4Qo>au5hHuQdh6L?QJJlBW2xW2#e~tG zRPZ^QkSfiX&=tpb)|UtQ8pk80k1TOU48``l)W%`I?|U~!6H7N!Pd7J7E{U8feO`8j z;V19Iy7(gB(6nFXqj1}?qsA86K651F`n|KjqB10e*%d8>5{@xjmuyjio29s;ygoYW z+)60m2r!@uS})he*$<;bSUM?f>Z->b!RUq%8PqC#LPw<3#4!91XejJ^BTS#U-q00NAK%%VGZjd zdX9Shbytae@vlVQ)91O1tG!lk_%)GVed1Gb1RCSqFgmgAXyZb8bM1VUvY5Th`t81B zGTc$w?-_}n&WU?KJ51QLM?&AKLsR<#!6^PLdCV;`Fi(=D(Kq$)y^?vXsk&+W>{iJo zDmc+qra1NVZ{O&VDAT|B z6~SV;o1Wg3&)$cX_5;<+WP9H|-lf0PBtPs8=}kkAFK7ruyN5_y> za*<7&{>r6xM1xdh5#`sumVQ#L%~#)i$!IzWE_Q^59|~b2cor1u4Bz9x=147|G31dy zhlez^F-8YxG&29-M1B{~BnUL(a4UNxoTG-I{S>3}r43DKWufz?txAL6`4*L>6tXFj zVZB5Zpy%&O+|>BglHm`*e6voi?q{41-Uu&^_4{RX#Gy3oYpfqV(O65_hv$W(X;qv%RN7L(iE@C<6MM9&{lxD8=igA;V-$I zPaS-oHw8WSQ%ER+9Acwh-LB+ODR%)jD1ew(0qw+8v)WJ_Y03tK?zgu}l~s=O3NHJf zy#iLGlc~4GhGl1NB3qD&1tv`0*enNmHrHBh#Q#o?(i233_IM=@cMI)gpWNZI2&OYJ zd|fK2aYBg=?|+qCbnK7H0V~s;H1D;Rt{}rVf57ldp`}cr?Pgr))G?|KSoQL`lq<(Y zeze6;KE*n1`BgZ{qcukL%xEa<^HH$(6H-!?ju63l_^&2t-i(CqJ%3Oh+A8vUYp;?< zzoEtY&8*kIudiCV?>Bn=sY5+sr6Vysom8{spDoy3`p&zp8T>f|E?89F9q*ID14QLm zCghKQr=^-9g11UfQ~LtJDE=&Y%q=o7Pm*OkMs;jykMo0DY_RRA>{wPQCPC$&dpRRx zq4?MTs}wfgWUc?-_~hXlC&vA-r|jRcT8*yt=CaS7FU2HU`0pQh<)Wz6JLOn4m8vdY zyRNqS(4Qm0-97H;#@+Oh+LAGQ$(-rbc0u4to zOUTK>xmx|T;Lt_YY3IEBqT`f>&}Sml3!A*rjk;3!kFEeBrf;%hHpgjZ>3 zBk8+jdILcrC1Usv1mT;(U@L?ffvDHl6xs--Cb7xUcD7!;?05LH+U`gVk)a5Q;KmUQ zJdeahK@(EvI~FP(M8mQUI?>Q{qY)!czLxmT5JE^w^oLnW1SWQW+zc{KK{JRuqc2*} z%F^kldHFTUjnzvqb>L1XmBOMtxTvo#A^8vmC7a8RN*XuBZwAGV96wsI%5dRh36)>N?08gRe@eP zfw4KFwWDU?(0SF6#Z#7E9~PA_yP_i)RQ15UDiHstl$9)ptv=ox)Y{>%|8A_z-D&>w;xzg^OCEEJ49t^d zNr#L2Bw$h3YWiv4_ROoh$+pT**Rj`c(P(D)9b(^d(?~G#wZ*P{h!)|K`*+Hx`)>({ zE8eK|z4!dsxK$=QK_Gv7j%@GU6qw?dQ(ks$sw|i79Jh^c-G;RFdv4!c?lioSp12zx z=YA)GXTZ;?VC`fr>h;QgW%?YNq=?Fr^Xr#j99Zu;{|j>QN+%EeAzvHGm1Wc}^qg#G z?<~#ZZS^Hm)Lvl%SYNI)E8MQ49kFRM7+X{~_L{!@B5DJ3E?Sh=epkT@oT7OQ}6f@8# z+UB`nbRQLhwj3kGVree4GMP_^bo;*6X|^j)ELBAnbi;?EO`su%B<#&&B3O@lozWN1 z@Y13U5@on`287Ef4OIibxU@!qXkDMIUepe86~hZGwRr-K*l651G+iUY{9OX*uKgA` zeq!`+6+dH)b#3SSoVG^l4(JI?P8F&(>Cy@?*ZorqZfZA!L#-2i-ni@nWm|HN? zRZg~%U&vVM8;b%a&%w7??CHNsh@)6o7V-`INUq zXgIdIJ5r3~R{ZnOF;w5k-i5dGYnQw5(~e@K+AK+1yp`;asx6ld-gYwc#TzRq6e{cdLMMzdzh}v@@yL*KYAuK#wo> zeEF`Cs;*4mQNW9@YBHENVcnMTBZ}F$peq%Pi9Y|P+GzD9amA&=>4WNpQ3q)uAKEDV zp5&o#{6+z7%C3&=-M<;?R?tMSS3G*FH2)*z$y?tc;qI+s9kYJ9&s-T68XsL8UHh|z z5`6UGtcOJvgtqF~e0L0MO(m%sR0|L)4BY$J#cqEWjSX)?^jBj$K& z6Uoj-F#0%49&?KfOs!!Y*Kv{YCYs1{zWihFvyo~0Dn>_mbh7HA>lS5)!uLge%=(SW z!FPrErzS75Ma564b%bH^I@PZ9uiV8 zR>N;6^VC*5d!PYFM%igL_1+nrA{$+)zp_2!WE(O;7&neJWa7Z)QC|Mctf+B1>BgY9z{_<&u-CtW$UtTBreqPP4F? zvou->VA4jM#-q_vx#gT6jbNGwy+#!&1<7HEHPtU;!AXKu*a#UjlLS`15yEN=m<(DE z&)9H0ose36=o*}2D#u10FIZ4*nbMny1*KC>L5BZM-pZd1rbL9J)YVGs?Ya6@!&${y~#=ymrf||;Bu zXrsCoY7nm!1Fs|WoIq2TP+cKMJxlpPYCBZYDTm1^O3TO;g(?ZIQ0)T|x+fcIrm3f_M&eQWG^#{!#0?@u zfB+#Ib+kF9PsxL+T78GRwIlp6@6@UXmGZYx5EsMwjGW5*S4SL^Cw>0r7L)kbioB}8`~NX zjS8r$>fkY%{LNO#fTT9?b9|p2JR8d(fv7JPa>_*GnrG+H7-*n)qLhB~McZdWJJ$`h z8T6ORK{B1vs&L?8?hCR-#%cm%nx~z?u!7$o_m8|sJadUFg&*d00MRe3{I-94W-wj- zOyd;{ANK{>FnYaOkcm*gxLoJlu&WrP`SI;h-()Io1X(__&LFxIHel>ZBsC|3Q=fp# zs6(?@6Kc8QY?)PqJ>R3Qh%xHI<*XN*4DGS#V%tz^2~csLe5JL)>*dQU@4H9_mt}-$ zhTRiK|AAiGhBt|P`Jn7NI=UVsU5{_-28J$|%NsxMi3&fukh2C05?Vq9H5SXt=ldGd zx#!Y%nB%GKhVBVCKJHoaFzR_qk)6`RYDvmpM@MU)AKJdV#OzeO1c>f^S9(|UoHcte zgv|DgxiN~ECZ0@qCwjfSI zk^COI*AEONQe2s#mcj0Dzs%4sYL8{EWs|wnMG8Aq_KDyX(B2;`m&Fqcd}(e=Sy`Jh zL&x6fPx$v=B00jZpCS*!p>!fCMF`|UZ7Rp4L?y5xTB_D7wOy40D5)Eb)h7i*Ihbb{ z_OB7E+g?mdMHARTi$@M1k1TlOH*<5kt60lzg)0VMt1iRPWf!HHx?GGYqTMc(JMwDP zS+273qK*lVTMGhz+Vyh(Hro+KhLkxJ#gV{7Fj^m4(1tUVk2pZ+QjA{qr~1S)b%7Ul z)*w?hexn0mTR8wnl>~=Dx84OUA7srT(@!X znH_G}*u}9t31Nmn+dFI5V`h!8K0}Tx1bC;0N~FtNdMSRdW_N?iYAV~OPRANZ8l2$5ZcQs}x^r(g6NcL>lrXc?|>TNj3cA|nT8KZ)J4 zjlQ~tDmr7%k%sJA0RDOs3W|v_GtguHkfbQSN(^JK88UBI9AN8!Wg1`Ty5z`ivVnv=#sRO$OGB*daJ_P1ono7_OahkK6~ z;3yzVUmQ3M7H}DLcwDNgmP^QVIO-L?J{nYi(i7}j&Ir9tqK1DkzR$>t``L~2 zoiv}fuw$3a6EUzvWL_bZy*4hIeEyMI zx(lF#_yA}T!D1ye8l2SlC6)z|<;?=MfMwN%8BkqF0&wCAD3wLJ)3R0Ni8SLvYz5mk z_Pe2$6x)mR*Ia@d$B&K!u=kokx30whsPB4ja&M6d6t*a(&{W+j(Jq<{s*h zR-y@@;t``ao9Fgvo)7U$fo?dwg{%uBWd)Ju zazt;@19MZ#>b}AAra61GB8L$*?j_;jrp2}9iX7!Hod%gaK+ciH7O$872 zmU5!A^9hmcp{pxf$Tx3XzaX0oeA1oDBZyY*dz1HQKNeN`@qQP`7Lr;RvzIi_kB0nm z)L6A+MN3aQQruxS^zpfpvimC&8p zUutNh4wb&@t>ua-YZ-C+(2l^WTl0FxL*HyFF0oRc6kGQ=ElG)Vgg`3p2Mfh~Ixo?s z3v_G#=`RM!5( zD3voj;lB*>4(Ysjm5t z(AB+#p?Bw%QNvv3Buc$a(qm&`apH@sC2N|>CgW$HU>iLSr%&?$KAWp{OH?o{BMb6v z_3OyTau|xzP2x%uiBYtfwbbc#)|G?6$P>K{F$sKFM(|wZaw~$RrJLNBwg&!(*m*W}p*-l9 zy*+A3+U7f>D$z67hqAfU_Wz<61^JDx``}6c4KO> zzc_FjO!eQWLzz-imP_-dRU_(yq5xKJCwe%|BXjVAVTtbYy_#UX7{%!fq5IrIDVF{- zs|>e$ZsdICv+nzOAXDu9-1<|F_B{0SOs#hJFH&u-%-YVR@O8|}9ysXOuQm{+9{8+v zSX9cX>G6MqMQ4A%D2lFsPfJq5oZw7q6A8~oI5FABiJVeo|37o@11HB(-HE@d>6z_a zt)$-7N?Q4!YDOb@BpZ7yj3cmP*R00!polPX)`=j2jD&E^pX7vNj0xDh8LiD&_+aG7 zLCHC}wh-J#5D*0Na|pkrC1fIV&P8x`&dHJ7;wXF+$Kf2G0pnnE-&Z}m(t5SiBeTf& zcDtv#>eZ`yuU=KZ`r8t5Mu2iYwbj?>vimYG7yr-*hu49OwwL~YDm<_yka#6&;NHn1e#q?|Mi|G1%y1V;038L3jv{GGc(*s<7(;aV+LNc}!|dDy z7GDoa!!pz2#kLS0X9KndgEu4c1_I$+09%-{uteZ%a8ZO#)~P$!1~t%L2?(-;)0d4? zbSfR}Ps~G|Erf$o9s+k5O;A)qts7<*I}5r50yStS4~Ye8HYCzBk(lUNyh588oYKuf zOq5s9F^m`7X}2*y$M3aM2`W!m=Ksu>~l0d^wE& z+_PznQPH@c-#voeIJyv+B~Q;&#l}(3>&^FcQC+kpHM+Ey_-efBT6aQVXuvgQNU0$r zDWeO(zYd{8<(0+I(17QR2JtupV8MmzBd-7<*KT=GICv0}jLV_m$BKJefPGt!G5_;% zbiMX~?;{ye{RDt1&DVIQihb?a*sel@F)Gi$iA>4#1)Jx+M(YRvhaTE(?qYw>S5N?W zQFMfs$KTwK4$;;Zt|RkbFA21NE1Io`Q|X&Bf$A?{g3-$e8 zOpL~0)t|dV2q&M<+OI)qmdBF>>=pxHLBxzOT0e!#3rc_Yp9@_6Z9pJ6CX$ay< zmQctCB9`YPvzQzm;4BRfhrnN3Bszqz z+0fnn+z%^(4TPoqVY0~ssZ85>80L?n zl4PJ*u$|VJ37g8NHda~3*nY(c010brWNE{v=rqqS*w8Ig&IduXZjwX zeI>v57BVZM1!#}=1!mZc+J5Tu2geTJD+VSfN{|4)wi>3nEPOo<<=#yGMj9@SDw7#e zG~atx76h$1nJp`O1I`do5-d?5cQWD-a_|&&jg?etHp_#c0Ib|3p{an%E)<`Bjc2ca z%%?HaV=98u5e6(BJ@IiK^nOUe_V1N=0Ap0a>JRuM<%fGn%|lBZjAJ4XCoS*0v(O%P z?nJO@Xn#J(gIn!@GWBIN*1NgdsvJGnD`f)THy=hXa_=3E0OM{xvyzm7<4nK;c^ccD zMJA+Y$Wr0Ma#Xn0H+HMG_Um#!c@kJA?=VDt@F;op7nhT|C4<%ESVycu%fEQk;;8gR zYFpp4X8)FPgNl;`cquGYUY6kc|gdnA%HQIs^dKE zO9qZBm7z4PdZ3M-)+5BU8KrM03GDZ%AqyirgRM8ovjhvXA&APt4s+cqC6o*dSw{Ex z6dEGBGXr~O=(A&E1-+y$w{-H$O6cgCSX3#;z!7wsn zs?{N0i%4Rr`HVLZ%nlYg)dNp4Jn?i&1;q0!1K3lS8G}x>JY*>9!lEi7pC*Ts<#j+4 zehBWi!e%rKZ;K1S-Uh|WEs0zc`*gY+)UpC>G%Jlje9BWFMHVIJ%56a68eD1Oo#H;* z5Vs)eHfVl$4#V`ZLwY=DK`{~mA4bb$6{YP0b4ixed!b~or~-W>)hJhOeyX~hhM*ZH z|L9V?j1$ShAba{kV6jZRQZUS~YCK9GiipCs)~Cl;lSc~zBV?itFT2TMiSOYu;t(!{ zl*L7&v?2}0uYOQ{spSgB#%JZOSHW&}+(BYoUs>~Wc&e`m=B!gf>mY23C4Q+wUS3eoL{Z3d+B@>gP&t>rp))9oE5r`X&S+S?mn8BKFwU zIb2};{nwb*{^MC3jI+QWUS9(xM1w2HkMg1x3_eX{4=aHhLr7-R!3mHXBm)%gHFyu(0c2$h(MTSB2Mfn(R_SkH!z_%lVA@xYi1&>>7l`45fHY$`wdTC_9+_KjiCg+4oxO| zxYd$~A$bq8saUB`!@VlN4nZ6^An#zPqrwVM4B+9c>`5x!WN#98PJdINCYF@^U?9!Z zdY!xT&D6ma@-HT#46Re-Uxo+5ZVAR1RURWIb_4n}MF=5oH9OKX2Vu(gRe+-|_DI3* zoSN1VQ9C$QKpcCW$z7cZ^6a=4K_MTij|Py3)dp2iXi#x6E{B32EAD9l z;^U_*)*hALnk~{9sD$;th1q_DoR4XKo9aLB#rw zQ9pNEDdh)!!@d30XG~{GaVY!vfXZ0Ch?no9A8b+WakYn3ZNe8hZW=az(nxzg&&{`-eweMI0DCGXzKuJs+Kf0WlRikuuk*`&R?Eh5n!pN zkdY?SUHYgKWMrqi&Le_FvrJX+brdwv3Nt>POc^V!Lb(fiUM~;}XuK=ZVqh7TG)x-Z z29N|8HAN!>EMuo0&!U6lv}_%}y$a2AV8^;h9$7c2YH~1hJlclcT+O0IOasFMEDAm9 z4RMqLnwmrqiI<0p#EcHE1 zTjUtbNRD0kh;9u<7PR1%h8bI6!g92JVK@nT1yN{8eM>18{I;Ol)Y}xMaohIm>IZp> zP$BZ7N}59Vg8vgCWgcyDSW1aW!Sx;<Q(b+@s+@VJQPdo> z*qUsv$F4Zj4KJ6`8k|yjVz8m@a>n=4GloWghK=zRbaWI}*7NNO2x9h^vLw~>OhL-e zN+gLaOfoK#@;2g-qL@N~`_ure_l|vnY&gJ_?W1A;Rw}8sN=V==P-K6bGSNrQ^|K>a zk5qqqD^WDmAFO`#HC!x)Df6oJ^KGkOiE95c4}Fy`z>Y4$hn5#vdi9U}8os7E*ypXo zunX1keYfWg*XyfX)*UO)W3>Kdh{{MdrpaS=kpXk2EC=D+sx9@n{N5IsgNyg!8x)B! zWFn-QdR?%s_gz#RxiRpnUq7GCx)+EZT7=T@Fa)rlH}m+N2Xwpr=}c1{I-hFq_;JIJ z7l`5}C>*&6bI;L-vp-Z3U+vQa2f|#uYOD)d&!pO^!Pf;d(-4LnG zX>1i+PqM*K?n3QOj33O7HGSG==+!B&bV5*^3Ax= zNm3g;U4!aE6kMLFQG{|2&UEHBbZJ{0kQVz*I?EW(l-g${{uhp=vg?g64kS-R25 zixu0hvb3R>drh2#NDNXsLTtheQzK8g5mEt{!T7kvO~wb3KyZqiWBt<>kt~K2^Z+F9 ze;(Tl5PdJM81RZdbI@lV0On^EDOgB~ zv}xCAxKT}+#Pf{6o)Pve$adu(?}68)ssHU|TJhX^8s0ez;;G&@O`L$GdP^Uxi9sHM zMYZ;>r^u|GxKLl4-I6WMTMXIz!?sTsdaaw6IyLf4H?tnah?ZtrSpEHAh@K4a$-u?( zsva1j=%Xs+=UHNj07Je=oM#A#DV4=aG?6n%$A#m;VQ@LxQz&?z5kNy9KsiOqqk69y zeE6@+V^-oNfWKuu>F7n6Hh2AhLG#|Nm^<0=VWS`YkPVA9*o*JD$3q{w39Wg;%KGvDbc$`@QkQ0zTStXMP2+UFN?Qai6)e(R7iR2A00Fp zd*LO)xv(CjAUi?{qYhuOTh)-;bV0im&D-9$IjhWxOVANK)}!vC9D_nN_y?@qYgI6+ z`U-)D`Ot=D*^+9Gz9LLB{yBns9?PY5FuA<(y$JG7Z1@P zs*Gh2zk*&i3|UzUN(~DSZY1)=a=M=HNf8xsF~mlQiB^j21MrZ5N$@S2HP_Zm`kckz z3NnWLNs6$K?~>n+U{TQ63F2@VN@XzPhhYUW9{j3=B~W*QPw;A5Ek$m5tizr4h8>Wi zROR_(ASo4Vf{=4mS)IzwRmajXovDaK+AIj4~w4Co0pR7%k*%`P~?-NvQl zp3mmZrfr(8f=W-|%e~Ea4w!BdM@x&=>gJIldOp>VF)C(QRIlP5oN|V}?jrT;`~_OC zzrEB2xs=yOx33^=S5w0-_AH0S7RoE3;yJ{QJ_?QhyKDYM7wJDuPt-!ukR%v^@?hzQ){AMZ*wSOXK21x;uF`7ayGrZgqgl;% zAxu&=-!EjnfpS&nK5D8MZhi&|@%Zu@GQ$^ls!a z2lUR6A(#nJBZ8?9nulc6x{L?ZW|yZN!(rel5CtfLR}f$?0;JW%D<>H&3fkaOl_}hm zZ64IrTaqa(jT$9pq*zV_0<<*L-}ukN5St5p+9^( zIc&=~L4OV9LM|Pq_4E5Tyro366<;d@%LxEYv={VMY1KfzQv8Cy zz46S)Ee&C+Hk?0jG${T_ujYU55gKlu^6<71RL=yGrwKOVH%%V1iwu}EWQi>^knXmH zdXJ1znd9>sLNF0(25ByZSWn=KWcF2c%J=Lbf6JZPi=xlb^?R~d<}d-2LkqM`Bqrte ziSv#9!|&R!RqjXrpIPos#>Pj%e~~_H55X+W#dBE(wEREBFi~=8CZ~F)7@}pwU#DHR z20880>Lt}$HlRdI!nWF~-NNneJ!WC5`)s2q z5OQ*#1l>grCvkrozxG~GcH7GlWu z^^>)T4#O5=k{HO4laB|7BjRD4UIGAZ!Nm`=-3_SC0h}a)Y_XOabPitdQ6lNUg9xaM zx*7~Z9?F9;4O?-r-mCWJl-)Gk%Sj~1ASaxcIHWhxphwCvgtq}%FY3`XTAd~R zt<~6Eb&1!c+f>C_BAsX&8BKf-WOZVZ$3dEw(NosLX?z$Eyuw3qoLeAoVwIyXaVcb$ zL#4hcmK}GZD^mS=QGZd?SPSKsq%=fl85fE0jW`5PSh2VSW&}8&2acz_l`EH{m--&; z`E;zu=%>Ah(4S>Ltn-lv&n2Y~lKq7!m;0S-N4*XG|6*wSvnfS8(E;4MrS2aJ8)WTi zshr~3YwSaZ7nOr2u2D3w#}fbXayqu>Pj&Ui#}%5+r&81`^%%Tb&G-kDj+#0MKDF3! z%PeGOFlEKp3FJY!$6(}Vnmil^JXu1f5yA6JS&GlGD^UGEb--8Q<8>SjC=ojWlB@k~ z$zS={@@fXhReILqh={kY<-W`QmAvLak7^EmK<8@n2PplC&!LYa+3N&83jc@85A(A1 zWTTrF2DIik2dck-fmBA#rXz2{?Aj-8a9OW?FH3Yc`rqTjN?V~hE4QxlIut?s$$=dS z!^30OufHAY8V-_8q$=HLvxqGYo3<*{b?oOi8wj?KA7OEi?MESvVvXNA6ar3;wI_>p z7Rm*p!`0u1C_dXiprdrFz&?ujDC)H>kKx!L93{gC`d#n+(RtKw@5c*c_bjwddW(|$ z6o4H~tE54X-Ogi{8%qJ3%4B)wP_!QAb`Bf(Ys4>T>TivZaisRhI7(-Tiw7g~t`f+^ zAxNUxa582Thj3jNuQ+pqQW3g^q{gIMI^0H-Rlv2tVjY#Nsp|}EBs^Q$PGw%45`%zt zS|W=-E>|;d9Kgz=>76?;w1?%hg5C){Z6OO0MJ0##W(f{7^AY?o63e)cKwn4jE0QXL zW(SHhf~<@86W*po5|g(f-aKG85zx-cjJg)Yeug;!;mQgj2auZ#SQ?=@j-5TRX2fB$ z+#hrqvF9MFXEDT?fuvwnp+1uj;sCKr^2 zDFd-H4pxB|N`xqKq4JajMKTse=A@m3+hzFo>y)a_$G|R*Lq*Yc23VSbIRIn)-IxNE z#p8j}Lw7Ph5NXr-5xNg~`&Qa`;m?_pEwnl8L=kdn(9lCq|d3vw{@Xv zRQ-c-@!T@1f%QzhANGTg;cuds(P^9OYf)ZXSF_Z;!?>u`87o~i=M!5p?W58 zD*`D#)_$QNwh;o;3#JNn#8+4 zY>(Q9Q(o=X#VolpMb)aS`PLsFhW#!+vvcbdWHcp6G2gN4C%iW|8p6R2q*}Xz|CmS% zEtH-}HJSv2@U9nAWu5P%d$UE9*f>78LnwDWs*QKz9BAKtiWBMKfU_VtSB<8RuLtsl zhF~wjI!B?I1z2yJ$7fvVKIu6_?Vwlof7!jX+v%9|>vXGLb_l^D&ba|gXl0NGjS(X5 ziln@ZkXP`7x!)F|FxqXimReN6+p8c2UL#XSfFE^}PkBWe6g@QDiZaLsT|9%y)wqe? zd%&v{F!~_Xc3g=+H^9Wyo9?o- zkYxxJr5%a}ybW)0gR>X+!rg+LL_@@1j$LvJ0e1p4V{Qi`L}1Y&fP8ZqcXW;kHXP#z zbmOn;i5IR;VB3Mn2zSDJ8DR>mT_u{kiK7EpgzdQ;S(mY5GPs73pWQwzD!iT47ck&_ zv=^Tcfo+7N@DQ8;wFVF{xE#yKgA_z4&{`w(Yx2Z!SX}M5nNu)4CV@$kXcB>G;q1{@ zFo+P$^U1DcuU(|mP+s!Tq-G(&XLaUbmCJe>Y88m@ESJp1b|nrV;$~S@kwM!>4GZ?0 zT@YNYGa=T?U^UCcK*7^~22EC)O7y1|GCI#_eLz}L>O1(?xTD{5+V3S<7dHKN!O2Ha zb1RRKyDJlx2Pq084iV`T3fwaSVxOl6h~L|%$Ctg+GmetP?EvNB_xI8fMw;_*e$b9* zvdnK=NSX7lEK$gK->v-Bpf-F*kUMnrBZ2Keryaytx7|l(*O!RC^k2xf2J%5;(>1EL z|M*H+MNjqG*51WNn(-4KA|>?9t*5i7L>W(V>jd)ro$!jm$j?j+c(Q~{lMJ4vd>Plt z0Ozx<$KxY6`5_MNi8WqOW(T{qR=t4=*-J5GV?8>2Q2Rf3L6z3+z-scn*$)S$O<(S_ zVWfh>3XC{)1L2)(Xz`nF#@qMDJv6T`sCtY37>k8N93~U(Nsak`a!y6a7+F4g_X0z| zvL6*dd=eDogeO(NYycyJzG>Wc&Gc_WmIex}Y$urjLi2YPA? z@G5P1C9=Y8n@R*Htv7P-0&I-aonCiAPqLB6Xo(qd$nsuJ1};T- z*U7>~yu)b`<{tvDjBzD!=8@Rg3_}7TWvao@N`!YJbui?b8tsuWkQe(n@R}v640On% zG?AHz4Hi5Z2}lARPu?b>broI|EU{cPSqh~*+b?Tz%?e+D*0i#M8Rs3wIxH#%zRKZh zz#$L&R^ZkRv=}R8q)D+`af8ia@YeKE^+;ZNp2jZ{lB{Vh8I@G);xPp-=TlFU4&#Ov znFynLOGXM5j;mrAak0>cZh5rbM9(#$$*Ey!u!=Rb!~e*fH=G7@10}Q4$FA zSSE@~WI_wDs?tDeL}bcU++mk7%Wv%2E=-Xfi=TjXcidi*d-v{jR3{OBqTe)s8p&de ziueryr6dapV9bgVti^p0QVIilzpU}8k$JXY({`g-gM|jw`GHqk&?I`VmO0d9&;{dk z8%$Z^YS1A18Mt4KRCsZbGUc`e`k;C5LBQT_h|)28XRM5p0jIO#K=jjC0YY#J1#U^u z;Bo{c!1;tez9b}LRKt%7nJ!?*^r>&5{#O4+2mG%YBe}ZgMM!er(tv*F zbQaYVQ&w=1Y!Ez#7NQMCey7P}c98*7A~LR%B6B`p%TRO48r3oqincQeyc*UJn5}(g zeE2==J#sL|Z~o}{-f-*34O{>19u<1G(82JyN~dqH=)E6FqK0t)6Th!$3oNQf{$UZ1 z^aW#f$KN0?-(H|8dQcnsg~cpP)}oTUFv#2cqCR0EH6`2*;9^|S3_Ym*7-!ZAoD^96 z{POQ#_X1T#j^ZQ}=~&%Ld9PvXk#_{hQ4ii%-=I6`-(dmqlVt7t2Ky+)f=FBHuG`J@ zak2!9if&sR>?dJ)3oJ2~#SXQ!@%VR222L7ZBzNe`(|PAey8Y-QB4CKr-RI<%#*xXD zF-LZhlv8Iw!|fCqeJ~74MHJaGB7`YShe<>O2{Nc?Tn4*s#4iD2MYf>Dg1*W~R&Z$r zKiDutj0@yI5751ilpxl*1wJEk$f+&XaNI0n$sCHck4j0$kQ)JC7APg-tg}h~&MA0z zZYC>JSd_(u1@4U1#O)}9Wx_UG2k|-+BNJ#{0KS$}nUX3?1W{Kb4xufDVsW{w3m(gZ zpa87gBozc`Mi*3ke0<&tJ~%uPK8^-{W(Sid6*0myo+a1<9?K-C9Hm^dprt|blw_Tf z%uY-~R^!U#kpqLwihNFCA&RqGW#AxGmYG}*UW`0-=5`82P*0J9k*Cg4fnWtol` zC~id@$eMgkaXS(Wh1bs98i|lL^5b$b{oulN@Q#E4R)w_BNrYqSY{Sz9%tQrN0%Rod zh>Td4imnD{ES$;Ni<8GQg2JiEb|#2y8|nAR7701WF*=n6_?2R&rf3?Edhn3IZHyv4 z5K)U)2JBoX>vU(XiT)(?I&wIr^4%1HIVBsS03E@042=WPtrmySit9@39p7ar)-n|L zWq?qdj3@;n$+%|;Ft}WrQ_N4IoHoFrP7wgA(C7?Bq@l6+1gi%8$P|VUC991Xfik`N z(qs{k04!bPfYH`@qgZHUrn5j}AZf@tBABj*yCw{xTMjQH8CGz-G+7Y{<5M~C7yjoA zINK)Go+?Qs%%*}iK=R;Yv{Kd3XyL)o2Eul5uwe18a54^6S&$OIU<7X@LL>}lq8TKO zPNhhLK{AdmTQH}?F<1iXks!wm#EZO~Q?TUaWu1O{;826W^@K{_TUn35M>vR=% zs`asgB}s}FFfOukHQq8vH%}0MY1={%%kdMz@a_fvkaw^c>>FLAim7XC-rv~kM|W$T z-f)gTa@jwt`q&khH^X}_4?>ej4ms&xtxM*|^8`RJqw$0mI*?i0-)x74Y%+1EjyWTyyYch%a@&=}JN3?OX|opFuE>L&l>& z<1u}~zrNH}#KjnTIr{s^tqGbm8hCWmPJ0YzFB<)KsKvD_2q!EkJMZ6m@h#sjK$n%D zoA@XW-`Jk11~n}E49;bb#?fBUyEB-}7h4_L|Nm2-cHqz+)QPSJ{cm&8e$t!Nz)5JK zK1QN&l=EfCCkfC7(W_w?Se%`{3NLJk*Z3BCuP{S{ejr;sSOsVL0`-hiew#3IJLdrY`7a82B9C17?R%#s5sC0{f<0Z`|_gCON2I4xA?!FY)U zV^ulM0)U4S$D6Unyoui=s8x|@1gTfbsA`aq41KxFGg*0Bf{cmalrfUgvPF@02Mn}i zj=G`c7zQybC#FL&P@vsJgI%rAQh+%Vh)m!JU|vU(E8P%Y;VYw8o-cdj5Omwu*zv-@DfqwiSOU>2-6MW{% zIAaMEt^I?G>RfvaeASn6FnlZNXwM2A{Ni#b>O*&!kG|n{m#yf;LJBrqL!Q}mop8(3 zxs{Q2mZYWx^1wn7hcNsSwx=*oD>6Z!5fJ+vwN!a{1&q*-Q<(*?GmLFm@D)SBGpcd7 zzlnA}`cEdDVCZ7Hf~Ac&1bxvLa2Udk7x?%JmQt`Gl40``>e|+K7aAJ71ugq%>M-`m zGi`Y<#`wN?HSCTdXHl6?Tw|#CEy!a{`4oA~U}{o9BCo*7X`cbcAb%O{iA{TVnM;CN z7mQiUuT!Kq_iBr`7TXW`)u96;-dE9+9j047_JgdU7k-F=HDsKT)f7i(aG62f03RbVSOkZ&swKX)pk-jh_rqZ^iq!=e;NSzKwPC~r zLCK39UhT4kij35p=*Ju->q4UumsjW0`No}t&m`2bje|_{g;-MYA&4C*7~~xY1{PHv zBYAplJnh|--1}fClZS&E2=(x3n~iWMfD*IUlbncw*>G(O#1%>X6QT&GfN=wuyXA%) z;OYj{if*vZ0B4ao7>s&eW4z4W#X8=|tth8`6|TXi^Diz#1J`Ff`c1T4`*03kbbl3- z_~^Gidtt1u2}GIlmzIBr$J6_1k18wr4ICv`Mx0$K>=k{ujVT4=F||9cO%0)CL{ro7W}YkT24B7`QH z+A9xJ0{Pqdat?XS{3HfX!#J(T1Y<@(?DKw0hws)@>N`*M3B`_p7t@>dTZo@;_=f*| zp%?Z)sE4rml+7pbLR$9zVDNC58oBQG&L=N;D%+8i3k+eb9(+55N z?E7?$nqQ@UzEA1KammfQ@on32ZG_0gHKy)}@yFbcb@y3smTsFni^@nc(9l6~Na4_c zxDucWmDa%4*DCf#u=E-wY50q{b8PrTGE?V6{szZ;JF*=qh7di~fRaZnwS zVG09e@~Fs^LnVb(g&CSOsCEQ{7af>(#eb3GlMR}16IhfWNVH_RkvbgHKnVcidl!-l zBv;c!>Egfq8%t)S_}Upxrev+xgQYhSVugaOsV@?dNSVr&g~$wkampg@NnjDxicGTj z0b^oz3a~234>a^HlNJ|tKe(T`y^5V3?jY5jRo8*WWY8oZC`59NK@DJfCdf{xTuT|O`yK`>+^yAEic01*?1w`hAA6LTVM?}4uJ9C zLj6becTQHo&bfVYs-hALCZflJLrF;cOcobO2815tawzz*;+_`Zd>ZEu*}-S9kLNYg zkxK^6yO;a4=PJKw{Im}K^3SQcEV{N}dm4Uj!|2GHi$BnJ^Xiq9I`2x$$>fl){#8DG z^4+LmJNtLH{J+PW^y!5K{~3H(O#jnrtM9o(c%;^N&j96ri`2o#FyjVpS=u2hL6b~1 zpQT9KVLK)LjG1gduTuE6X%PmKv$5@eSQ2Y&G}pN{=# zAyx0c%Fj;N6!~9WKz-}pk5kVN_UyKOm_aOlSVnV`fs4mrSp4fk(>U^DP1|s+0DS~~ zoWcjG#6wr%WXI3dMFVJL$Wric(xqO2MWqmu5#Q5t%M#*U=AwynZh)Uesu7l{@oNX9 znduBrRE>jkTb`}cIpc2}Id5biRhBdc2bZ=^gjS7XHB5OW7{6jH=sV9b)R`Vj@V9y| zHsL}~mu@!|^olEkbQG)QpDoiE?NBsSh)M7hT*Pn|9{#|2aO^$%{Z3hTHg_=D?JttN zVA^9rvy-<7LaSt<-?F@#{9cSJLDW>n<#vl&il~m>@_@lcD~4}p&=3b23X5*F6jPSs z20QioxcNY64cQXqRMPiuPtHVeC4+oQ{U?V>?Xe(*>|qcE>fp5^adw7O5ln0V&h>e+ z&ATyOQzqVO--&K9`pbpQ(0i;MVlqIfi4YS&MxMs7wJXO!6sgzq@WDTehq2VA0d*F@ zc|>u4FMgc;&cw3^zN`@B32kD*Y7929P9kB!P0E$`E}#xqU>-8q^7X601blE>H5m$0 zlq%x;>?{Uo5;Q@=9Hn3&mth(F#VP7gED$qsOd;DY*lHir!my(Rc#`q63T@$dd9cjM zDOdawkOa}9lw~K$den`;f($x-l!@?}Tlp4-%A@;%);Sqqpkgc;cv)QFzc7MA(ED)3 zu|+P7OTd$p!D7L6QLHY?l$hI3FZ-umS~oy5p4YM-pfgxhDJtf?z`I;Atr@WWmAkS3 z|5frr)|&R|GClpjIESx>Msn`NWM^%5qF~&Z^Z?h_)Ght}JkpFes;a@luYCl90R++d z0)9NgnZ6iyAGGQcJT~zmvMvEsSWtzU5r=4_Fluo@MO_s+iGpW~YkGkAD4=rju^jPt zdsIO*cl_OND&%Dmi@yAmScwb%a`^#>i;v+abqjuL@Jiqn71N)Hjf+25>E_bBW z4mAB(LiY5Vd+7@+`uytMFZQa+r>`S(*Ewt*Q^Ri*L>oo_nZob93*0_f_pnH+6SqcU zh(KcbyoBw}B9llnWa)fh!Fl!o_Fea#W1S!cbymQp7^SZc{OX@tYJLM7*M@SKRld2G zR;1Sj{@zVNb0qu-*&730+3}5(nU&6D03-F_MGAj+;N!;Izh+>x_Ny33xUetK!#8vN zq#gkO3TRO=Lb;ZE42`|kQwE+hnG#)N2@!$JDLB!4<@y-C7CB3*FICvkR7`nPT4qYo z$AWL5+#g=nJV)d6iFAWrtJ!#qZHdz~NDmk-^`;{*RN8wEd8jSG$jchwe&yU|U-Wui zi`hLT@`Q}dSe#vDejdKRhKR2WxZOQjlUVy#2?wiWLJswo)%BvD46O{bY?0rq6Z$BFQ@UX3((k&RH}9=R@{DC{1}9?OmYp!ep4uC$$^&@QnF-{ z)AKk2Qkkp`GzE;q?qYrKjo;p21204X7>R^v7vQ<5d2B}xAuyJ&ZTSYRf|-g7*|o`& zk3kEPXJ;%=VVngAPo|;VVkXDsq}OSFgR*!!joCkp-1}&2VFi^jgkTB?(ZYo?81sav zI7Wo`tiTxZLj?7>!?1Ff32h{xV0TW58bk*m8_XaZV7TPZzC|T@!xLmU0b5=o9#29)i3wH^SMjJJ1Dk?u1mg`rdBG{6xomh@D6~EnQ6t73K{JRvQ z^KX1J6ebhIkbBXtgk1Zx++S7Tzu#xN6}fogdRLFF(;z%&p2ExTCM2jnYpAceESdI6 zR#=>_?8G6eiqepxky;QdDa%8frWNl~)=l>tsqi0Vush{TFb}?W=MnP@ z^U1sI1&<~u-$VT~IZ()S#cEF}PP>_2i5d8>-45nAz|X~Xcp(Pc;f_S_NG zIM+C)JXB8sUb{T2%HJksZc(tPFaR<;w?-mNrf%V$#&&0sO-7wYebJ@(+TP z0G2Uh^|Khfy{|sh9Q_i}#a9M{;qg*HpI(Tas5jHUh)lJ{N z()Ya^uI+9(kH)cQDO^|$><2yL?}F(O{XKiM4-@w;Gg17WF=*uJM6Xun=WX{EmFdjS znTE3L-%pclu1W@0w950TXsgo5$|{Vu?qE%VTfh@BSRC-wP9YgSqm+5Ci7Jq1i*;ztu zW$+IoJQ*-PHIjwIArcq^4xnXmL*$Y&;s+^uek^(&(-+^f2q>bs%vQBmke1#vpc)P(Bs}%qIup<)Fq8mu#jN)#G&A z_pJUfvY5|6WN>r?EF1Z~Y!;$$0Dh&^Zn6POY5qYR>O$->NrgtfSJA=_27p6q#XqE^R1U!|ZL& zVzu^iSI*FlWdr)@g~cYDB^IOt^gX(O9ncS63&cH2)y6Ny0TD23`)a9N95*C}!($u` zcTspD8Y&s18pUeH?tukWByYqar73!8aY04nO2zWfE*vZFX#ppFj$v_Zt0!ynf|CH; z>rwcl_n~?D^o5jNvD`1fqB^!orFyAx9!@m=v5vUm1sl5)6Is*$=@Py3Yb$l}H49+s zkCHvqKN#32?|`ZbQ;+;h7I({-%AoysfZGSLo%f&nYzJZ$a2!h z*dMPz?ZM%uIE^b2G8!B34nBrH|LEd@p#S+1I&r+j!5?9Np8vFu?nsW*f_197_B&*y z=#oGc|66vXvHLDs1&d16D$08Azz5<_ykJ~E5??T=I}%S^?a{#(F!$N^zZyx;juAq~~K+-FE2^Shb}n#vh@HbSsE% zm<&b8*#+sRX3R8|oh1V(v{lHamF?%I0E>!&s4*wwmXgCPrGQ25*r#C6gdKcog!*|a z)heJzh*^~17({d6=NG7mwjRUp&f*fkvkXS;V1*__b8^al1f0LZmAf1_*iiU#JeH&&jm$nU={j4#ZDvrSFz0X>b7x>k2YeY=nU=^1XKJgsu`No2 z-$ADq(%v1!$eT$b2RzY3Pw-R$Bv_u&yJ0)o8dt>;!dsFPzyM;1pRPy?{#*iUS^$k6 zM=_Z$=z7~Y^t>UJ3-P(ozGV%oDnl5#qLGnco!?;cOp~7Wqcwi9D|VQS_80I)>kV-s zHxT-k=o^Msemb;;&ba&bK9j;sDe2wG}QuA{>+zc@PJ-O_Mc#l5C4!%L@5rwvp6VR)?5*B z)I;7TlWo_VSxLEoLu2D)Yg?416eO~D8)hDMEh4+&8UsZG#+H&33cvUk zKcC_UaIB5D(Py!OVUh7~uCJO%BIUwiQEC3!YyIGhe?hi*Ts8CxSZ9R~vUPR69A%KD0F@9QIs{P%7I#!}0L}!bgRW?S+FhX{lXC&5 zQW?P5haanZ5^1p|Fi|0!?gWB}vB?C*r>oEw&u#9)% zSDVSxB@mbuS^zI4H(X;#c0_!-1`(_kY#}A3z_=VOBMvFkDHOOR5rbWD!|Td0;Heizj58n#Eo2rvSj3cP$!ULF^OUfM zv1tZz;m2`nBqcx_b&4sn;_Fbv5yO-eCjD9bPapMk0W(pZj}Vy^3=sZMR&AP<%E^G*ycn^sX5H`s%j)wf7F_Ae6W}NkwHhgCBNM0!$pWTO zrI2R`L@)#*3B{Za?ZCyNn&;t~z(qZ{*5R5$fqMeiv;Z^1M=&}AlCo0Rn8`wRDaFzW z^XL&sDZ)`Uu-IWaDoc#zFm!QeS}qjX7DOx-o}?9>%xRmcP%t^>cBC6_rv&1Xc!h55 z5}vcv&74u1D?)S2p_Oc*s)=4nHIUJyqB3}BLrs1Kp(_wXsXEN3MMtoNh7#B{O+h{h zMx3Xup)4UH9U~4w0kqjVC18e+Ge9s73&{V)iO~cz=8r@;c1TxVk@=S=fy-%_G z1ZHPy5)JQ#>Nti~A`i536t_dJ0Eplt>up^*TURDJ13rv6l@r?x796+2hsE_%0ZmI} z&1NFhMC4--6y{^L1P%Qv9`>ZU?Q>;lg`2Fw4HwI; z8(=3AhuqFUd0I3PB|vC{C`R#tWoS~4;(NBhP}pA}-pI|7#Ei^8aIaWIY`CNS{GYA4NC$p zbovM%Uc@CI z_}aFvv)MNINH0F2zG z$zyhr0duA-r}=D)uzp@Bb_O282U%4y-A4SaH6h`8Vjo;cO1=_u`V2sFwopG*^nroN6fwUkZ&ogN6xD$-#NN4`ubv&xnOI`p9|4rn=72S)lT z$pBi*71fVlh?0j6nyYbYVW0wIr}8o+bXbq5Y!L0jj*2I`dGjPE{Bkf1BALY$vN*bd z29O2}vhO}bFCDzd#5y~$1D}WT6~ZBbzDhU55Sv=KZO~YBUX}`#m)-MN2`RJ70$y9$ z8M0qdkNwW>9q(ob7)k&LdrqU}Hvuq(C8)*>;~>G(7*&lCL#K$A5g`hP^?Sl*S4w4I zBn+gX&{f2+pwOEqb~c*ObC8LD(k#FJ}w$wkOFfyY(=@;_*3RmbRf+$`mVTBY8$jI|H%dB-$$g)|LO^ zvBad2;g|=}L@pO`nzgHKh`33idyC^LS34%!{3jA5gPMAarZVUmSm}(*fgvR{*oo1- zkyHp2sQ~bE7kSqexZ}@2a2I|Atwgd#*Ab ztPwZdMLaY{Au@WK_2>}xMLLVBb$~o3!0EZ26o_C57`aW8$0P8G-_<5~`gxR8?XDnuPeJQHsY0Fpd4bDZ zGQ|?wq1SImn|`2gGi5H&w)hnKNV{ z+w1x#sk(6f&AD70w zgKPei=*5L4SOHmUp&c5^g9lb|{^SiRL;=o2=wfX@%^!wJ2i zM6=kGg>i;H*5RePsmqN@o5zkcH4X1Ordq$`job6^E`BjL(reRY!Wq4BH2#5Cmwch7 z6aNOrg(uJe!lL3hxU0L=D=U~9my{plA`h1lhom%x0{4u7lRhuto9xfM%WF!sj$mO} z;5cP_h?e*RU${;A#YZ%cjiyb1e=$%KkKakWb2zu>9UrRuhsxMn(~+12YBHN@P@p$n zP3IKdF{$(|~>BzX=WHU=@Bo@{0-MX}X?D#bq-yBHeGxk_~Jv*g# zNFS;^#;7)&e*&dm*VWBS&J8$t2F61pJ;D}P%SM(vRS$1S`^68S=zD*|Kid}7DQw&- zbwExANroYRR}Lz?M>;DTNk>e0fbIKYpGEK?a*3Sui@xCCvO z1RC7X9noGbZW{5}3GhXE*yj0??C64A8Mr|j>y#RB=yW?~#{F^?KWvb0sqOzt{J8ZK zLvDw8V)8|dL1E@fK?~;rSxP+qC>xwxDx@M&wn4W%J(1QV0)&L4_Q;1ECgixuR0toD zJOJAtVn@cW;|LhIMS?XF*DD2{=A@*NX^kZ!7#sl$a27ER@m57LsT^BA7LLj}6iA)y z0t^YI5o}Ac40kS!`8PspwY-+{>k4tnW@>b;EMOx8|%>O zS}m`_U^!WO{H|cAw#pi{$B+8It5z%v7vR%dccd{3kpM=*ci=QudoJPI2gKM9g41-C zTB0>g++@A}F8Lt}{{wFCg+4V?Xtd7q7?tpCU=#a`)@j>&YnN8Fzk|Femuggh@jmi@ z^P9g-ygBXZvDaes=m1pW1uRA${y@{S&&@L+o(RE_FD}y6%utzHPpz*vzxPH`7hi?N zN5>WAMbezlNPVW8-Ww=8IxnEXpS5(BEvojhBgjQRMoeOgf?7&GgL8AI(F|; z19e3uPvHPdfLK()X7mo4w;|x^_0kT+jTuXDyVRvom~L`Q;M{>KY^>netu6>Es(6_7 z!$zVO@-`Hj@|R%fXw}sEBCW!|BF*p1)?l4mG-AzUitA*~OI~g-=35%Ij@1=j;BVf{ zme&}UA4S+OCoqnMj$ug|dIDtmI_G!RZ&+MVk&2kSGTkGCLTiNbvO?XcHONYCgPc#; z0G z@Blt84|5cXv}_R^>6;J;TU%LFL+o9*2Q zUiqG2nEwJ=YZt@!K@>#{L3Ddtf|EXpzvx>5M`BrAE-g`FmItA0#34*gp};*O;50tY zc9F=lKv*ZctY+!!<2iKruVG$$fXe)%9vl#UspVRDouU7_n)0iEE#)7H7P>6VLg}P; z8BV)#dFdE-FsVIjdc4ScjiTD$UyE&;kHTJ?$iU!?St*S!>}m>2178Hv7RhPkF^R!~ zG)*#io+-;|K6#)RT%7^pui5J``GlCV~C^s=MpnR^QuQ_q{7!>G>Y1yQ@x}I;YO5f2XUf`%+Sj`x)?h&J9Z#{$yQE zzWZWjYF`>#mf(6d-FhV&YWNH+R@kzM`j;1r9l8wj_SUwufsk^rfYKOK$%yUcYMDrXSj=k|N6@Be#i`X0#RSzl)sl>Gjz88R3?e!;W^Xkun zb*|+cR3|l>#h;AhOfahPjFt`UffMSt6f`T+4XfN9YaeLxx}^kN{t&;yf+vVZqZOMf zcF2|h8f1JK7+}_W_Xcxe-Qc}h;yh~6f?%QH)-00ZhIL`PEr&$;tY^LNFd9Nj#bPZ; zpFykIG-zV1QAN6(RhmlGY3h0ALIEVktMAi57*UGv+jbU8BfbYfSC?U2ZQ;HS%IP`S zqt;kVvaC`YG`L!Gc>)0>+0E;`mP6YLXSEQ9aWyj-9K!Gv2dOqul1hpp!=t*Y0LFeP zDr$nR7T|j)Fw2}lucPgNQ{f8lzRuAZ~ z0*9oNLopV2r~W`)*oep1P{FW9nPz%W|{EM0iV6~W5F>la$)!Z)Gp$HFj}m^SM8 zm(`-QunlJU1@U$IfrDBCB^A7qa1^!}_>9)7^&muq5})EQC35P zKGS;)JgfKWq~R<_b*uv*=LhioZYng&EQPS;Chh3~$vBPqfuQkcmt+|+{PI)8?qMNN z+{H8;z@Xd)=j63fT|RAXOE__1o!;;pdfb#Iea1 zLpiQQFu2Q)(cZ5zU5+6K-bbsfpb$ZTj$wkN^kZYdA_bpa!s?}lifA34AWB^aQhu1S zVY6gSM>7W7B_OyAt4-yUL0hi|v>t^v1Hb^)WR4lQiFB#Vv}*9zz=B8d!v=>QRI)fv zvMMlG9Q<&k!Y%Azi!Q?oGcvdiSHtHoW!yL(GCWJUGT1=7NO^oNX08(~KwYcVLobWR zu)EBtwb?^swL9Q)Ua_d+FQJVcdyabL`n%Y5JYebhXf|784OQDjciTjZY{At};6q^X z__X5_d_Yh^{R8--m;h#G(-$1Jc$xvWQ%8a@;#ayhK38LW7>;ARGgFND}{ zgkNjj9;rv4_X1OEd}UU}&%P*BE4Qz!rGFU<(84NVVR`vH{L0}|qqz3hL2&fT=c?A! z3zpQS%vk@niaIY;5$l9*+i+J>An3SlH?8N`dWBxWlmot{Tvfsra`Japjl zgQ$kr%)BF*GdEADIe1jO&uPj3{1lCy>1I`*K*Rd8=d4gb6*S{d#kz6PzZJ8z7)ED3 z^p2%^9UmrIQ%~Wq#UF^(`U_(ANKHm#7_(gM_tw^tBqm|b(+8&v4I79LLxWwbpqq~# z(AXk<=>k?v4)QBDCRX^_WHpC6dEHg2xq7QQJnq3y>NTUIua6-kJWiQImgD1iqwsh1 zRL#u89C&!^fE{#SfkA0klC>&fIAV(=U@%wkJ<$ZTQXd707x2ZUEqs+pNUbGO+A#De zPjF;5c(o9y0~*bF_#kf5DJ=`;x-@+q_e5-!#&!;PRuLDM4BF=`oKSy=ZVxpXweSFN zMx!Odbcx;Yh1d>L0nOK3cOB|QiL*si4~(`OrDm64C5(>&)C_0w8i0Zkmyl%@ifirb zrrR%LBiBtaO$0DF`1U(|EZQ#vu{Dy#hIwsO3SUVENf3s%k7?}GNA=I*;jvb)hGy83 z5H`>5JvJ( z&qiwXnb7MHo(O()dh7PbU%C*E-BH0QO+I9=E$n05`YAIb4__ZG2<)R@h;Pjc4by(= zr^2uLxB5=$Y^sI`8lD;m4#l3W83=GV5`+s0IZQy(>P0106AoPHlIDFi z^Q8cWdIUSuwZaCTHKgMs)w*@hc{KsgDi8yTiSR$+4*Ppc>gb!`lRx|m^Q!R=W1@cd z<9O=#_;oC~Rpr%xKgQ3?S8AJ)Fdsqll(R=hAxz0x2JxaTiP^|V=Md10R6u;${{fko z{tEoBw;fVncxWG`8bYaDD?bO@d#56 zYW0O@!>dO2;Qk2{yya&3~H=UQu{9q|f< ziB-L52Tg=ojc)UVVRe0u+_8Ewvm6i}rIw!j?$KgI^`QncBCN4_|t7EfSZ0du)PIZW$Y0cJgfYUqjW<^n`1XrxB4 zbORZgZIje3o^mi{{e~RMFCrvkb!rA!Qfg{&C>AoQC*X3sn}d?1^~7o$jY#@KVz)maPgt zk??A=WI02@Z%>}_VUH!52v5_-_-Lz1OKutP9pV#=9KdlkjuunT!EpqK(DQdB-4cqV zpsE0f;s$<=mSDxk>S$p20<06uJHmvd#d@iLx=MHj>Z-g7SU??XW56X<1$TciLWQ=O zK`MmrXtEhJcMoe0T4XstPYuzRyufvOHnCVWRgS-q2Nng`T>L<@cLjM`zM`4|Qcn+9 zA!H|H<4RA7?Arzh`@bb&cw2%LFeIvgx)}1bS_mc6YeE^|72pD~SZ{;m5LSx0`?CrJ zTZfUoW(3(I}2Uw(OrlTPG zFh00XB%ZEdYzJtS=w=wH2Ha2^WQtoLg>4ZgOo^>-iV%z731YO1cm?_-_u^@ZqH0o< z)}R$Mpn{H35PO4wTBT)RSU(H@3}JPAHp zYra@b1YbRSTs41WUYoat*k9zz^3r8tEzoK+$8dR}^5bjs*pu+gOqu~QMt!q>l0J>v zfbM{luVI)XUBZowWSA{bHwBmq(DJGAD7xoA#?fo;4-Q4Y`P9Xq{LZ_urhCHy^|}jQ zv7zdZqe-owi#@W(ROr#DjEY{X>a+iRwy3-C!tJsDN3FKL@)X=b{`^X+)IVFj1my~U zrXhFglWR$p_SRNY2WM$!#NpH~H(8c7$Gu=(+|GLd#n%5{t>ha&iv3}Kq87jY{Y&D; z%g%1bGcQL?{Wpxa6X%bsAOA;VJh&(YNDa`8~+Kg=wt$D92+=STc?=dA^ESl8)IEuU4krgLmL+4nh_T zc%lnp_FxkN=(?&+8YJyDn1aAHT|gBP$&#*UmVXUa({@7v%#0O;2JL-VK(d9vy1kt( za>!u}VgY#yI`tgxTEff}VlYFn@|JO=AX#A8*Xn{`_nk79>=sx`EpY&Wc_REU4Rt*P zHMN4`c5pdC!&L#>l4)gIw&7P=!@L1JnUfrZ0Sl9A%%s5~Un+|sTs%Nchxj%+Y!U~d zeU5UJZ3sVm1zcU#@z$OMwMjeO08<5LC$`X*4t5LKgR_Bvc5MIDFWh*F?*nunv=yW* zN(NHTT7HJF<}5*o(Vdv&b_>`tkS8Wg^S)oi@O{qWO#Gjp4v%m(j21sTr`5#Gvb_2Q zF>6cX>d}jSA?<`^%$R0Xm>Un(|2R0kdGzl^edhQj;o*1UX+!Yo-+)rTVCzpv`u^vK zS~F0tts@~Rp;O639tqNQI|!PVc^t7Uw$G-1tcoez(H#&_dS=*^ZX4zFWB)p1gO{f#WB)FlWjp<=-p-=Q z>Me)Vlv-(R0y(tAy1N24(#SS{2)lowtcmzzqFNo##^q9$CQYTg!OaMUjhhhDKj89y z!`OIo($!_`pdNS-#jzmiwd4XTU^l11rYUQOFo2hSP#t7VyP_8zaWrg|Rgu)pKTXL^b7C|H+Y_Pz)-LR-spP;Qe7=BUz$jW2Z z;DnZ@S{twtA8`?8S8)<9|5rkqgS%w}`)EWA-U#6!SJS8pT-zQM#xcZ)2)ZBHA?6=6 zm{}viRcrH8n{(#J>KAP+nbo^=aAFMI?|SST`W2XN1}b}lTytn5T09>P+1V;I2d>rX z8qrgDR~&CGU&&^ag0Yp7#eAohb@+Rx#TN$GjDru)5Kvsv4mE+^+mW<@yi10Cd@E>r#hq=DA(4Jkd%;9oA%Je zc4g8v!QPH`T31L`3g{k7_pgeopNxZzA6mwMa;civ{}>z#{>#}>_~8|aRvtO99NhS; z7gx}$Dg9^9iOeg$j~#nc_(bOXO>345wOti-(UyJk zSf;Ho0}ZlsK(kdr(~N0#)+6|c&!h>_SSi$6+Eiw*PrfJ z*r^kNx~z5OtP0+Y7Ib`4-L!fusvDp1f@`HEsy~h0BLVnrN}=fu6;Lj(Nu>e0PhqZ; zO}rem72+q2m=m{Xp}|^1Y%n(p7MWV;jRpx`z>!Z#8NyHBVC#WJ@Io9c2ehVu49sO& zXed`!J}XWtrDGYYrJxr+>|$^h99Sk6zGr4O$VS0-@ia^(6Vh%AOy~+4=EPTrnASok zlt7EmgqE_{aIRQw^aZ^lRyTZ_`2sw`nx9vp;5TeFEH{o5 z^c%x|My1X(j8p-6tsRAziHU_anAWHXO{=pl36CaDggQQFznBDveoidVyBJ0({3qpa zAV7t%q^s9~(-`j!)YJx%U<(PWHkl+n!3w+*9Zkpgkq8D>SZv|PsUoI@D|wHK^)V!1 z7covYs~XImex`l$n>Ws356?IrC7y-t?q6W?m1~Yki$AE_KM1q1Fq#3jRkATSP%RxJPg>qW zf)JxSNt-}a!KyreDrkadqxluQxOVLujk@S_5c|7#)zw4S%iH zu7u`2ZxN-xe|MmQcuC#%;~1C@hLQU6Pl+1)FIUDI9+iLz4|UE7v_1yPwRI#UC3GrT zVKS0$=YG)obe+~s_A-;UH)!>jb^O}s!z$Xk;_RBf?}h5nBej>P`Umky`Oa0m_wvN# zs^(L_<9`>L-fY?__RAHrxgm!mefaQ+uLrH@A78v^X1)m$+YWA8v&7W)!Y$nIFlG}N z*sfH2Y9h&wKq8XGDFbxY1rv;^sgpMt-8@G}OZU%iO{&W~CwGhuvdUR=K+G*hXQKewe z&+wgPoe)WbPv{s~UrQT!@Ra1ylL&s_9i&x|Qq<7*4&wO(K!;5@XlM}&no)qBO|Cp+ z{@W8EYaYdT9AEg+==-;mR^Xd2#1iNLt5$rxF|sY*w(CZiilEm6yqqny%e0{}pPQagQbyBG)QLe*Jv)=wwp`YV*%wwKs+9 zGskX5VIEf4we|zR0R9@S^>HjEoB{~*pz$ir09$ERFNy^qf5Bl%a4`($5{ej2nliw` z$A%nGt(%@#SFirBfr>v3Z}8w3;_xQsdhmZT>Xv`P>g47Gew6J6WsEw~9)5cmX#4=F zy5yQz&HP)G^s_Hf@vE-^$&b7gO1*(PD*3G+fGSsnKH6$#pg}Uosa9DZCE!tub}|`+jKr)?2=v zIJRZ%Se5b3PvDlif!6ZyC)J^Ed?mpCvvZAFSh-ZS9{AJoP5lo68?C0cTMr4d^wU5{ zJz#(#YkZbe3af0E9}b!O~mYR}W5;tP}*> z)8gY0y?J(g(AS&X&mc;qFNufG22F#@xg|D0@jw8^AcFZWs0p?8NAmn zurng96`VA}8eeAg0?{DbM0>@rL#W0fMAl%!+hW|-7zGQlC8wY%at}aLR~7<4SA&75 zNjiLCLPLoln3DKTbR+l+Cy1xnViV%BWuq0RmTHlLOIo7&dI@-nU0q((cu5Np4dfmG z)L94QV;u=;aCMe;pogjC{G{pBG@U4fmvB0WBk95`fm$NE90bWBYyF&E zO;R7lC581YFq~`7HnmfaT|GgVh`@q_aIyP_S z7k)NrU8S0UB+yUMf%-V?@S%fStNI)hsh?lOPFXbP824Q zqh^2>XVDA}v}oJkl`xW2T9*XF)Ep@hrGSkIa@{zlIZ)j(eyFKGeU6HbeQr@38H<7? z{D#E`zN?hndq7|Rz;hGvrTkFh+I@c#)f%rlG!wq;H&pb=w@2FiJ$4eaTw7nnuaL$+ z8>}Jx=&cuPUu`vI(jXb+lw{d8Q@Wb0Yr!~WZ<-10$9h+GG%)>7_ ztRCbK2?ytho_X_OW&Zv=6RcmPRsBJ`1-Qs!JLak{!zRCXj%r0$;%=sSL(|^vahLnl z124i(?sRAR;ioCAgrXXlzg$~KLed?978_+LKnYnpW>mEDY|Xu%3u<*N4i0O4Dd;CQ zYUAJFt;u+xnty~LY-SeoqYvCRKBrrgSd3V`AXbMtD*)se&9RDpa$+t)Y$gt~jqHn) z<~{v$?X58?8F$OJWj+r-v<|Imjd^8~c0H{$NIigyzM@o3a|m<@VZDWrR^I zjh*s9#r#76SVk+@BdDvx8~~t=ELsc_0yH)Lgvb_~C;Lb>h%$4a;zsT*QXdkY0rNPl z)hr*wuc+?@kbVk5;)UiH@gx+zF;qXS6fw|B0tT%8pmj1y0#OBAph1EomS|7m+T+g1S6u2a|L|HB+jK|Rx8Bg@ z*t?W!9Y0ImdDlOwrRRPHVqbkged&46wPmVe$Jf-9YP=5*N6$N|%=6zC;Ag|&NX@;- zV8_V&VY2U77V;@frO_YO0?lZ-wvL3Pgq+&tCL?))yTx!?HVT6=xP{3WP2!%Q7niQV zkA{7B!^r=6Kn_3rJhP}_oBA)s>ZLPB;>LCNjMXljduna+_(QURPE-wtpTMMW{s9%< z_f~!6!S8)gf=`{Jj(zf7DA0pfM0KuF5!PRcO8&NP*S;pUpp722;BJ?m4O3HgqmjUV@W z(PLM1pw?$WKWTNuE!up5MLfLnj_oSYj@{Z4t4)9kJXuAg}Oetua8fkn2U?s#l8ydr+ssqW#QBW}!@RAkPSO#uGJWVA+wi@0U z0-AomAtE>%Z%*KvaiNjsn6L-nrphv8Jt6H9O?-)ClWjgQ77=j z4tVOm};xR~h|c#bLeycNIS{dIhva13um&b-+u!#BFtFNR(> zpMwXBKf!{_(X|7*7F}VtMpS95nS%z&Ag6Y@$(W^J%Ob|75vZkS#Oh`|e*3_;-h;vD zg{pQZ)>`W4;q{H9zfdzP{|!GBgOcf6{yC|rth7C^kAD}R1N)CJQLSk#q&AjsQ2Mjy z7+dSD=%ENdY%yIBqYt5%pwMH+Nfq?l>V(CjE_MDxl@$6b!|>f zZ%VidWyT*;(X_eFGBMFf?4_#a2dxdBUg1j{c*AxXOb+6n?;}~hcc@T(@;oGA>;Auj z&L?hgBV|TXdG#tpC7104dOM;RH|TD9LDjJaAp9;8+Cf5e$!FkNvBxc2ZLsobUpPq; zE6!-|!D67I1-Kfsf);7jL(>$a5yR2M7Gv9;A!PqROy7nJRGf+o%dc5@V{oD)W%Wd4 z17RO9_ML2ljIfyE!4*%8s&qa&nuNj3v0zjCKCzI7xma@6q~Nf;H3O9CND!t_jU!7K z>%`d4Zn1pja0}$5JTV4CF2sf{%!0rg)fD8;#EJ?ju^hI*!jJ^lyb7j0M278Y8x1)owM!69QfU4-KV4s`$rX7cvu z84*5#BcD*jkfanK%87+$V^%D86||UbLU)LrvN{o61}JuS6(%$`ud&%vDS#8}p{*lH zvJU6WmN`h!gJd=kUW3*!Efm@nfx9#7NwDM0mVFw)VbHK60odhF2Q)M1#-pr<3R7|; z6XH}$aI_N&m}-OKqve`BAU1GA*0{!}7-xYGB20-8f*=O62&)5CFoRAC=b&TwLk?R3 z+-N3Tk+FA%uo}BK**melwRI$xQ&kyuD80e*)p6h&;qlT!P7VwxhHI!>KnLjx&5;wrMHzBZ3V_bL>pq zdlaa*Ya8tML@tft;>LT&z<3o{;IK6<^n{YLDTIq#TS`_(r;1z*`guI9(F z3G(Peu|8VIyC#5p-g&b&-#lRNz^k;)3}Q3lq#4N6HjHEx!bqnb80Y9F47h|MCaFCV z^@KnpsWyI2O>MqT-TB$(*rBBxe@ac(zl5RuHFvAvdDv#HzaB`;lKDXNSuC$C{2Xe% zi52wqr^LGUFKI~|H$E$vSWotCU-s8-cKb~X^7BAfyZjpzmDCtwJC z<4iq%fokd(g)RF|Li4p~ny(4}jk0p%@m%rdIWnES{gU}P1Z9uiy3gSt6hfG?g8Ts zHlk1Cz=$1bwts_cI9j~p-bk7{U-iOoz7NAz?Imgy%YsMm(+i3E@thjNB1x#Ysd|R$ z30Q;=U=vmR253W#h^d4+M$K>)@9To{&|;`IBi?Zf^F1$Cn`(j~g4pvOIaLoXh9#Aj zQcXTw2pi+cP`QM*WGh>k43EK>O>DG_5c2@BG_ZKgU4HnLy9_km{K~?tAl=E+Yre>G z5B%!N)r+%jbqh!}3agq%r!xhuG_x3BC*me%C&X$sJm~_37YKa-da*S;D?|if3lhNJ z#LGr9xn*&*lxUB3pHkZk4Lm@gbVGi23n&9+An~kPyfwtuvO}}Vyc??sPf#-|cf}2l ztJ>YzI`(X`Tnv0Xsu<7O;iGeayBIcJ4a4zUC$M=D`_n~z9;-PgljeGoP7fag^#zGx zoq{wR3o2y7iqs5{sUtzSkbt|9gp_+x#k)1%_72={9EY3p;0si}{^3m}A2|O|>-yPP zJ@|Fp0e<{kb?n1;s!zQk_N&k63x9N3P5tDnX63mj@Cfmb-lO!xR|idf?pbPM=3jIm zt>>Va_(s6Bu;q<+dASFfL2O2xGy^$%WE8@b%np_W@uDp|G1%S;uqOsep!wDF1BG2F zI{sQzd+hjF7+n9aWAqf@|)YRgmv%p$y?p=$~C2Kzc_mh7nt7Kb~X?vXpjMU)PozgTA=yWUOukm zyIAgSU4WYS9a|exQ+J1=?l>SJJSww#v0*h$}oVH(l-P~=>_2zaPXZaB}x7;s3Wk-2)7lZC4^`QOB-IMLNQ?E z88!rIr0*hY2_ORqp7?YFpm{>~8#ah%0SpLffH)G2xsO8uc!XQZ4&ITP+={SYdJgI9 z^w&<{s01uKaqK74YZdw&?^rP4F&|yqwzavKB}5MgY>B)@8&G0O=Y{I9#?_j^iPgSB zuYDHyWkjgq8n2a*v>2rfJajfi+2kN=I`d)65kPS0xrjk;Fy549gqBNxmP%~10v`du z6TBd^p(Msc+E5xet9PuL>bSyk3|vVMFfq(8SRyne#@!<#4VW&p2Ex3Y856jq1f=tW zc2+-nU#g^NrPNqPxKSM>;mqnQ8dGX|RjIEoVYq!J?)IK*)MRtkV5MOLU5V|=2Yzfl zj$i#tp#0FPl7}ZG#9gIoeFz9Spl(+uWszJO{|2Xbc(P=M4xqgZPQeSBy_|7O%ovWkxZK~BWhpl*0 z_6F>-{laa}RLko>A^0`TU`5_EerWur7sFThIBo;$zY(dUt#hn`M_(F-ra9-OZDx>6 zu4W)-kBmZ?lCuor1zYMOhWX0C1V*8-c(#sr(PzFLsinoSD0(eCp+op)_d0%ty=LA7H{mtERx_N5f@4|DK4ylH~x2TQ@r$7@>73RJv$2ks!RS|U~an%VHU`0`|qod8$)KEptR zCBVd|F^QWi5I&mT7qhla9V~Ejj6@JMaH<4Ys0Kf5rnZcqEkz%WT9+zK=L79GfjLfo z@cU&I?Y5HN?hsJFQZPv>zI7DwhFTE)O&nVW;RO>zXZS+a-od0=h@e^pgi3RufVncm ztY~29$FAQ;BMMFgFlA`jM)uEX3q_4Cg&xnv2E?#IZJ|p*Kj;DkW&#A_^kE*8Dxk@9 z)>5)k2&1S*+RisPzSa_pM;c>T?MkH5ZbJrBB_9eTI<#0?HijBkCRx?-rh$Ui3&KtB zDp~-(-dqJbA;4U~C#qN(#^^MQ--L?I_MA_J^}*9_)Y_i5D{+&P!W{vn05jS83{lOs zW9OkEOfTVejrF*$F}$cN)*F+@7UB?VR5kT;Qp(CEYM$Rbc33Su4dWi~S7ZWZxYXhm zK5wnH`r5J`6;3X!aE(fs!yjx`+h7edQ9ra&$N@kZlo>#kNN8{n3JGwnw~<;-mbm9h zEYHt86b5?oPt@vF_m8RdJFsAN&pX#Nz7JT7u031nqj##{Q_c0BOmYdW-@QZy4_*|g zmwh?zn6V@~^xMsywou?5e8JHZw@7UKe|06hhuPJ0M=* zZZ{8hlIn#8W-JAB;T#^)*=jiWHaOECy&_l*&r^}*{&(jFmwX9}efl=2b!nnuDL0#V z$^V8bC9i+LCYk&3p{65GB(q$+)VvWdbSEn~^@r|O>hg=1+qK_Y+s+13Eq`Yy*if&r zjSk#Jx&X+ag=DxXo_`ho!RpPF6P`mb8(TZCN@2%xcjL5CqR}lF+cv#-{)rF9}g+p*4Hml4=N$ zTx3eXwuLNGdL^$h?D1ub)j7(x-EM0Ka};|s(yOp$7UeZ8^Vwhh<#h2IZNRn>Vtawk z60U$pRl60j&u5tJSAK(6eHqvmZUp-THyg4>>^w~dR7NwvVmcCp3kh8?%vS}DXQ$Zu z0~+HN9!dpw;{yneq>2~Q7kQ#bwe?-h5tqLtdGer%bxI*TV^9|}m%)F0akA7dKo(U1 zRolf(Yp;tvI?JACg6vMLGg%QsF{J?WYZBnf)nI(O8;mJ-D>R`jsQIbrp*YYiB1ozM z-zT)ZR^EgxH$E#=k6vuDlA6$v0l5PjoM8V7b>XUk2}Kns){!vdkbv$$3bv4%5o?nP zR6xA}eSa=kA<_>PQZo5Gw7d0uHLjEWWnFTIlQY=X*d<|~p=h@y9P^k3BR7(y?V(y1 zybc-NuS_YSG2+dyl+hkYWWQGMw_Km-N3#G;(;)i{CcqRDepyU3dlgr&_60zltOp8v z9iM`xa1e2AM~+3N_<>bEM{07hb|kF^-Nx+Okaec{fOE~7t6x2w&GRkEv4KtfE5PL% zUVt5|E7Y(dZ>zy;9?m3 z9CjR86|Tp3zgl! zp7|G3>t^;4resRFk{M!^BzoKMUO92js-Muxyb;UBsV@FylZTUmYFs@lu}Sx=csi|; z9ai1bi`dl8#e|_^mNV&hBA^-ZE+>~)n#_^3jwICU%+9^YO|UE+p!$6xDWyu>G_Bn6(X5W z*O|QM!?235kQ{}fWkX^9v%9Pc70(U}#A7%Vd6mzN2EE2Au^62!KZlxoNq z)2onO%ayePVK>ku;rxxrAr4v=Fcta%`pX9Wbm7;i$j^dKue=zvv!VG1wwZSn#xuxK zK$DglQ9XkLP@98+YGitQW+{vJJ4r^S*)$U{S%BA?mDh>c7h&C5N|Qur!3K9$E!sZV zN#PX^+a~HxUt6f&m(@Mz-okRAX|YJF_~3O4CJR(vqu-lUb_rfS*^9RI)OaI&db&@q z7f}zqn+@3yIF7bIHz;`U z=qp#O3Y#aM(|wJ~9Q?G>23wWYm@!q_W+ltyYX)-m$S8y{f_<*9$5z(KV)BQzWedyOnn4^;#KHz8^1U_o7NY6&S59BEEl zSDDO6k|9H8Eo(M4VepEAF=pwFwvPPECYJQ!EW`7&!1inSTos?-E;en~Ew(53h=++) zd!!BtErx>a2dPh?1Z)SkBlPsBlIa$WC)rlzCnI6&N4twua2gE6&Gsd-l~Nz#>}L>R zTkQsN2#lwgD&3MxaW+Ty9vUKJt`j3}aHx)idI3X%a?s-{6p}mmI z#}oTj^Pha|Dz=0flIm&sy7Fps2W3BEGj8RwcQ(}wnmt?jo&vL{O4D~?B$vbFVqTHl zuv0#EkY8ae>w>A0i|&$*cG=jD!GpQ*(4ljX)qYN&pinjB7G4S}v@sybw6)6?1yTbF zMSC-ugk?xeT%GY8c;hy>(k5OQVvc+AsWU*xz0w z!X#MFa}77xlF|0G2HKy_h=zMLr!Ia2-AK4%#TL=X_?RqEa%JB`gZ#Z@->7Qdq?Wr< zi(rHI>H)l1+CK=hu)S*rVDQdy!gYVwVGXHRU+K7z)_V>^k}41q6vVDz{V2X4dl<>R z3DxOz^QeSJN!0l4`N?npe)7%B+At5x<&;8Dg0n;Q@E?0=tFyek5^_ow%5FMI7%ted z3x;%6&{eFyTp9J=aCXvs^pePK?@t;_6*HuhaO(pvvF2|)_}#I{m8)GLAo=fjO|Jgm z(R5qzm&&yjkXsU7_XwD*9JdE)b zxE_r50{T!=i0CM;qH*%+eW_h#Yz`SToeeI~7Xd$u4lCLAR!rPsOzV{S8_@FI z**#|$6}&7Z$Ypy|O3gdE6jIM2i{ff4V7&veuY$tTVp3Y#K%;owS!fkBBwVaMfS)eI zq`-{$a9*#BFygf!;ky041YxPUYMZV{>OflrPTpY9uK0*BD9eGt-h!mpGTJ?rW>6Z< zR$yTrN`@R_nonC3hfNc!FS8#vcrgU62_97s_zoVxPSrrxKM1qXzM2762m83eVVg0B z4Phj$0>dzMa0x{WNvc3dkc!n#>@qsftM5lj{qek5QkMgH%{_d#QqMjcUkZA26dKZ7 zYiCL!E`Ry2aBtYecdvr?v^@z&>Xb@LmT#XqwR02&lTmgi)^YEGAze9W&eTC#FzaF3 znp(IS^>o9UL6gXWc8VqTe5)30$x|0 zQrZ4inxmScH2bb6x;+9cxa(sa&DrT!`!0`3axA48MR#vChO$D#@52ea$f~zxOCqY@5W=^2HrR=RYzeQ*=x?Q}o9h?T_nvYQ}yCMB!3O6Nq&c%Vh4}hIG zpl^eEKF<3klSl3On4pAWRf9paEv^LWvBabLwLs*z`!kffyP1BW1Rhn7<|r?o50!oB zp8610tlvpU#`0@Y@iF$)Z5(($Oc9;D@+zEJ8?Q%J#Kp|g6AK1WemDZV|Tw8LrB)k%G zN>ZLCwkwma2}M$pl>+V2UF_ws{AL&jt;fdf?`G>BZ6nXViCnhkeixsiq6#1D@TfZ4 z0n~c*Br5sck!TKUiWcXRa}e=Bd|IBiS0osg;$i2LbJAx|ADxNNN`b zj5{$uHK4WFKP@m)4muewkh8XxP$ob0qdAD=z;GB24S75MIfDHXiIV{b-vUn>h8<+Y zS0@m-|$}pTua2VF7;IIf>OF@{L6N_qA2B+|T1h__ZUq-@fC6L$r$JP=R zW?sMCE~!%r6!N#{$Lgk!sYdAh`e^k6gu5zs>#O zQ61@}w{E~L6Jsh=N1mc4QYz_1?eKP6984NGkLsIacHPcVxPW13|2*|$akFO4umv`X zP6$kAtUQbcnq-%ZPG^C_6;H|VCKJ$X)kB8X>B-l~4lOFb(%V(aMpgqHA-X~x?!Tz! zP%YJP<9^p7ZbNca8^x6t&PkYYfaZw`vzhc&@dg>HAkeho62>m9=Y?i_ORma3sB~@o zIN|G^DdBYT+aT?1!r|$MU0l16N1!iarPqxI&mAEV^@0d1ikRbjHvLqtNk{9;Pre8D zVK3~c*t^)6dfl}3E_G)3-V`q7l@w5mCv4k&#G!oxLL#)rcC*iU0aQb9SQ1-!iRg2;$zOR)&iOxp@S+Q7?Y21&pfP1oS1tn|xuq;u*aR;B)ov6zQ z>>KKmNWKUMuD7o0QU|C@AIH0l5tx zR<8?{{OZBmDYq0>p_kxiFVy#@!?SXWMU9pgHKvi&4}g~+h-zJ^>ly8;TxGKG?q4}j z*%nIALe;pJQ0=Glj5-tuZgSalDXPy3H~QO*^v7 zA#ceVLpIB{S?pUzsdXmp6o_MN5MsmKeK2PwGON2E%lFebzB3lXqhjMqKw}?O9CvzD zSr^PGx?j_wtYk#XEE3+^*@EXYba%Gfu);WpSj_;lQlP52Wgg2P&zLedH!kR)ZnO+n66`MjuiMFJ@G8OT`CGU%7NAb!KRb%=$zp z!RdxgCj;q>>~0tfWuc_ayHc`d)93K&p48bab&U_gi4E?=DR4vI4A-b2Y)BxK>uW3Y zEkk;&Cpa+db#@ikp#~VR)mR5Z6JTGG86fBWST*o;NFDK=uHaFHAHfgON!H~kOwi?O z+dZoON>M?5Z@@Q9X{|Opp`j#->x%tYF)(^r)hnF2s`5NmvK}*0rHWRl5a| zY@YV>sK}fxSu>FFGK|=2$S{bv;89u9rW%Xa`tYbSW?8{XN^# zbg%tj(8IbJH=DPQQj`|4ooGF(t(u`RD*+yrQtz47!I6o?t)YghVPK@OjkJK3O!_7m zZ=o4ms9k#~`6z1NJO-J;!&KHfS12Vpx%pTwY4DM2z1!>HWrL&eEd`t^p#=&yBU{|@ zj2s5kugN0WwUGq|a5ZfnSfp)7b|us~%O?W0kK8e5q@?%d^K`@IDg0x(8HUUBDbW4P z!b7=Un~cY0vL!!aYDcS;*=Qg(p044zgaHOPQ4qA?#AZDNkOQ#5%Tb0|58)H=s21Q+ zP1vzL%OD)%&k+>Bny^bbsvqp zoKrg$GrqPhx{c}@5@Mf)gAJz$-LXZwQ^G8yx!7m?K>W!1pyI3c7z{k76lry3%PD;o zN6u2suB4}iG+DL@r9`rb@3k-wwb_IhuARJuDonL8NOh`gBkiVbMv{u|Co8gSH&iDE`o;qGG;S&A zKrKkB+@#Ja*R8~*yqMTHOBr4>)r1jOQdbQ?_M>gajm!uw>Q(r};t3`lz;QJWM}qJP z9Qg!?iLu0FR4eBdle!2;XeF7lVrxo|3FhmP?<5u(Y{wvfZxtMOJ{GWi(g zc4@mAXMGP6^dOlHgjc18+@OG$(0qh}Fd_39*?bsQ)3ER5z~o|;5lspz=h2Bl<+}a$ zfj~GkM5`J!l`s}Z^;yW||I{WsBOn_XghksaMtSoOvM{Nyq|-*9V*4O(CbT!{g+4M3m=sN+If2^pfg|+(E<_{bgMbV) z47b|KVmoow2UD(Z!OunEhkN<~HUh&8EOBuZV%Kma2uC6>)Sb6yt_qJAo zc?_%C=9jPw_JRrQe+Iqa(96g=j~{}{c873O@dHcPU4@K08Qn9VYWvDRklM@*iP*LZ zIc+m;SphTyT`7bqIm;mK#JU8BnR*v=efiDIRSo*np!wf}SbgQgx?NLrw`Cenq#bt; zt0%&Gt6)e#wE^{?Mu4O<4W%1@0WnN)D&)j=HW20=ApD}>N8m10X5NSA)R<~EW>9J@ z>$PE`h7TJ^J3jExM}nYfnTi;7^;o!lpd7fs?hIo)3cNyhPu-4X1==5EbqR(cR`8h# z9rrI#GRD(UrL&vu(~~h75=S)PD^IB+nV6!p09D#OGxZakg~UC5hvP@POg7S$TZ;9vxRx zN4|nm|8#OsoaC8^YZ&d_b_E|s{n30R&`su;2sD-|#|(|MTaeaPTbyYJdxTO7DP(0e z(i=2|lpdT;105gUO)KRJD)=p00miTmR{-As$S9mkkRf>8F-vup&1;3Ivs8l%x2XPd zXJNYYHW+W~Nm8)f;k$AO8DrO*wTXS3OR7gTEZrx9dDhCbBRe0;39Gh}Ef5#&0AIin zF-vDZ&~TtTU;jh$X+pl$y2ebdoq|tON}t&Swdy|{Ya`E7=7Ootoj@3+7FvA@?Tg%b zRLC{FHLAO>*6QerEL0ZVpp;YSYOTLgv*B~6LN`y_H7X5bN9ai7tbUMs06HoN#g1=m zCxXL%8(kydxHIfLDrDL1H7cDIO-0u%sriRGn+VI+1q|%ahy$hn$1_YE|6*znlwBQ$ zm8_}RG&Qq=v>N!bE(x7&$tx?D7(}cQae+q_>5LQ}6*lMrn~^Gx1sX@58xezr7Cstz z>`G zA*aW-@7$Zn;j=Z5kBQY+FeVxGKu$=6CzcpVqCOX1bdE`RL~Qa5M)D>?c~EjMjAh=XHc(^?R~f*gid&tCETQzOOH(@&b*D!)J*+jV`2T(?K8NtS zt#gm*-JIxLVR( zUZb)*41~h(c{`i*b$$(6pTrw%|KAS;h6B|ivs2BNy+zgJp>T#vgzK8vY))jj6i7(X zhE$yGjaoVzVj>wQ7yYgU5d=u|(S($7b;g z`b~D6H&tBP=~uUdRiTdrHPImAC^3HZ7=uFr%)(98TCb8FDGg^nFlYfZ68lLTEUF## z327gqPMRe)I)$D%ET}8NAYr`3Pl*EI6!tKt^cc+vQG@3lbDukF#g2%SHi9nh(zHri z`~s>}!J}fE9sw*+Fa!tvrZSwNJHG_7XN}d_2L@3{?ajo%qY_a|7}HlVOz!SezvA5L zRqn1+dXbm$Vg@2h7$V^OC)S6?(Z+y^YgCW%OF|+1n_c#(a9hGo4{$|eq!iFAGzr){ zV6u7CZ_c%mHZM4%?xD(~T7S{(X zRMMu_HtHkzwIq$I#jhp>@TjV5p_26(Odz3JgL?HdSj9o8mNp{xd+Fjv3_`>}d7z-l zz%d}M{=GF@qvF7C;86{z0B0r}YgSF&U?*B^FT!Kc_SGe6glbzL*N*Kx z*iPH^kerf4b4t1T&IFH&Bbo(+pVk~|`;xREeO_|4-DlqZ$eS7Y?upDK?x$<#Q@_y~ z)pqa9IWnlyPLHZ$jmi%F%$tE`pr<>M_Xa`f#Io&b97T*FwhA-}dWzMKyBk)j8203) z46{0q3Qrlw@Rm>FQQ>t9CKQ#*abx}`AMi6+I<*_tsGfWV=tpC8D>j)v?g0AXe#X!y z6W&5{*=3KaetK4vq<&A2Nonp@XDWVp4bdYBO7DmDyw1|^Zdg-X(x%3f(SCR3?;B}$ zjmjLdrW)SPbao`~zE46!1NWMzC2}Mrs{(^b4G&+s&H2s?K;R=9c{bV! zx<2>VK^*6u2iO<=l{Zd7D>Z_-nN>pb{M0#TJ!vByND-mbJ~( zkYR^CT#1VbMGW(nLhFKDi9N)YvQz34+f;M&CRK1V2ZF+QT0iXsLu^4?O>Ac?zX|DwO{OiTcK^0vw}c`os44&(Q~MNK&Jgqc2~u=bp{*1sW~74@*~+xdxPfYDUu1)jWHhHwY;UecKRQ7)pRECTz#pI4H|OnCvgMoLM<9 zH;{rX`?)N9VhNjWhxKz=h6Sc|y`WGHn%TMytzPWf!*s#??Fs>>Q~q%Wzfzn*RILkZ z?eBfaReHawCi}JEUgd6iQ4N!*^U67w zm9?TrwkI`-#2%kG+PfBF*V$1po!r+tBNbt(NZ-w5e)++{whsz9{AmY*WRy?VDe^m#WNK$W(?js67NBGDvhR@Bs61?qMlU2|{Ay_4jWuZPM3% zP_zcp+0rmlC>fDYK5#$jPSxR2StC99%;2dp2Pz)>!Ak58y+HR$D8c*ZF`Zo2IwPa- zHVXur2z;`Z5E`8JG?+n`j-#+I#8^ysjoNF*u$)~MUpWJzGXvq$!K4pMagB-|3BY)- zQEl1DRhY@mq->VmT$_Xhi3Uf0CM{w}QUyYSOswq{sA_j|}|XW&Q|wrQ8>l2VP5&Sx-P$xVjEk1k$5xmcS`;#b-3k)`dys~L2e z*g#JK*grK==d$NepX=H&XjRnl0H9f_Fs?TBw=`+`+V!{NaA$e|&FJeei z1wsOUu~qEKcsZrOM99cftR`*eu%A=AoJp27=O(&>7$$pFFTI~W#gcbV5Tx|>sM?kR zSs!A_)#l}ONU%C!x#Cqn0~R`BH?qL~v;YT{uAsYOE0I!3amEqoGftz^Rj70FJzZYR ze>!*A{_=&SWtmrajFd#cpRkDoF1%S!H3KyaYOFh)-Svcxx`+ zl%<4wzFl%i84ToZM3#_w1%fxj8o0VzIQsHnVFrzqZ5P5b^CP4sueKgR{`iQnj{@!@#DonZ0GLj z^zrxLJ9yHvqR&l$MEDx(o$#^CmR>K_*hJ? z0>`uW@Z?CUcro4ZL|(<;O?QJIQXNkZu^9g#?=(u20%13yCV zj0HFxkHE(Q#)qhaX3vk918WX$Htg*lI1(7mxd+)~+XYi$Tbt~7`iZS%X-LtXmvdx5 z(T~`S8#xm+Pnp_=k&HqZ$yo+*C)OntG0ay5ngsr0tJszCGR#b+0GcOHvCgW@GlG!? z_M!y_He&9Sa%QI(kNEDC1zJ1Bcwl_@{Pv}RX}V_^x!JI{d*Db=Xy+belWiAFm2L(E zj;Ej4a+csho;^Gq=g5GfAF)}<$eEyd%G5TDWE8?k&N7HQu`Z#AVZJgj5T{AtFSd-G zvOjFmo0nl`Dh1Fy^(J*n{6OX+UZ)wom4R?WDB0y9@XJ_d3YX3|;#)4{)Yu&Y>I;AMJq$fsDst{#qY zjtp{i6)N# zh6LOPHb~&F7N42G_Bcq;gJd@1HL}3S0wW910{e8Ja0O0k^6><|_q=(Nsp1(kp+fq0dorSISdREI8gV7K}+i`X_PWN z3vj+1B*-9{_2$)&j{z=)nY0V0x3DCImg-Y%DN8}BAw_o&$2l^f=tpeEjhqRZrI-0MZgkv^>7CB)DLsKW)V)qqbmAXWLOEB6#il>`E}(L3-cMCXheYK$y2OrL(Frg z18p*bkp<3N3)J_zYOTFqz)|_0#1?R~VOMt~Kc~V>1&^wLnfEegpJFR{8d7vu!7Ubn zq93stH*zLuo;-aFP&%>BWJL@)R)Hpgzt}2vWxNbCpRPwmEl1#E0pmk7q8X3{_I$e> zTyqeb%ndu`V+SY=$+OW;l&TjnBq#@sr|v=$f3f8ZFE8QMY7b(d5*)r_HEC&(1cVp= z5*w0t7^-MG5>!Czg1$;z!41iuC{w}B93T|(^3+z7wrwb-dVd7*2q@i`3{Nm0pzzVd zE|`R}o6~r)^+`OPqv6Vb~j_4hh0h2z&3T4u&gMvLjEto-RIy^oyJkdju+&X2ca>_aT z%Q*VXOMmt{UFy!U{d9Sq!TI$-#&)ykEp+v?Q$kr){#d=ON?M-f#F-Avnvn3G+j!-A!P*n$^tw3&GbQ7 zqJH{J%;~duQgIM!Tkak>5>Of(r|nTeoRE*dSW@=}jilbZ_OnODpFio-k?UcpK z9m!3Qn-YS)DT-BA#zA?WWaLm zwzvWF+#Br7po_s~FoKZP3>|fBriB01)t=oVBaPmhuy%_V%dSmg!F{x!SzE z4hdEVELV1?`wh6%BX%PT48;N*R0at$NM<8m`_lr+sr^_mXW7w6G5L-S^I}%VD z9QirEh#^TSz*0g2f3c

;hD8Ue1vL6CtDCq}`nj<{|Xy+7vvh0k2VYd2K%_XT`a@ zl5A}QSfkpVMq8obzDU;lqLiaTjw~>;z{mn43wT>VNa^WgfYOjW=UNmoB&h-+fxp-) zc4fTwj7Q}PVyF&{=mj}zmz&IsUvH19T_Ir9hghLpmMmESvw_iRWPy zena^FtoKo=2Vnsrlk$1mk$}?R$WOLK3`wd$NZ>EFid`8m=g5GGkddcYP1??d$3A?F zENi;cDfOQUt5zhdgg(Vq^4n353er1GR#})QFM&=P;*-|^-kOUy<%lq`KyKLS-QP`W zuk8ckSaFD~wCpXQ=u>Pd&n*mlh7{d~zp3`t1yJ-OHseOlfPS)qe7m8#VJzRW(;I?* z#g=hXs&Q6tLv`%&0F!>S-DardGlkiQ3-jMz%)Un0;>b@DzzLpm2}KM^N}-W{9noKG zDLbWbtI(U5QwmI+Dn}4;2`9)tr&Nv`Q-=j?nS%s9NM-}!HE0b7QYe2W?0csJnwbj@ zWcRThl*NQ1h8#-)-9?kYUu-EmHB-vVFf)f8fab|ltQ*ysX9Ob)j4Uuj3%K5xIS|6a z6dWf*ETE9k1(Q&gpZgSB&J3xB6x~&D11Tu_5u0%%XM*O*bBqI}6YES?#E@eZXcG8~ ztzuWk%P=$5256o<#X74p&j>~q*ozj}^Q-#xy=b*jnMM{ES)eZqxY;msAcWbS7m%A% zyCoD!nZFYH6kEy9kfOT^&XEB{KVma(gfzt}2v zWxNbCQz?Mv$y2PeD)WqBWPyjs(n|dyq}G zT`*N;Fd%R|{lu2D1P}5&^E@g8D{E?&S=%tu+hj~Fg-N9L=4F_d+yR=W-lT1ZvmNoDm-#(o^uLSZ#fZt*mK7itet4 zTN(mIKVma(9&#B!Kiloe634MyKn!Xr4TM3{X0;&Xz?CIhF#%X%hI0EoEo*@6F3Vn*CO`QinAe^(J*n zEPnTW(lJ; zDw=5oBMXcyFtWhN0wW8YnHK2B$AASg_rSRpT`)d|>QihbOGAq89*%QuK+%ubj2k%< zG*74DQFU3hBF8GwB*?_BGLjWc7|B%#5tWefGNjD$au_6N98RoZBuAPP>#Rz$5sWM_ zvcP_}Kz*+lzO~m2I4a+h*aB`g^qVjXGiiQGCpRzXQ*0$qLyGPyoJk&4iJEkjykO)} zd6{WMG_t@xwSchPg^}72TNomN+n#W|fML#Z(0J-DBuT|a>D`!g;YE^4xEvI{RA{yD z^*|*!dXjeAebF8%`^gF+21nk4MGQ$wp>;uDB`)P<6F*U=y}Ky}sgS&vP0J0X)J4d9 zf(&|pjnI*RD)*T}CUn6NTM83>i!EiRaI0{F6kSzN+&SAy+lEu6D{1%7Gm`VLzzkY& zIB15a%WvQso^FBq%P;+r7pYkx&XXQH5$=NjW)FDor#Z7+Ijy0;3g<@zkJxFFFUi;DlX3(YPK)u5- z=zic=T;oUPPqovQ5<9u#?CoQK(vUni*%mP*sRAK^o4^asM{d@y;$_o&^Kz~Y=!CSr zNi(rJN0KPKP3;^-&T%3WLP4pq0wy7>IOnWgEw+MNnMXC{xPwV5c16h0B@|T1Ohyvx zm63c1aAd$T?MATy^K7#-?clD51}>W|WdtJ&j4Uv+z#uJP2FZ-Pc4wdxit?KnqYEZu-Rnw!rBAWF zSXsQ_-01@;O>|b$wq=0qL#&Vq4KhSn9k6Vn{v#M!U}OOw3vf^wB*-9{jd<-(3v^Dt zgV11i?)Zg8Eq4zb2`CMY{A63ikfan~DItNs*iv?OZKOA^w!_e$SWTgwmD-1ok!8&- zUhT^@s=?M2?J|Oq1x6MaSzu&=kp<2~3ka3!?T+LYG(c%co^vgV7?MXhQxU_YDj0cGRTdhhk1Q~sR&PUf?C}7Teze_YsN^$+g-eHbC>_Db`t)c}6g@z+SY#o?q3k??tPP%JihSKsq5j>FrQ$0M3K``4}K~ z?m;%$cEMP_6(=_d|Nre>J&z4;iXE$f?I|kumfOiIBh*#Yi-PxIyA3HhQRXq{X)O1C@c#-)! zD>J&Lw%6A!jDU!p;H@zND9xpXj38RldNwe_91ecigcFZooCJNBb4{xau?KM_a{$z* zEz#w;2*DVXn8Tqmt*VL`GgH76IGO^RRsCq`7nB(5@-1zB%lNww@9_9i2c7PIoHNRx zyWwbO?XP9BLOI9UD`aQaDa27!qGxT5G`qa&%g|GIQU@`PCSBf5-20* znpPWP58`r0lFk88pEgG~3{SFLgkTIx%;8X(R#ioenJI803Q+HHY_F}}w8pm}1$q}K z?(WA;YreB%K4GK5dq69RD;IAsE9Jb2v;xbx;8WF-NlZ zI_LeQGoR6!0w=5hmVur&ZY@0tjcaiVV885X+>>mYtEI*emS`tUc&3wWTA);8+AJkY zD*@qaAjXOIR0|n#wxsoJAcHv^f&#)L7%iG_ySQjt-(dHsAd{IXU<#N5rhqAMnhLbk z7@~kL545yMcxr@dOk1QRAkr0%mNtTDN$c6b40AZ#qM}ONwE|(yHz$8}XF%%H z<_SN|aIJTr^#sN8Q=8TSiv@6Fj9>LVCcpy)V-Fx%TR(5=ah7Xr{5m%T{ZK4W2E1~# z4%nSg!|NgpV5OD-wQ;67v2i~kbY)+e{8|0(HH4w=m4Ehy|f@m47 zX9M%GjCv==ET5Ipta{Vj8E%f)1vtD6mYw`X40u%JB|O084467j_iu}5G@BbeT<|{IXisKOH;o44&Gne z@4FV6@jI>7Gazdc1$b0pJXY2i508%mrV7dxAOk1MMadoJuHg82R?0nzR zU)G$|pTqmx1(NOR1 zY_5*ptj1>wm;(1%0qQ;Yce7A2x~)5@-&+aZXipVi+z@*NRx}2pK5daN!=(j-kT8fU z(>h=wh_^@&hnA#d$%YsTSk_SeG^Wk+v9wNCR6Awb(t>r2#qT58tIl~DvYVL#ra+AX zSX6o%_arl}Wh$`0`SutA?r+?^F;Z047=k3ggFQa>0FpIPiUFuko247?1Wj{kAtNLV zqG@Ql*gy;{7h?S zqGHHUDH)(_gUmYRNg+5=Ek&H!mnhLAs~XyX4})J zyGIuU(UR7)fmy62RN#j~r92~V?(q4lHEoV<7_Q!*7HaPq4(9 zRShv_rhqAML_T!0hkpU(QiA>ys&93N$I8yP+>2 z7~xt41bb?#A%-HpXpL!$d;~;#2wKPpq9v_o12fFw5a{6%jFvz$IoGt>5PJ|;G6z6? z+7exkix7-Ki8&l9)2gb7F*5~B0aM^UD6pRWAZP#h((Dy%?x605)8&Cegj~x5zu6`{ zr9O}Vl(Q~vo>Dl#d3qHUiOLQm^J)`LrX@pb)}Bb~G#4Qj>|zdwX=pSL2zWD7;Di*Q z-ecKbTfJ$GZ$S!R2ZTj)K-8x#@=bHC3BlV{-{Ey-T2&P>M>0Iz)zk1F{GO&QbfG%U zH^W9{3YY?E1s1wc>2Bx?2*&Vy6%g*JsfHMe_@XtYE%Ff%=^BjL-a}k0UT2Z~1A9!=?C(RKSU<%w@1+b{}G%VC+_gew% zmpzSpl1+29)EL5|<$>Rq6Q1d0n-(b5m^Mqv(n>)18i;YCJ=H=+oGocR8^~Y|2S04W ziAOL_G~ae{(X_t7?omM|GgH76Fa=BjQ{XfeXsIzo0bd?yX_4^M2-TRjNJ&7XD;zCt z1ksY#vw<1raJWT9mAGpK#*#Sz>eH6!a$JO93|q|MP?=U$MU0s#U(2hhP;IwAtBonM43tMYWU4UYP<5 zRDjXvXr(52qa{*&hYgpJ2a$Pm@>h2Tq&{t)@Y4*}diPmRP%J;SX&taw05`_?Ro`O* zJWw$90Ft%!^QInWxyHt?b3@P%#R6r(D@W^q-3c`eAIkYPoYUV%s1QL#UwWAEfGuke zo6~0L2KlIP4McJX1D>2+Z-=ZyNkY?K&j{vY8TC$#Sw1VHS@ov5Gu#|;5D+Xo`HL8l zQIVJM9At=2@yWU|ZN#zfVCj?=7dONn zffbE`s83tu%W&yJDkKb|%CrvH{fa8mLlM!<5g!^fXh#UmV5_pmv_(!^(Tfrp;Pez& z&wfbuH7~P&i>!mDKuG}xpt8mgBmo}m@v#Sxk}&}FX-jlDE-hq)gh5o9)&Xl36+?zf z$pAGo1xx``;9e+T-0ZaiXfUB*ixt?UkwMYf1cdxNOoTO3jQN0_b7~RhA(+UwJ$xpb) z#~wgR#sJi(Ez#w;W>Zm}#ZPvW$914~Ua}gxOhxrn*@dW~kYnUU>=EsC=iK9iVx2kX zK}Ws2@opBX-n}+HQ@|9MvjWt+bMI!MVsu+~(rC{QZfFRS01r0X*aJw(7=Ze;CAu8f zY$_`42w^x?Z!hEz)Xqx=-mI~-25+eY+`sF)H$Z(Sj}hRh=DRmWiu^vU5J8gsgqv;b0VHdp6a!G7HcK~tA<#6} zu3%_O>yUK+O>L+#d}#1wy4|CKEM}&FDPRhi0;a%8D!>@kbU6~-&=4d69+Vcb2au96 z0QG50bUCisR8-m#!f+sBl?7_&C9C2K-cpBb6Ioe)*ZW8`GwVw%)H~*(1D8Eq_mUk1hzJC9P)zvsg>0zz>B=c}Cvc;qz5% z+8o<3T)jUn)ZQ~5LeetY1EDrwQy6{4;x)P!ePN;a;)d8Gu)JMuza6nYZJuwCj|$UV z`W1^pvc^_D4b|^$3?PN%ciN1Z+0$H4vMyY`N7$uP^(46VZetF?Q307=+XWdn#2zqa zO)eJ)Kz-UQ-S8sIMF_^SBj#|ZOslKfCIXRWB?Y!RmtfXz3bZMpSEDZ=81YfzMDU75 z4GGT%nLjqB&67zh0g)bpE~J8JN$c6b40AYCu5p6Yv?^Kb0b|J=0QG50bU7|UForGW zaHveHsv^eB6qus|v%jlIwhQ5GcgliQL?5U}S7>f9!HKr}{5fJGi zXdxqrmb9J?%rJ*Tpod2=S^~-BT+?bp>_J?~902ucOLRFdLNEp;=5VM?tEwW#%oH#M zOo98Lz~dm^pVT!dJ#i#Z&oq0u}b;LS{d6H1xx`` z;B6G}f0PHzzzeJUq3MU-;XO{85PU2d#72)ZM0G&m+x%R@=ylw2%GYntUkZ zMLQRwz(wQV+~fp34Lmv&-^~E3gG%*mK0>YBaIxOJA1s5Mn@|HmTs*QB*qi+`TJ8R} z@MidA*EBW+&|O>2t0#; z7lWil$YVa_V02zO$Cw2Vj>G&lU=<*)Z!elWa|(;s6kd0$?vzrFcjb@{)WGq?tI4S&Vi zpKoq1hJW7tY*=Fwii^%4e0w#lE`IuAbge=ZLQ-}K=lE|E&*a4#XOi*d`Ok$5^uKUl ze(-QZ57+O7e&a&FfVzN-fEv3x1_r2?=;|);;_*MeSSkJ97@syzNxb95nV3WblXskF zGI_(Pc{rP$cjz2B3|V0&j!PwGBFoP>&-{G%Q)0XTWYTOpww;NXMLKCPHAjce=1GT= zMhK*hNQF0Ti7Q?f)wL`X<23T~?;KMS6J$3f&vpKd?=$8M4J@<42X5!GXtSBim<>QF z*UP=>joyV*GTq;;*U9hIpMLnAy-xV9ZmtVyr}F`GSxSmczgT=@#?^pJMX#~l1tqRc Jm2m#u{{w&v3BCXT diff --git a/hardware/RTL/instr_rom.sv b/hardware/RTL/instr_rom.sv index 747f000..4d9f6b5 100644 --- a/hardware/RTL/instr_rom.sv +++ b/hardware/RTL/instr_rom.sv @@ -2,26 +2,89 @@ module instr_rom( input logic clk, rst_n, naive_bus.slave bus ); -localparam INSTR_CNT = 30'd18; +localparam INSTR_CNT = 30'd81; wire [0:INSTR_CNT-1] [31:0] instr_rom_cell = { - 32'h000062b3, // 0x00000000 - 32'h000302b7, // 0x00000004 - 32'h06806313, // 0x00000008 - 32'h00628023, // 0x0000000c - 32'h06506313, // 0x00000010 - 32'h00628023, // 0x00000014 - 32'h06c06313, // 0x00000018 - 32'h00628023, // 0x0000001c - 32'h06c06313, // 0x00000020 - 32'h00628023, // 0x00000024 - 32'h06f06313, // 0x00000028 - 32'h00628023, // 0x0000002c - 32'h00a06313, // 0x00000030 - 32'h00628023, // 0x00000034 - 32'h00c003b7, // 0x00000038 - 32'hfff38393, // 0x0000003c - 32'hfe039ee3, // 0x00000040 - 32'hfc5ff06f // 0x00000044 + 32'h00010537, // 0x00000000 + 32'h40050113, // 0x00000004 + 32'h00050513, // 0x00000008 + 32'h00200293, // 0x0000000c + 32'h00552023, // 0x00000010 + 32'h00100293, // 0x00000014 + 32'h00552223, // 0x00000018 + 32'h00100293, // 0x0000001c + 32'h00552423, // 0x00000020 + 32'h00000593, // 0x00000024 + 32'h00800613, // 0x00000028 + 32'h00006f33, // 0x0000002c + 32'h020000ef, // 0x00000030 + 32'h00030fb7, // 0x00000034 + 32'h0fc06f13, // 0x00000038 + 32'h01ef8023, // 0x0000003c + 32'h00c003b7, // 0x00000040 + 32'hfff38393, // 0x00000044 + 32'hfe039ee3, // 0x00000048 + 32'hfb5ff06f, // 0x0000004c + 32'h0ec5d863, // 0x00000050 + 32'h0005e333, // 0x00000054 + 32'h000663b3, // 0x00000058 + 32'h006502b3, // 0x0000005c + 32'h0002a283, // 0x00000060 + 32'h04735463, // 0x00000064 + 32'h00750e33, // 0x00000068 + 32'h000e2e03, // 0x0000006c + 32'h00735863, // 0x00000070 + 32'h005e4663, // 0x00000074 + 32'hffc38393, // 0x00000078 + 32'hfedff06f, // 0x0000007c + 32'h00650eb3, // 0x00000080 + 32'h01cea023, // 0x00000084 + 32'h00650e33, // 0x00000088 + 32'h000e2e03, // 0x0000008c + 32'h00735863, // 0x00000090 + 32'h01c2c663, // 0x00000094 + 32'h00430313, // 0x00000098 + 32'hfedff06f, // 0x0000009c + 32'h00750eb3, // 0x000000a0 + 32'h01cea023, // 0x000000a4 + 32'hfbdff06f, // 0x000000a8 + 32'h00650eb3, // 0x000000ac + 32'h005ea023, // 0x000000b0 + 32'hffc10113, // 0x000000b4 + 32'h00112023, // 0x000000b8 + 32'hffc10113, // 0x000000bc + 32'h00b12023, // 0x000000c0 + 32'hffc10113, // 0x000000c4 + 32'h00c12023, // 0x000000c8 + 32'hffc10113, // 0x000000cc + 32'h00612023, // 0x000000d0 + 32'hffc30613, // 0x000000d4 + 32'hf79ff0ef, // 0x000000d8 + 32'h00012303, // 0x000000dc + 32'h00410113, // 0x000000e0 + 32'h00012603, // 0x000000e4 + 32'h00410113, // 0x000000e8 + 32'h00012583, // 0x000000ec + 32'h00410113, // 0x000000f0 + 32'h00012083, // 0x000000f4 + 32'h00410113, // 0x000000f8 + 32'hffc10113, // 0x000000fc + 32'h00112023, // 0x00000100 + 32'hffc10113, // 0x00000104 + 32'h00b12023, // 0x00000108 + 32'hffc10113, // 0x0000010c + 32'h00c12023, // 0x00000110 + 32'hffc10113, // 0x00000114 + 32'h00612023, // 0x00000118 + 32'h00430593, // 0x0000011c + 32'h00012303, // 0x00000120 + 32'h00410113, // 0x00000124 + 32'h00012603, // 0x00000128 + 32'h00410113, // 0x0000012c + 32'h00012583, // 0x00000130 + 32'h00410113, // 0x00000134 + 32'h00012083, // 0x00000138 + 32'h00410113, // 0x0000013c + 32'h00008067 // 0x00000140 }; logic [29:0] cell_rd_addr; diff --git a/hardware/RTL/soc_top_tb.sv b/hardware/RTL/soc_top_tb.sv index 8790e61..d66f579 100644 --- a/hardware/RTL/soc_top_tb.sv +++ b/hardware/RTL/soc_top_tb.sv @@ -18,6 +18,6 @@ soc_top soc_inst( .vga_blue ( vga_pixel[0] ) ); -initial #10000 $stop; +initial #1000 $stop; endmodule diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf index 88f59aa..eb6b1ff 100644 --- a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf @@ -2,6 +2,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3231:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 @@ -9,8 +10,9 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313336:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3238:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3434:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:37:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6970636f7265766965775f7461626265645f70616e65:32:00:00 @@ -29,7 +31,7 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:36:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:38:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3134:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f6e65746c6973745f64657369676e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6963656e73655f6d616e616765:31:00:00 @@ -42,14 +44,14 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f66616d696c795f63686f6f736572:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f7061727473:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3135:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d61727974696d696e6770616e656c5f70726f6a6563745f73756d6d6172795f74696d696e675f70616e656c5f746162626564:3137:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e6761646765745f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f6761646765745f746162626564:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e70616e656c5f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f70616e656c5f746162626564:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e6761646765745f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f6761646765745f746162626564:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e70616e656c5f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f70616e656c5f746162626564:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:35:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f72756e5f6761646765745f7461626265645f70616e65:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f6572726f72:32:00:00 @@ -62,8 +64,8 @@ version:1 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f726566726573685f686965726172636879:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7374616c6572756e6469616c6f675f796573:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:36:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:32:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:31:00:00 70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00 -eof:835461017 +eof:4106945434 diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf index a1f687d..49ca5de 100644 --- a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf @@ -1,14 +1,14 @@ version:1 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:37:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:38:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3137:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:37:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3331:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3138:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265706f72747574696c697a6174696f6e:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3131:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:38:00:00 @@ -18,4 +18,4 @@ version:1 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:38:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7570646174657265676964:31:00:00 70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00 -eof:1797919527 +eof:3430014336 diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc index 923fc9f..f7875cc 100644 --- a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc @@ -1,4 +1,4 @@ version:1 57656254616c6b5472616e736d697373696f6e417474656d70746564:7 -6d6f64655f636f756e7465727c4755494d6f6465:10 +6d6f64655f636f756e7465727c4755494d6f6465:12 eof: diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/webtalk_pa.xml b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/webtalk_pa.xml index 7018677..8a3457a 100644 --- a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/webtalk_pa.xml +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.cache/wt/webtalk_pa.xml @@ -3,7 +3,7 @@ - +

@@ -18,15 +18,15 @@ This means code written to parse this file will need to be revisited each subseq - + - + - - - + + + @@ -41,6 +41,7 @@ This means code written to parse this file will need to be revisited each subseq + @@ -48,8 +49,9 @@ This means code written to parse this file will need to be revisited each subseq + - + @@ -68,7 +70,7 @@ This means code written to parse this file will need to be revisited each subseq - + @@ -81,14 +83,14 @@ This means code written to parse this file will need to be revisited each subseq - + - - + + @@ -101,15 +103,15 @@ This means code written to parse this file will need to be revisited each subseq - + - + - +
diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou index a3e9a8d..27c4ccb 100644 --- a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou @@ -2,28 +2,16 @@ # Vivado v2017.4 (64-bit) # SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 # IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sun Mar 3 14:15:36 2019 -# Process ID: 16476 +# Start of session at: Tue Mar 5 19:07:42 2019 +# Process ID: 4532 # Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent10728 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12668 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr # Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log # Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou #----------------------------------------------------------- start_gui open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr update_compile_order -fileset sources_1 -add_files -norecurse {E:/work-Lab/USTCRVSoC/hardware/RTL/uart_tx_line.sv E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_regfile.sv E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus_router.sv E:/work-Lab/USTCRVSoC/hardware/RTL/vga_char_86x32.sv E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv E:/work-Lab/USTCRVSoC/hardware/RTL/isp_uart.sv E:/work-Lab/USTCRVSoC/hardware/RTL/video_ram.sv E:/work-Lab/USTCRVSoC/hardware/RTL/char8x16_rom.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_stage.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_top.sv E:/work-Lab/USTCRVSoC/hardware/RTL/user_uart_tx.sv E:/work-Lab/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top.sv E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram128B.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv E:/work-Lab/USTCRVSoC/hardware/RTL/uart_rx.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_alu.sv} -update_compile_order -fileset sources_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv] -no_script -reset -force -quiet -remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -open_run synth_1 -name synth_1 -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -launch_runs impl_1 -to_step write_bitstream -jobs 8 -wait_on_run impl_1 open_hw connect_hw_server open_hw_target diff --git a/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado_16476.backup.jou b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado_16476.backup.jou new file mode 100644 index 0000000..a3e9a8d --- /dev/null +++ b/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado_16476.backup.jou @@ -0,0 +1,37 @@ +#----------------------------------------------------------- +# Vivado v2017.4 (64-bit) +# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 +# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 +# Start of session at: Sun Mar 3 14:15:36 2019 +# Process ID: 16476 +# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 +# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent10728 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr +# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log +# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou +#----------------------------------------------------------- +start_gui +open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr +update_compile_order -fileset sources_1 +add_files -norecurse {E:/work-Lab/USTCRVSoC/hardware/RTL/uart_tx_line.sv E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_regfile.sv E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus_router.sv E:/work-Lab/USTCRVSoC/hardware/RTL/vga_char_86x32.sv E:/work-Lab/USTCRVSoC/hardware/RTL/naive_bus.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv E:/work-Lab/USTCRVSoC/hardware/RTL/isp_uart.sv E:/work-Lab/USTCRVSoC/hardware/RTL/video_ram.sv E:/work-Lab/USTCRVSoC/hardware/RTL/char8x16_rom.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_stage.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_top.sv E:/work-Lab/USTCRVSoC/hardware/RTL/user_uart_tx.sv E:/work-Lab/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top.sv E:/work-Lab/USTCRVSoC/hardware/RTL/instr_rom.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram128B.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv E:/work-Lab/USTCRVSoC/hardware/RTL/uart_rx.sv E:/work-Lab/USTCRVSoC/hardware/RTL/ram.sv E:/work-Lab/USTCRVSoC/hardware/RTL/core_alu.sv} +update_compile_order -fileset sources_1 +export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv] -no_script -reset -force -quiet +remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/soc_top_tb.sv +reset_run synth_1 +launch_runs synth_1 -jobs 8 +wait_on_run synth_1 +open_run synth_1 -name synth_1 +launch_runs impl_1 -jobs 8 +wait_on_run impl_1 +launch_runs impl_1 -to_step write_bitstream -jobs 8 +wait_on_run impl_1 +open_hw +connect_hw_server +open_hw_target +set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] +current_hw_device [get_hw_devices xc7a100t_0] +refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] +set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] +set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] +program_hw_devices [get_hw_devices xc7a100t_0] +refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] diff --git a/software/asm-code/load_store.S b/software/asm-code/basic-test/load_store.S similarity index 100% rename from software/asm-code/load_store.S rename to software/asm-code/basic-test/load_store.S diff --git a/software/asm-code/test_auipc.S b/software/asm-code/basic-test/test_auipc.S similarity index 100% rename from software/asm-code/test_auipc.S rename to software/asm-code/basic-test/test_auipc.S diff --git a/software/asm-code/calculation-test/Fibonacci.S b/software/asm-code/calculation-test/Fibonacci.S new file mode 100644 index 0000000..b897f3a --- /dev/null +++ b/software/asm-code/calculation-test/Fibonacci.S @@ -0,0 +1,62 @@ +# 概述:递归计算斐波那契数列的第n个数 +# Author: WangXuan +# +# 系统要求:1、具有一个大小至少为0x400 Byte的数据RAM (该程序中,其高地址用作栈) +# 2、请根据实际情况将a0设置为你的DataRam的地址,例如我的SoC DataRam起始地址为0x00010000,则我第一个指令是lui sp, 0x00010 +# + + + +.org 0x0 + .global _start +_start: + lui a0, 0x00010 # 设置DataRam的起始地址为0x00010000,也用作被排序数组的起始地址是,即DataRam的起始地址 + ori sp, a0, 0x400 # 为栈分配0x400Byte的空间 + + xori t0, zero, 8 # t0 = 8 + jal ra, Fibonacci # 计算 fib(8) = 34 = 0x22 + sw t1, (a0) # 计算结果放在DataRam的首地址 + +infinity_loop: + jal zero, infinity_loop # 排序结束,死循环 + + + +Fibonacci: # 递归计算斐波那契数列的第n项, + # n放在t0寄存器中 + # 结果放在t1寄存器中 + # 使用ra作为返回地址,并使用堆栈,堆栈指针为sp + + ori t4, zero, 3 # t4 = 3 + bgeu t0, t4, tag # if t0>=t4(3), jmp to tag + ori t1, t0, 0 # t1 = t0 + jalr zero, ra, 0 # pc = ra + +tag: + addi sp, sp, -4 # sp-=4 # push ra to stack + sw ra, (sp) # mem[sp] = ra + + addi t0, t0, -1 # t0-=1 + addi sp, sp, -4 # sp-=4 # push t0 to stack + sw t0, (sp) # mem[sp] = t0 + + jal ra, Fibonacci # 计算 Fib(n-1) + + lw t0, 0(sp) # t0=mem[sp] # pop t0 from stack + addi t0, t0, -1 # t0-=1 + addi sp, sp, 4 # sp+=4 + + + addi sp, sp, -4 # sp-=4 # push t1 to stack + sw t1, (sp) # mem[sp] = t1 + jal ra, Fibonacci # 计算 Fib(n-2) + lw t2, 0(sp) # ra=mem[sp] # pop t2 from stack + addi sp, sp, 4 # sp+=4 + add t1, t1, t2 # t1+=t2 + + lw ra, 0(sp) # ra=mem[sp] # pop ra from stack + addi sp, sp, 4 # sp+=4 + + jalr zero, ra,0 # pc = ra + + \ No newline at end of file diff --git a/software/asm-code/calculation-test/Number2Ascii.S b/software/asm-code/calculation-test/Number2Ascii.S new file mode 100644 index 0000000..a7dcec6 --- /dev/null +++ b/software/asm-code/calculation-test/Number2Ascii.S @@ -0,0 +1,71 @@ +# 概述:数字转十进制ASCII码 +# Author: WangXuan +# +# 系统要求:1、具有一个数据RAM +# 2、测试该代码时,不需要初始化DataRam,只需要将指令流烧入InstrRam。 +# 3、请根据实际情况将a0设置为你的DataRam的地址,例如我的SoC 显存起始地址为0x00020000,则我将计算结果写入了0x00020000,在VGA上会显示转化之后的ASCII字符 +# + + +.org 0x0 + .global _start +_start: + + ori a0, zero, 1395 # a0 = 1395 + add a0, a0 , a0 # a0 = 2790 + add a0, a0 , a0 # a0 = 5580 + jal ra, Number2DecimalAscii # 调用函数Number2DecimalAscii计算 a0 的十进制ASCII,结果应该是 0x30383535,存在 a0 里 + lui t0, 0x00020 # t0 = 0x00020000 + sw a0, 4(t0) # a0写入(t0) (计算结果写入显存RAM) +infinity_loop: + jal zero, infinity_loop # 死循环 + + +Number2DecimalAscii: + # 函数:Number2DecimalAscii:计算a0里低13位二进制数对应的十进制的ASCII码,存放在a0里 + # 例: a0=0x12345678,其低13位为0x1678,即5752 + # 则调用该函数后 a0=0x32353735,因为0x32, 0x35, 0x37, 0x35分别为2 5 7 5 的ASCII码 + # 之所以使用低13位,因为13位二进制数取值范围位0~8191,不会超过4位十进制数 + # 改变数据RAM: 无 + # 改变的寄存器:a0, t0, t1, t2 + # 调用方法:使用 jal ra, Number2DecimalAscii 指令调用,因为返回时需要用到 ra 寄存器作为返回地址 + lui t0, 0x01fff # t0 = 0x01fff000 + srl t0, t0 , 12 # t0 = 0x00001fff + and t0, a0 , t0 # t0 = t0 & a0 + lui a0, 0x30303 # a0 = 0x30303000 + ori a0, a0 , 0x030 # a0 = 0x30303030 + + ori t1, zero, 1000 # t1 = 1000 + thousand: + bltu t0, t1 , thousand_next # if t0<1000 jump to thousand_next + addi t0, t0 , -1000 # t0 -= 1000 + addi a0, a0 , 0x1 # a0 += 0x00000001 + jal zero, thousand # jump to thousand + thousand_next: + + ori t1, zero, 100 # t1 = 100 + hundred: + bltu t0, t1 , hundred_next # if t0<100 jump to hundred_next + addi t0, t0 , -100 # t0 -= 100 + addi a0, a0 , 0x100 # a0 += 0x00000100 + jal zero, hundred + hundred_next: + + lui t2, 0x00010 # t2 = 0x00010000 + ori t1, zero, 10 # t1 = 10 + ten: + bltu t0, t1 , ten_next # if t0<10 jump to ten_next + addi t0, t0 , -10 # t0 -= 10 + add a0, a0 , t2 # a0 += 0x00010000 + jal zero, ten + ten_next: + + lui t2, 0x01000 # t2 = 0x01000000 + ori t1, zero, 1 # t1 = 1 + one: + bltu t0, t1 , one_next # if t0<1 jump to one_next + addi t0, t0 , -1 # t0 -= 1 + add a0, a0 , t2 # a0 += 0x01000000 + jal zero, one + one_next: + jalr zero, ra, 0 diff --git a/software/asm-code/calculation-test/QuickSort.S b/software/asm-code/calculation-test/QuickSort.S new file mode 100644 index 0000000..b0c5709 --- /dev/null +++ b/software/asm-code/calculation-test/QuickSort.S @@ -0,0 +1,156 @@ +# 概述:对数组进行原地快速排序 +# Author: WangXuan +# +# 系统要求:1、具有一个大小至少为0x400 Byte的数据RAM (该程序中,其高地址用作栈,低地址用作被排序的数组) +# 2、测试该代码时,不需要初始化DataRam,只需要将指令流烧入InstrRam。因为有一系列指令去准备被排序的数组。 +# 3、请根据实际情况将a0设置为你的DataRam的地址,例如我的SoC DataRam起始地址为0x00010000,则第一条指令就是 lui a0, 0x00010 +# + + +.org 0x0 + .global _start +_start: + +main: # main函数开始,在DataRam里初始化一段数据,然后调用QuickSort进行排序,排序后进入死循环。请使用仿真或UART调试器查看排序后的数据 + + lui a0, 0x00010 # 设置DataRam的起始地址为0x00010000,也用作被排序数组的起始地址是,即DataRam的起始地址 + + addi sp, a0 , 0x400 # 设置栈顶指针 + + addi t0, zero, 0x03 # 用一系列指令向a0里写入被排序的数组,可以是负数 + sw t0, 0(a0) + addi t0, zero, 0x01 + sw t0, 4(a0) + addi t0, zero, 0x04 + sw t0, 8(a0) + addi t0, zero, -0x01 + sw t0, 12(a0) + addi t0, zero, 0x05 + sw t0, 16(a0) + addi t0, zero, -0x09 + sw t0, 20(a0) + addi t0, zero, 0x02 + sw t0, 24(a0) + addi t0, zero, 0x06 + sw t0, 28(a0) + addi t0, zero, -0x06 + sw t0, 32(a0) + addi t0, zero, 0x05 + sw t0, 36(a0) + addi t0, zero, 0x06 + sw t0, 40(a0) + addi t0, zero, -0x05 + sw t0, 44(a0) + addi t0, zero, 0x07 + sw t0, 48(a0) + + addi a1, zero, 0 # 准备参数 + addi a2, zero, 48 + jal ra , QuickSort # 开始排序 +infinity_loop: + jal zero, infinity_loop # 排序结束,死循环 + +QuickSort: + # 函数:QuickSort:以a0为基地址的原地升序快速排序,a1是start即开始下标,a2是end即结束下标 + # 例: a0=0x00000100,a1=0, a2=32,则计算从0x00000100开始的32个4Byte数的快速排序 + # 注: 以有符号数为比较标准。例如0xffffffff应该排在0x00000001前面,因为0xffffffff代表-1,比1要小 + # 之所以使用低13位,因为13位二进制数取值范围位0~8191,不会超过4位十进制数 + # 改变数据RAM: 除了被排序的数组外,还使用了以sp寄存器为栈顶指针的栈。使用栈的大小根据排序长度而不同,调用前合理设置sp的值以防爆栈 + # 改变的寄存器: t0, t1, t2, t3, t4 + + bge a1, a2, QuickSortReturn # if a1>=a2, end<=start, jump to return + or t1, a1, zero # t1=i=a1=start + or t2, a2, zero # t2=j=a2=end + add t0, a0, t1 # + lw t0, (t0) # t0=key=lst[start] + + PartationStart: + PartationFirstStart: # start of for loop + add t3, a0, t2 # + lw t3, (t3) # t3=lst[j] + bge t1, t2, PartationFirstEnd # if i>=j, branch to next step + blt t3, t0, PartationFirstEnd # if lst[j]=j, branch to next step + blt t0, t3, PartationSecondEnd # if keystart){ +# int i = start,j = end,key = lst[start]; +# while(i < j){ +# for (;i < j && key <= lst[j];j--); +# lst[i] = lst[j]; +# for (;i < j && key >= lst[i];i++); +# lst[j] = lst[i]; +# } +# lst[i] = key; +# QuickSort(lst, start, i - 1); +# QuickSort(lst, i + 1, end); +# } +# } +# +# + \ No newline at end of file diff --git a/software/asm-code/fibonacci_recursive.S b/software/asm-code/fibonacci_recursive.S deleted file mode 100644 index 1974777..0000000 --- a/software/asm-code/fibonacci_recursive.S +++ /dev/null @@ -1,59 +0,0 @@ -.org 0x0 - .global _start -_start: - - lui sp, 0x00020 - ori sp, sp, 0x400 # stack pointer=0x400, stack size = 256 dwords - - xori t0, zero, 8 # t0 = 8 - jal ra, fibonacci_recursive # fib(8) = 34 = 0x22 - - jal zero, print_result # 跳到死循环打印程序,循环打印斐波那契计算结果,应该打印的是0x15 - -fibonacci_recursive: # 递归计算斐波那契数列的第n项, - # n放在t0寄存器中 - # 结果放在t1寄存器中 - # 使用ra作为返回地址,并使用堆栈,堆栈指针为sp - - ori a0, zero, 3 # a0 = 3 - bgeu t0, a0, tag # if t0>=a0(3), jmp to tag - ori t1, t0, 0 # t1 = t0 - jalr zero, ra, 0 # pc = ra - -tag: - addi sp, sp, -4 # sp-=4 # push ra to stack - sw ra, (sp) # mem[sp] = ra - - addi t0, t0, -1 # t0-=1 - addi sp, sp, -4 # sp-=4 # push t0 to stack - sw t0, (sp) # mem[sp] = t0 - - jal ra, fibonacci_recursive # fibonacci_recursive n-1 - - lw t0, 0(sp) # t0=mem[sp] # pop t0 from stack - addi t0, t0, -1 # t0-=1 - addi sp, sp, 4 # sp+=4 - - - addi sp, sp, -4 # sp-=4 # push t1 to stack - sw t1, (sp) # mem[sp] = t1 - jal ra, fibonacci_recursive # fibonacci_recursive n-2 - lw t2, 0(sp) # ra=mem[sp] # pop t2 from stack - addi sp, sp, 4 # sp+=4 - add t1, t1, t2 # t1+=t2 - - lw ra, 0(sp) # ra=mem[sp] # pop ra from stack - addi sp, sp, 4 # sp+=4 - - jalr zero, ra,0 # pc = ra - -print_result: # 延时循环打印斐波那契计算结果 - or t0, zero,zero # t0 清零 - lui t0, 0x00030 # t0 寄存器的高20bit=0x00020 - sb t1, (t0) # 计算完成,结果在t1中,用USER-UART打印出来 - lui t2, 0x00c00 # t2 = 0x00800000 -big_loop: - addi t2, t2, -1 # t2 = t2-1 - bne t2, zero, big_loop # if t2!=0, jmp to big_loop - jal zero, _start # 大循环结束,跳到开头t,重复计算 - \ No newline at end of file diff --git a/software/asm-code/fibonacci_recursive.sv b/software/asm-code/fibonacci_recursive.sv deleted file mode 100644 index babef58..0000000 --- a/software/asm-code/fibonacci_recursive.sv +++ /dev/null @@ -1,61 +0,0 @@ -module instr_rom( - input logic clk, rst_n, - naive_bus.slave bus -); -localparam INSTR_CNT = 30'd36; -wire [0:INSTR_CNT-1] [31:0] instr_rom_cell = { - 32'h12300013, // 0x00000000 - 32'h45600013, // 0x00000004 - 32'h00010137, // 0x00000008 - 32'h40016113, // 0x0000000c - 32'h00804293, // 0x00000010 - 32'h008000ef, // 0x00000014 - 32'h05c0006f, // 0x00000018 - 32'h00306513, // 0x0000001c - 32'h00a2f663, // 0x00000020 - 32'h0002e313, // 0x00000024 - 32'h00008067, // 0x00000028 - 32'hffc10113, // 0x0000002c - 32'h00112023, // 0x00000030 - 32'hfff28293, // 0x00000034 - 32'hffc10113, // 0x00000038 - 32'h00512023, // 0x0000003c - 32'hfddff0ef, // 0x00000040 - 32'h00012283, // 0x00000044 - 32'h00410113, // 0x00000048 - 32'hfff28293, // 0x0000004c - 32'hffc10113, // 0x00000050 - 32'h00612023, // 0x00000054 - 32'hfc5ff0ef, // 0x00000058 - 32'h00012383, // 0x0000005c - 32'h00410113, // 0x00000060 - 32'h00730333, // 0x00000064 - 32'h00012083, // 0x00000068 - 32'h00410113, // 0x0000006c - 32'h00008067, // 0x00000070 - 32'h000062b3, // 0x00000074 - 32'h000302b7, // 0x00000078 - 32'h00628023, // 0x0000007c - 32'h00c003b7, // 0x00000080 - 32'hfff38393, // 0x00000084 - 32'hfe039ee3, // 0x00000088 - 32'hfe9ff06f // 0x0000008c -}; - -logic [29:0] cell_rd_addr; - -assign bus.rd_gnt = bus.rd_req; -assign bus.wr_gnt = bus.wr_req; -assign cell_rd_addr = bus.rd_addr[31:2]; -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus.rd_data <= 0; - else begin - if(bus.rd_req) - bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; - else - bus.rd_data <= 0; - end - -endmodule - diff --git a/software/asm-code/uart_print.S b/software/asm-code/io-test/uart_print.S similarity index 100% rename from software/asm-code/uart_print.S rename to software/asm-code/io-test/uart_print.S diff --git a/software/asm-code/vga_hello.S b/software/asm-code/io-test/vga_hello.S similarity index 100% rename from software/asm-code/vga_hello.S rename to software/asm-code/io-test/vga_hello.S