mirror of
https://github.com/WangXuan95/USTC-RVSoC.git
synced 2024-12-24 22:58:56 +08:00
简化指令总线接口
This commit is contained in:
parent
52b5e214bc
commit
51c599dc7c
@ -1,7 +1,7 @@
|
|||||||
version:1
|
version:1
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:35:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:35:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3234:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3235:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00
|
||||||
@ -11,7 +11,7 @@ version:1
|
|||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313539:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313633:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3439:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3439:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:37:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:37:00:00
|
||||||
@ -27,7 +27,7 @@ version:1
|
|||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3537:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3537:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:35:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:36:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:3135:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:3135:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:38:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:38:00:00
|
||||||
@ -68,4 +68,4 @@ version:1
|
|||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00
|
||||||
eof:1976891599
|
eof:379488275
|
||||||
|
@ -15,7 +15,7 @@ version:1
|
|||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3133:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3133:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:32:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:38:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:39:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7570646174657265676964:31:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7570646174657265676964:31:00:00
|
||||||
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00
|
70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00
|
||||||
eof:3926706254
|
eof:3925159981
|
||||||
|
@ -3,7 +3,7 @@
|
|||||||
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
<!--The data in this file is primarily intended for consumption by Xilinx tools.
|
||||||
The structure and the elements are likely to change over the next few releases.
|
The structure and the elements are likely to change over the next few releases.
|
||||||
This means code written to parse this file will need to be revisited each subsequent release.-->
|
This means code written to parse this file will need to be revisited each subsequent release.-->
|
||||||
<application name="pa" timeStamp="Sun Mar 10 22:14:56 2019">
|
<application name="pa" timeStamp="Sun Mar 10 22:41:44 2019">
|
||||||
<section name="Project Information" visible="false">
|
<section name="Project Information" visible="false">
|
||||||
<property name="ProjectID" value="802f49394334431ea9abba122e836e9e" type="ProjectID"/>
|
<property name="ProjectID" value="802f49394334431ea9abba122e836e9e" type="ProjectID"/>
|
||||||
<property name="ProjectIteration" value="24" type="ProjectIteration"/>
|
<property name="ProjectIteration" value="24" type="ProjectIteration"/>
|
||||||
@ -33,14 +33,14 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="RunSynthesis" value="13" type="JavaHandler"/>
|
<property name="RunSynthesis" value="13" type="JavaHandler"/>
|
||||||
<property name="SaveFileProxyHandler" value="1" type="JavaHandler"/>
|
<property name="SaveFileProxyHandler" value="1" type="JavaHandler"/>
|
||||||
<property name="SetTopNode" value="2" type="JavaHandler"/>
|
<property name="SetTopNode" value="2" type="JavaHandler"/>
|
||||||
<property name="ShowView" value="8" type="JavaHandler"/>
|
<property name="ShowView" value="9" type="JavaHandler"/>
|
||||||
<property name="UpdateRegId" value="1" type="JavaHandler"/>
|
<property name="UpdateRegId" value="1" type="JavaHandler"/>
|
||||||
<property name="ViewTaskSynthesis" value="2" type="JavaHandler"/>
|
<property name="ViewTaskSynthesis" value="2" type="JavaHandler"/>
|
||||||
</item>
|
</item>
|
||||||
<item name="Gui Handlers">
|
<item name="Gui Handlers">
|
||||||
<property name="AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN" value="2" type="GuiHandlerData"/>
|
<property name="AddSrcWizard_SPECIFY_HDL_NETLIST_BLOCK_DESIGN" value="2" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_CANCEL" value="5" type="GuiHandlerData"/>
|
<property name="BaseDialog_CANCEL" value="5" type="GuiHandlerData"/>
|
||||||
<property name="BaseDialog_OK" value="24" type="GuiHandlerData"/>
|
<property name="BaseDialog_OK" value="25" type="GuiHandlerData"/>
|
||||||
<property name="CmdMsgDialog_OK" value="1" type="GuiHandlerData"/>
|
<property name="CmdMsgDialog_OK" value="1" type="GuiHandlerData"/>
|
||||||
<property name="CommandsInput_TYPE_TCL_COMMAND_HERE" value="2" type="GuiHandlerData"/>
|
<property name="CommandsInput_TYPE_TCL_COMMAND_HERE" value="2" type="GuiHandlerData"/>
|
||||||
<property name="ConstraintsChooserPanel_ADD_FILES" value="1" type="GuiHandlerData"/>
|
<property name="ConstraintsChooserPanel_ADD_FILES" value="1" type="GuiHandlerData"/>
|
||||||
@ -50,7 +50,7 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
|
<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
|
||||||
<property name="CreateSrcFileDialog_FILE_TYPE" value="1" type="GuiHandlerData"/>
|
<property name="CreateSrcFileDialog_FILE_TYPE" value="1" type="GuiHandlerData"/>
|
||||||
<property name="ExpRunTreePanel_EXP_RUN_TREE_TABLE" value="2" type="GuiHandlerData"/>
|
<property name="ExpRunTreePanel_EXP_RUN_TREE_TABLE" value="2" type="GuiHandlerData"/>
|
||||||
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="159" type="GuiHandlerData"/>
|
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="163" type="GuiHandlerData"/>
|
||||||
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="49" type="GuiHandlerData"/>
|
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="49" type="GuiHandlerData"/>
|
||||||
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
|
<property name="GettingStartedView_CREATE_NEW_PROJECT" value="1" type="GuiHandlerData"/>
|
||||||
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="7" type="GuiHandlerData"/>
|
<property name="HCodeEditor_SEARCH_TEXT_COMBO_BOX" value="7" type="GuiHandlerData"/>
|
||||||
@ -66,7 +66,7 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="1" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_SEVERITY" value="2" type="GuiHandlerData"/>
|
||||||
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="57" type="GuiHandlerData"/>
|
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="57" type="GuiHandlerData"/>
|
||||||
<property name="MsgView_CRITICAL_WARNINGS" value="5" type="GuiHandlerData"/>
|
<property name="MsgView_CRITICAL_WARNINGS" value="6" type="GuiHandlerData"/>
|
||||||
<property name="MsgView_WARNING_MESSAGES" value="2" type="GuiHandlerData"/>
|
<property name="MsgView_WARNING_MESSAGES" value="2" type="GuiHandlerData"/>
|
||||||
<property name="NetlistTreeView_NETLIST_TREE" value="15" type="GuiHandlerData"/>
|
<property name="NetlistTreeView_NETLIST_TREE" value="15" type="GuiHandlerData"/>
|
||||||
<property name="PACommandNames_ADD_SOURCES" value="8" type="GuiHandlerData"/>
|
<property name="PACommandNames_ADD_SOURCES" value="8" type="GuiHandlerData"/>
|
||||||
@ -108,6 +108,11 @@ This means code written to parse this file will need to be revisited each subseq
|
|||||||
<property name="TaskBanner_CLOSE" value="2" type="GuiHandlerData"/>
|
<property name="TaskBanner_CLOSE" value="2" type="GuiHandlerData"/>
|
||||||
<property name="TouchpointSurveyDialog_NO" value="1" type="GuiHandlerData"/>
|
<property name="TouchpointSurveyDialog_NO" value="1" type="GuiHandlerData"/>
|
||||||
</item>
|
</item>
|
||||||
|
<item name="Other">
|
||||||
|
<property name="GuiMode" value="37" type="GuiMode"/>
|
||||||
|
<property name="BatchMode" value="0" type="BatchMode"/>
|
||||||
|
<property name="TclMode" value="31" type="TclMode"/>
|
||||||
|
</item>
|
||||||
</section>
|
</section>
|
||||||
</application>
|
</application>
|
||||||
</document>
|
</document>
|
||||||
|
@ -1,38 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Vivado v2017.4 (64-bit)
|
|
||||||
# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
|
|
||||||
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
|
|
||||||
# Start of session at: Sat Mar 9 11:23:21 2019
|
|
||||||
# Process ID: 13384
|
|
||||||
# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4
|
|
||||||
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent10732 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr
|
|
||||||
# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log
|
|
||||||
# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
start_gui
|
|
||||||
open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr
|
|
||||||
update_compile_order -fileset sources_1
|
|
||||||
reset_run synth_1
|
|
||||||
launch_runs synth_1 -jobs 8
|
|
||||||
wait_on_run synth_1
|
|
||||||
launch_runs impl_1 -jobs 8
|
|
||||||
wait_on_run impl_1
|
|
||||||
launch_runs impl_1 -to_step write_bitstream -jobs 8
|
|
||||||
wait_on_run impl_1
|
|
||||||
open_hw
|
|
||||||
connect_hw_server
|
|
||||||
open_hw_target
|
|
||||||
set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0]
|
|
||||||
current_hw_device [get_hw_devices xc7a100t_0]
|
|
||||||
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0]
|
|
||||||
set_property PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0]
|
|
||||||
program_hw_devices [get_hw_devices xc7a100t_0]
|
|
||||||
refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0]
|
|
||||||
set_property PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0]
|
|
||||||
program_hw_devices [get_hw_devices xc7a100t_0]
|
|
||||||
refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0]
|
|
||||||
close_hw
|
|
@ -1,25 +0,0 @@
|
|||||||
#-----------------------------------------------------------
|
|
||||||
# Vivado v2017.4 (64-bit)
|
|
||||||
# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017
|
|
||||||
# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017
|
|
||||||
# Start of session at: Tue Mar 5 19:07:42 2019
|
|
||||||
# Process ID: 4532
|
|
||||||
# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4
|
|
||||||
# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12668 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr
|
|
||||||
# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log
|
|
||||||
# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou
|
|
||||||
#-----------------------------------------------------------
|
|
||||||
start_gui
|
|
||||||
open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr
|
|
||||||
update_compile_order -fileset sources_1
|
|
||||||
open_hw
|
|
||||||
connect_hw_server
|
|
||||||
open_hw_target
|
|
||||||
set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0]
|
|
||||||
current_hw_device [get_hw_devices xc7a100t_0]
|
|
||||||
refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0]
|
|
||||||
set_property PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0]
|
|
||||||
set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0]
|
|
||||||
program_hw_devices [get_hw_devices xc7a100t_0]
|
|
||||||
refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0]
|
|
@ -1,191 +0,0 @@
|
|||||||
/*
|
|
||||||
|
|
||||||
Xilinx Vivado v2017.4 (64-bit) [Major: 2017, Minor: 4]
|
|
||||||
SW Build: 2086221 on Fri Dec 15 20:55:39 MST 2017
|
|
||||||
IP Build: 2085800 on Fri Dec 15 22:25:07 MST 2017
|
|
||||||
|
|
||||||
Process ID: 17240
|
|
||||||
License: Customer
|
|
||||||
|
|
||||||
Current time: Sun Mar 10 22:06:47 CST 2019
|
|
||||||
Time zone: China Standard Time (Asia/Shanghai)
|
|
||||||
|
|
||||||
OS: Windows 10
|
|
||||||
OS Version: 10.0
|
|
||||||
OS Architecture: amd64
|
|
||||||
Available processors (cores): 12
|
|
||||||
|
|
||||||
Screen size: 1536x864
|
|
||||||
Screen resolution (DPI): 96
|
|
||||||
Available screens: 2
|
|
||||||
Available disk space: 103 GB
|
|
||||||
Default font: family=Dialog,name=Dialog,style=plain,size=12
|
|
||||||
|
|
||||||
Java version: 1.8.0_112 64-bit
|
|
||||||
Java home: C:/Xilinx/Vivado/2017.4/tps/win64/jre
|
|
||||||
JVM executable location: C:/Xilinx/Vivado/2017.4/tps/win64/jre/bin/java.exe
|
|
||||||
|
|
||||||
User name: wgg
|
|
||||||
User home directory: C:/Users/wgg
|
|
||||||
User working directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4
|
|
||||||
User country: CN
|
|
||||||
User language: zh
|
|
||||||
User locale: zh_CN
|
|
||||||
|
|
||||||
RDI_BASEROOT: C:/Xilinx/Vivado
|
|
||||||
HDI_APPROOT: C:/Xilinx/Vivado/2017.4
|
|
||||||
RDI_DATADIR: C:/Xilinx/Vivado/2017.4/data
|
|
||||||
RDI_BINDIR: C:/Xilinx/Vivado/2017.4/bin
|
|
||||||
|
|
||||||
Vivado preferences file location: C:/Users/wgg/AppData/Roaming/Xilinx/Vivado/2017.4/vivado.xml
|
|
||||||
Vivado preferences directory: C:/Users/wgg/AppData/Roaming/Xilinx/Vivado/2017.4/
|
|
||||||
Vivado layouts directory: C:/Users/wgg/AppData/Roaming/Xilinx/Vivado/2017.4/layouts
|
|
||||||
PlanAhead jar file location: C:/Xilinx/Vivado/2017.4/lib/classes/planAhead.jar
|
|
||||||
Vivado log file location: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log
|
|
||||||
Vivado journal file location: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.jou
|
|
||||||
Engine tmp dir: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/.Xil/Vivado-17240-DESKTOP-C6I6OAQ
|
|
||||||
|
|
||||||
GUI allocated memory: 189 MB
|
|
||||||
GUI max memory: 3,052 MB
|
|
||||||
Engine allocated memory: 547 MB
|
|
||||||
|
|
||||||
Copyright 1986-2017 Xilinx, Inc. All Rights Reserved.
|
|
||||||
|
|
||||||
*/
|
|
||||||
|
|
||||||
// TclEventType: START_GUI
|
|
||||||
// Tcl Message: start_gui
|
|
||||||
// TclEventType: PROJECT_OPEN_DIALOG
|
|
||||||
// [GUI Memory]: 50 MB (+50319kb) [00:00:05]
|
|
||||||
// [Engine Memory]: 467 MB (+338389kb) [00:00:05]
|
|
||||||
// Opening Vivado Project: E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr. Version: Vivado v2017.4
|
|
||||||
// bs (cj): Open Project : addNotify
|
|
||||||
// TclEventType: DEBUG_PROBE_SET_CHANGE
|
|
||||||
// Tcl Message: open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr
|
|
||||||
// TclEventType: MSGMGR_MOVEMSG
|
|
||||||
// TclEventType: FILE_SET_NEW
|
|
||||||
// TclEventType: RUN_COMPLETED
|
|
||||||
// TclEventType: RUN_CURRENT
|
|
||||||
// TclEventType: PROJECT_NEW
|
|
||||||
// [GUI Memory]: 61 MB (+8814kb) [00:00:07]
|
|
||||||
// [Engine Memory]: 545 MB (+56806kb) [00:00:07]
|
|
||||||
// Tcl Message: open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr
|
|
||||||
// Tcl Message: Scanning sources... Finished scanning sources
|
|
||||||
// Tcl Message: INFO: [IP_Flow 19-234] Refreshing IP repositories INFO: [IP_Flow 19-1704] No user IP repositories specified
|
|
||||||
// TclEventType: PROJECT_NEW
|
|
||||||
// [Engine Memory]: 602 MB (+31924kb) [00:00:09]
|
|
||||||
// [GUI Memory]: 82 MB (+18518kb) [00:00:10]
|
|
||||||
// [GUI Memory]: 90 MB (+3516kb) [00:00:11]
|
|
||||||
// [Engine Memory]: 666 MB (+35411kb) [00:00:11]
|
|
||||||
// [GUI Memory]: 96 MB (+1685kb) [00:00:11]
|
|
||||||
// Tcl Message: INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2017.4/data/ip'.
|
|
||||||
// HMemoryUtils.trashcanNow. Engine heap size: 677 MB. GUI used memory: 45 MB. Current time: 3/10/19 10:06:52 PM CST
|
|
||||||
// Tcl Message: open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:07 . Memory (MB): peak = 850.613 ; gain = 135.648
|
|
||||||
// Project name: USTCRVSoC-nexys4; location: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4; part: xc7a100tcsg324-1
|
|
||||||
dismissDialog("Open Project"); // bs (cj)
|
|
||||||
// TclEventType: DG_ANALYSIS_MSG_RESET
|
|
||||||
// TclEventType: DG_GRAPH_GENERATED
|
|
||||||
// Tcl Message: update_compile_order -fileset sources_1
|
|
||||||
// Elapsed time: 30 seconds
|
|
||||||
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv)]", 1); // B (D, cj)
|
|
||||||
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv)]", 2); // B (D, cj)
|
|
||||||
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv), core_top_inst : core_top (core_top.sv)]", 4); // B (D, cj)
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv), core_top_inst : core_top (core_top.sv)]", 4, true, false, false, false, true, false); // B (D, cj) - Popup Trigger - Node
|
|
||||||
selectMenuItem(PAResourceCommand.PACommandNames_ADD_SOURCES, "Add Sources..."); // ac (ai, cj)
|
|
||||||
// Run Command: PAResourceCommand.PACommandNames_ADD_SOURCES
|
|
||||||
// c (cj): Add Sources: addNotify
|
|
||||||
selectButton("NEXT", "Next >"); // JButton (h, c)
|
|
||||||
selectButton(PAResourceQtoS.SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT, "Add Files"); // a (C, c)
|
|
||||||
// Elapsed time: 17 seconds
|
|
||||||
setFileChooser("E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_segreg.sv");
|
|
||||||
selectButton("FINISH", "Finish"); // JButton (h, c)
|
|
||||||
// 'h' command handler elapsed time: 23 seconds
|
|
||||||
dismissDialog("Add Sources"); // c (cj)
|
|
||||||
// TclEventType: DG_GRAPH_STALE
|
|
||||||
// TclEventType: FILE_SET_CHANGE
|
|
||||||
// Tcl Message: add_files -norecurse E:/work-Lab/USTCRVSoC/hardware/RTL/core_id_segreg.sv
|
|
||||||
// TclEventType: DG_ANALYSIS_MSG_RESET
|
|
||||||
// TclEventType: DG_GRAPH_GENERATED
|
|
||||||
// TclEventType: FILE_SET_CHANGE
|
|
||||||
// Tcl Message: update_compile_order -fileset sources_1
|
|
||||||
// [Engine Memory]: 701 MB (+1885kb) [00:01:18]
|
|
||||||
// HMemoryUtils.trashcanNow. Engine heap size: 708 MB. GUI used memory: 48 MB. Current time: 3/10/19 10:08:00 PM CST
|
|
||||||
// Elapsed time: 11 seconds
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv)]", 1, true); // B (D, cj) - Node
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv)]", 1, true); // B (D, cj) - Node
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv)]", 1, true, false, false, false, false, true); // B (D, cj) - Double Click - Node
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 205, 261); // cd (w, cj)
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 205, 261, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
// Elapsed time: 15 seconds
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 242, 202); // cd (w, cj)
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 242, 202, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
selectButton(PAResourceItoN.MainToolbarMgr_RUN, (String) null); // aw (f, cj)
|
|
||||||
selectMenuItem(PAResourceCommand.PACommandNames_RUN_SYNTHESIS, "Run Synthesis"); // ac (cj)
|
|
||||||
// Run Command: PAResourceCommand.PACommandNames_RUN_SYNTHESIS
|
|
||||||
// bs (cj): Resetting Runs : addNotify
|
|
||||||
// TclEventType: RUN_MODIFY
|
|
||||||
// TclEventType: RUN_RESET
|
|
||||||
// TclEventType: RUN_MODIFY
|
|
||||||
// Tcl Message: reset_run synth_1
|
|
||||||
// bs (cj): Starting Design Runs : addNotify
|
|
||||||
// TclEventType: RUN_LAUNCH
|
|
||||||
// TclEventType: RUN_MODIFY
|
|
||||||
// Tcl Message: launch_runs synth_1 -jobs 8
|
|
||||||
// Tcl Message: [Sun Mar 10 22:08:28 2019] Launched synth_1... Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log
|
|
||||||
dismissDialog("Starting Design Runs"); // bs (cj)
|
|
||||||
// TclEventType: RUN_COMPLETED
|
|
||||||
// WARNING: HTimer (ActiveMsgMonitor Process Messages Timer) is taking too long to process. Increasing delay to 2000 ms.
|
|
||||||
// ah (cj): Synthesis Completed: addNotify
|
|
||||||
// Elapsed time: 178 seconds
|
|
||||||
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ah)
|
|
||||||
// Run Command: PAResourceCommand.PACommandNames_RUN_IMPLEMENTATION
|
|
||||||
// bs (cj): Starting Design Runs : addNotify
|
|
||||||
// TclEventType: RUN_LAUNCH
|
|
||||||
// TclEventType: RUN_MODIFY
|
|
||||||
// Tcl Message: launch_runs impl_1 -jobs 8
|
|
||||||
// Tcl Message: [Sun Mar 10 22:11:27 2019] Launched impl_1... Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log
|
|
||||||
dismissDialog("Starting Design Runs"); // bs (cj)
|
|
||||||
// TclEventType: RUN_STEP_COMPLETED
|
|
||||||
// Elapsed time: 133 seconds
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 198, 90); // cd (w, cj)
|
|
||||||
selectCodeEditor("Nexys4_USTCRVSoC_top.sv", 198, 90, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
// TclEventType: RUN_COMPLETED
|
|
||||||
// Elapsed time: 74 seconds
|
|
||||||
selectButton(PAResourceCommand.PACommandNames_RUN_BITGEN, "run_bitstream"); // B (f, cj)
|
|
||||||
// Run Command: PAResourceCommand.PACommandNames_RUN_BITGEN
|
|
||||||
// TclEventType: RUN_LAUNCH
|
|
||||||
// TclEventType: RUN_MODIFY
|
|
||||||
// Tcl Message: launch_runs impl_1 -to_step write_bitstream -jobs 8
|
|
||||||
// Tcl Message: [Sun Mar 10 22:14:56 2019] Launched impl_1... Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log
|
|
||||||
// HMemoryUtils.trashcanNow. Engine heap size: 734 MB. GUI used memory: 51 MB. Current time: 3/10/19 10:15:00 PM CST
|
|
||||||
// TclEventType: RUN_FAILED
|
|
||||||
// ah (cj): Bitstream Generation Failed: addNotify
|
|
||||||
// Elapsed time: 56 seconds
|
|
||||||
selectButton(RDIResource.BaseDialog_OK, "OK"); // a (ah)
|
|
||||||
// Run Command: PAResourceCommand.PACommandNames_LOG_WINDOW
|
|
||||||
dismissDialog("Bitstream Generation Failed"); // ah (cj)
|
|
||||||
selectTab((HResource) null, (HResource) null, "Messages", 1); // aF (Q, cj)
|
|
||||||
selectCheckBox(PAResourceItoN.MsgView_CRITICAL_WARNINGS, (String) null, false); // g (aQ, cj): FALSE
|
|
||||||
// Elapsed time: 15 seconds
|
|
||||||
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv)]", 1); // B (D, cj)
|
|
||||||
expandTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv)]", 2); // B (D, cj)
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv), core_top_inst : core_top (core_top.sv)]", 4, true); // B (D, cj) - Node
|
|
||||||
selectTree(PAResourceEtoH.FileSetPanel_FILE_SET_PANEL_TREE, "[root, Design Sources, Nexys4_USTCRVSoC_top (Nexys4_USTCRVSoC_top.sv), soc_inst : soc_top (soc_top.sv), core_top_inst : core_top (core_top.sv), inst_bus_wrap_inst : core_id_segreg (core_id_segreg.sv)]", 5, false, false, false, false, false, true); // B (D, cj) - Double Click
|
|
||||||
selectCodeEditor("core_top.sv", 268, 75); // cd (w, cj)
|
|
||||||
selectCodeEditor("core_top.sv", 268, 75, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
selectCodeEditor("core_top.sv", 261, 66); // cd (w, cj)
|
|
||||||
selectCodeEditor("core_top.sv", 262, 66, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
selectCodeEditor("core_top.sv", 88, 123); // cd (w, cj)
|
|
||||||
selectCodeEditor("core_top.sv", 88, 123, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
selectCodeEditor("core_top.sv", 111, 158); // cd (w, cj)
|
|
||||||
selectCodeEditor("core_top.sv", 111, 158, false, false, false, false, true); // cd (w, cj) - Double Click
|
|
||||||
// [GUI Memory]: 100 MB (+35kb) [00:13:44]
|
|
||||||
// TclEventType: DG_GRAPH_STALE
|
|
||||||
// TclEventType: FILE_SET_CHANGE
|
|
||||||
// TclEventType: DG_ANALYSIS_MSG_RESET
|
|
||||||
// TclEventType: DG_GRAPH_GENERATED
|
|
||||||
// TclEventType: DG_GRAPH_STALE
|
|
||||||
// TclEventType: FILE_SET_CHANGE
|
|
||||||
// TclEventType: DG_ANALYSIS_MSG_RESET
|
|
||||||
// TclEventType: DG_GRAPH_GENERATED
|
|
||||||
// [GUI Memory]: 106 MB (+100kb) [00:20:06]
|
|
Loading…
x
Reference in New Issue
Block a user