diff --git a/FPGA-Arty7/Arty7.xdc b/FPGA-Arty7/Arty7.xdc new file mode 100644 index 0000000..4127931 --- /dev/null +++ b/FPGA-Arty7/Arty7.xdc @@ -0,0 +1,14 @@ + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { CLK100MHZ }]; + +##LEDs +set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L24N_T3_35 Sch=led[4] +set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_25_35 Sch=led[5] +set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6] +#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7] + +##USB-UART Interface +set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L19N_T3_VREF_16 Sch=uart_rxd_out +set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L14N_T2_SRCC_16 Sch=uart_txd_in diff --git a/FPGA-Arty7/Arty7_USTCRVSoC_top.sv b/FPGA-Arty7/Arty7_USTCRVSoC_top.sv new file mode 100644 index 0000000..0bbe69b --- /dev/null +++ b/FPGA-Arty7/Arty7_USTCRVSoC_top.sv @@ -0,0 +1,86 @@ + +module Arty7_USTCRVSoC_top( + input logic CLK100MHZ, + output logic [2:0] LED, + output logic UART_TX, + input logic UART_RX +); + +logic clk; // 50MHz, SoC driving clock, generated by MMCM + + +// Show UART on LED2, LED1 +assign LED[2:1] = ~{UART_RX, UART_TX}; + + +//------------------------------------------------------------------------------------------------------ +// SoC +//------------------------------------------------------------------------------------------------------ +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( UART_RX ), + .isp_uart_tx ( UART_TX ), + .vga_hsync ( ), + .vga_vsync ( ), + .vga_red ( ), + .vga_green ( ), + .vga_blue ( ) +); + + +//------------------------------------------------------------------------------------------------------ +// MMCM primitive, generate SoC driving clock, equivalent to clock wizard IP +//------------------------------------------------------------------------------------------------------ +wire clkin_buf, clkfb, clkfb_buf, clkout_unbuf; + +BUFG bufg_clkin ( .O(clkin_buf), .I(CLK100MHZ) ); +BUFG bufg_clkfb ( .O(clkfb_buf), .I(clkfb) ); +BUFG bufg_clkout ( .O(clk), .I(clkout_unbuf) ); + +MMCME2_ADV #( + .BANDWIDTH ( "HIGH" ), + .CLKOUT4_CASCADE ( "FALSE" ), + .COMPENSATION ( "ZHOLD" ), + .STARTUP_WAIT ( "FALSE" ), + .DIVCLK_DIVIDE ( 1 ), + .CLKFBOUT_MULT_F ( 8.000 ), // f(clkfb) = f(clkin) * 8 + .CLKFBOUT_PHASE ( 0.000 ), + .CLKFBOUT_USE_FINE_PS( "FALSE" ), + .CLKOUT0_DIVIDE_F ( 16.000 ), // f(clkout) = f(clkfb) / 16 + .CLKOUT0_PHASE ( 0.000 ), + .CLKOUT0_DUTY_CYCLE ( 0.500 ), + .CLKOUT0_USE_FINE_PS ( "FALSE" ), + .CLKIN1_PERIOD ( 10.000 ) // T=10ns, f=100MHz +) mmcm_adv_i ( + .CLKFBOUT ( clkfb ), + .CLKFBOUTB ( ), + .CLKOUT0 ( clkout_unbuf ), + .CLKOUT0B(), .CLKOUT1(), .CLKOUT1B(), .CLKOUT2(), .CLKOUT2B(), .CLKOUT3(), .CLKOUT3B(), .CLKOUT4(), .CLKOUT5(), .CLKOUT6(), + .CLKFBIN ( clkfb_buf ), + .CLKIN1 ( clkin_buf ), + .CLKIN2 ( 1'b0 ), + .CLKINSEL ( 1'b1 ), + .DADDR ( 7'h0 ), + .DCLK ( 1'b0 ), + .DEN ( 1'b0 ), + .DI ( 16'h0 ), + .DO ( ), + .DRDY ( ), + .DWE ( 1'b0 ), + .PSCLK ( 1'b0 ), + .PSEN ( 1'b0 ), + .PSINCDEC ( 1'b0 ), + .PSDONE ( ), + .LOCKED ( LED[0] ), + .CLKINSTOPPED ( ), + .CLKFBSTOPPED ( ), + .PWRDWN ( 1'b0 ), + .RST ( 1'b0 ) +); + + +endmodule diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr b/FPGA-Arty7/USTCRVSoC-Arty7.xpr similarity index 76% rename from hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr rename to FPGA-Arty7/USTCRVSoC-Arty7.xpr index ed9a3fb..95bc97a 100644 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr +++ b/FPGA-Arty7/USTCRVSoC-Arty7.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qpf b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf similarity index 100% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qpf rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf similarity index 86% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf index fd2f1b7..a1cca0d 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC.qsf +++ b/FPGA-DE0Nano/DE0Nano_USTCRVSoC.qsf @@ -239,7 +239,7 @@ set_global_assignment -name DEVICE EP4CE22F17C6 set_global_assignment -name TOP_LEVEL_ENTITY DE0Nano_USTCRVSoC_top set_global_assignment -name ORIGINAL_QUARTUS_VERSION 13.1 set_global_assignment -name PROJECT_CREATION_TIME_DATE "22:31:13 MARCH 02, 2019" -set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name LAST_QUARTUS_VERSION "18.1.0 Standard Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 @@ -260,26 +260,25 @@ set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top set_global_assignment -name FLOW_DISABLE_ASSEMBLER OFF set_global_assignment -name TIMEQUEST_MULTICORNER_ANALYSIS ON set_global_assignment -name NUM_PARALLEL_PROCESSORS ALL + set_global_assignment -name SYSTEMVERILOG_FILE DE0Nano_USTCRVSoC_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/naive_bus_router.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/soc_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/isp_uart.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/user_uart_tx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_tx_line.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/uart_rx.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/instr_rom.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/video_ram.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/vga_char_86x32.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/char8x16_rom.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/ram128B.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_top.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_regfile.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_instr_bus_adapter.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_id_stage.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_alu.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/core_bus_wrapper.sv -set_global_assignment -name SYSTEMVERILOG_FILE ../../RTL/dual_read_port_ram_32x32.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/soc_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/naive_bus.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/naive_bus_router.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/instr_rom.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ram_bus_wrapper.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/ram.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/video_ram.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/vga_char_86x32.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/isp_uart.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/user_uart_tx.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_tx_line.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/uart/uart_rx.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_top.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_regfile.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_instr_bus_adapter.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_id_stage.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_alu.sv +set_global_assignment -name SYSTEMVERILOG_FILE ../RTL/cpu/core_bus_wrapper.sv + set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv b/FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv similarity index 69% rename from hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv rename to FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv index 4adcedc..28e18a5 100644 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/DE0Nano_USTCRVSoC_top.sv +++ b/FPGA-DE0Nano/DE0Nano_USTCRVSoC_top.sv @@ -1,19 +1,22 @@ module DE0Nano_USTCRVSoC_top( //////////// CLOCK ////////// - input CLOCK_50, + input CLOCK_50, //////////// LED, KEY, Switch ////////// output [ 7:0] LED, //////////// GPIO Header 1 ////////// - input [ 1:0] GPIO_0_IN, - inout [33:0] GPIO_0, - input [ 1:0] GPIO_1_IN, - inout [33:0] GPIO_1 + output [33:0] GPIO_0, + input [ 0:0] GPIO_1_IN, + output [ 0:0] GPIO_1 ); logic vga_red, vga_green, vga_blue; assign GPIO_0[31:16] = {{5{vga_blue}},{6{vga_green}},{5{vga_red}}}; -soc_top soc_inst( +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( .clk ( CLOCK_50 ), .isp_uart_rx ( GPIO_1_IN[0] ), .isp_uart_tx ( GPIO_1[0] ), @@ -25,10 +28,10 @@ soc_top soc_inst( ); // 在开发板的LED上显示ISP-UART和USER-UART的发送灯和接收灯 -assign LED[7:6] = ~{GPIO_1_IN[0],GPIO_1[0]}; +assign LED[7:6] = ~{GPIO_1_IN[0], GPIO_1[0]}; // VGA GND -assign GPIO_0[12] = 1'b0; +assign GPIO_0[15:0] = 16'b0; // 流水灯,指示SoC在运行 reg [21:0] cnt = 22'h0; diff --git a/FPGA-Nexys4/Nexys4.xdc b/FPGA-Nexys4/Nexys4.xdc new file mode 100644 index 0000000..0e5d0c3 --- /dev/null +++ b/FPGA-Nexys4/Nexys4.xdc @@ -0,0 +1,42 @@ + +## Clock signal +set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz +create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {CLK100MHZ}]; + +## LEDs +set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] +set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] +set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] +#set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] +#set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] +#set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] +#set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] +#set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] +#set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] +#set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] +#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] +#set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] +#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] +#set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] +#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] +#set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] + +##VGA Connector +set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] +set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] +set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] +set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] +set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] +set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] +set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] +set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] +set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] +set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] +set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] +set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] +set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs +set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs + +##USB-RS232 Interface +set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in +set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out diff --git a/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv b/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv new file mode 100644 index 0000000..4a78bdb --- /dev/null +++ b/FPGA-Nexys4/Nexys4_USTCRVSoC_top.sv @@ -0,0 +1,95 @@ + +module Nexys4_USTCRVSoC_top( + input logic CLK100MHZ, + output logic [2:0] LED, + output logic UART_TX, + input logic UART_RX, + output logic VGA_HS, VGA_VS, + output logic [3:0] VGA_R, VGA_G, VGA_B +); + +logic clk; // 50MHz, SoC driving clock, generated by MMCM + + +// Show UART on LED2, LED1 +assign LED[2:1] = ~{UART_RX, UART_TX}; + + +// VGA assignment +logic vga_red, vga_green, vga_blue; +assign VGA_R = {4{vga_red}}; +assign VGA_G = {4{vga_green}}; +assign VGA_B = {4{vga_blue}}; + + +//------------------------------------------------------------------------------------------------------ +// SoC +//------------------------------------------------------------------------------------------------------ +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( UART_RX ), + .isp_uart_tx ( UART_TX ), + .vga_hsync ( VGA_HS ), + .vga_vsync ( VGA_VS ), + .vga_red ( vga_red ), + .vga_green ( vga_green ), + .vga_blue ( vga_blue ) +); + + +//------------------------------------------------------------------------------------------------------ +// MMCM primitive, generate SoC driving clock, equivalent to clock wizard IP +//------------------------------------------------------------------------------------------------------ +wire clkin_buf, clkfb, clkfb_buf, clkout_unbuf; + +BUFG bufg_clkin ( .O(clkin_buf), .I(CLK100MHZ) ); +BUFG bufg_clkfb ( .O(clkfb_buf), .I(clkfb) ); +BUFG bufg_clkout ( .O(clk), .I(clkout_unbuf) ); + +MMCME2_ADV #( + .BANDWIDTH ( "HIGH" ), + .CLKOUT4_CASCADE ( "FALSE" ), + .COMPENSATION ( "ZHOLD" ), + .STARTUP_WAIT ( "FALSE" ), + .DIVCLK_DIVIDE ( 1 ), + .CLKFBOUT_MULT_F ( 8.000 ), // f(clkfb) = f(clkin) * 8 + .CLKFBOUT_PHASE ( 0.000 ), + .CLKFBOUT_USE_FINE_PS( "FALSE" ), + .CLKOUT0_DIVIDE_F ( 16.000 ), // f(clkout) = f(clkfb) / 16 + .CLKOUT0_PHASE ( 0.000 ), + .CLKOUT0_DUTY_CYCLE ( 0.500 ), + .CLKOUT0_USE_FINE_PS ( "FALSE" ), + .CLKIN1_PERIOD ( 10.000 ) // T=10ns, f=100MHz +) mmcm_adv_i ( + .CLKFBOUT ( clkfb ), + .CLKFBOUTB ( ), + .CLKOUT0 ( clkout_unbuf ), + .CLKOUT0B(), .CLKOUT1(), .CLKOUT1B(), .CLKOUT2(), .CLKOUT2B(), .CLKOUT3(), .CLKOUT3B(), .CLKOUT4(), .CLKOUT5(), .CLKOUT6(), + .CLKFBIN ( clkfb_buf ), + .CLKIN1 ( clkin_buf ), + .CLKIN2 ( 1'b0 ), + .CLKINSEL ( 1'b1 ), + .DADDR ( 7'h0 ), + .DCLK ( 1'b0 ), + .DEN ( 1'b0 ), + .DI ( 16'h0 ), + .DO ( ), + .DRDY ( ), + .DWE ( 1'b0 ), + .PSCLK ( 1'b0 ), + .PSEN ( 1'b0 ), + .PSINCDEC ( 1'b0 ), + .PSDONE ( ), + .LOCKED ( LED[0] ), + .CLKINSTOPPED ( ), + .CLKFBSTOPPED ( ), + .PWRDWN ( 1'b0 ), + .RST ( 1'b0 ) +); + + +endmodule diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr b/FPGA-Nexys4/USTCRVSoC-nexys4.xpr similarity index 75% rename from hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr rename to FPGA-Nexys4/USTCRVSoC-nexys4.xpr index 810d850..d9159a6 100644 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr +++ b/FPGA-Nexys4/USTCRVSoC-nexys4.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/README.md b/README.md index f1300d7..31c8d29 100644 --- a/README.md +++ b/README.md @@ -1,285 +1,320 @@ -![语言](https://img.shields.io/badge/语言-systemverilog_(IEEE1800_2005)-CAD09D.svg) ![仿真](https://img.shields.io/badge/仿真-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-quartus-blue.svg) - - -USTCRVSoC -=========================== -一个用 SystemVerilog 编写的,基于 RISC-V 的,普林斯顿结构的 SoC - -**** -## 目录 -* [特点](#特点) -* [SoC结构](#SoC结构) -* [CPU特性](#CPU特性) -* [部署到FPGAf](#部署到FPGA) - * 部署到 Nexys4 - * 部署到 Arty7 - * 部署到 DE0-Nano - * 部署到其它开发板 -* [测试软件](#测试软件) - * Hello World - * 使用 UART 调试总线 - * 使用 VGA 屏幕 - * 使用工具:USTCRVSoC-tool -* [CPU仿真](#CPU仿真) - * 进行仿真 -* [SoC仿真](#SoC仿真) - * 进行仿真 - * 修改指令ROM - -# 特点 - -* **CPU**:5段流水线 RISC-V ,能运行 **RV32I** 指令集中的大部分指令 -* **总线**:简单的具有**握手机制**的,32-bit地址位宽和32-bit数据位宽的总线 -* **总线交叉开关 (bus router)**:可使用参数修改总线主从接口的数量和从接口占用的地址空间,以方便拓展外设 -* **交互式 UART 调试**:支持使用PC上的Putty、串口助手、minicom等软件,实现**系统复位**、**上传程序**、**查看内存**等功能 -* 完全使用 SystemVerilog 实现,不调用IP核,便于移植和仿真 - -# SoC结构 - -![SoC结构框图](./images/SoC.png) - -上图展示了SoC的结构,总线仲裁器 **bus_router** (也叫总线交叉开关)为SoC的中心,上面挂载了3个**主接口**和5个**从接口**。这个SoC使用的总线并不来自于任何标准(例如AXI或APB总线),而是笔者自编的,因为简单所以命名为**naive_bus**。 - -每个**从接口**都占有一段地址空间。当**主接口**访问总线时,**bus_router**判断该地址属于哪个地址空间,然后将它**路由**到相应的**从接口**。下表展示了5个**从接口**的地址空间。 - -| 外设类型 | 起始地址 | 结束地址 | -| :-----: | :-----: | :----: | -| 指令ROM | 0x00000000 | 0x00007fff | -| 指令RAM | 0x00008000 | 0x00008fff | -| 数据RAM | 0x00010000 | 0x00010fff | -| 显存RAM | 0x00020000 | 0x00020fff | -| 用户UART | 0x00030000 | 0x00030003 | - -### 组成部件 - -* **多主多从总线仲裁器 (bus_router)**:对应文件 naive_bus_router.sv。为每个从设备划分地址空间,将主设备的总线读写请求路由到从设备。当多个主设备同时访问一个从设备时,还能根据主设备的优先级进行冲突仲裁。 -* **RV32I Core**:对应文件 core_top.sv。包括两个主接口。一个用于取指令,一个用于读写数据。 -* **UART调试器**:对应文件 isp_uart.sv。将UART调试功能和用户UART结合为一体。包括一个主接口和一个从接口。它接收上位机从UART发来的命令,对总线进行读写。它可以用于在线烧写、在线调试。也可以接收CPU的命令去发送数据给用户。 -* **指令ROM**:对应文件 instr_rom.sv。CPU默认从这里开始取指令,里面的指令流是在硬件代码编译综合时就固定的,不能在运行时修改。唯一的修改方法是编辑 **instr_rom.sv** 中的代码,然后重新编译综合、烧写FPGA逻辑。因此**instr_rom** 多用于仿真。 -* **指令RAM**:对应文件 ram_bus_wrapper.sv。用户使用 isp_uart 在线烧写指令流到这里,然后将 Boot 地址指向这里,再复位SoC后,CPU就从这里开始运行指令流。 -* **数据RAM**:对应文件 ram_bus_wrapper.sv。存放运行时的数据。 -* **显存RAM**:对应文件 video_ram.sv。在屏幕上显示 86列 * 32行 = 2752 个字符,显存 RAM 的 4096B 被划分为 32 个块,每块对应一行,占 128B,前 86 字节对应 86 个列。屏幕上显示的是每个字节作为 ASCII 码所对应的字符。 - -# CPU特性 - -* 支持: **RV32I** 中的所有Load、Store、算术、逻辑、移位、比较、跳转。 -* 不支持:同步、控制状态、环境调用和断点类指令 - -所有支持的指令包括: - -> LB, LH, LW, LBU, LHU, SB, SH, SW, ADD, ADDI, SUB, LUI, AUIPC, XOR, XORI, OR, ORI, AND, ANDI, SLL, SLLI, SRL, SRLI, SRA, SRAI, SLT, SLTI, SLTU, SLTIU, BEQ, BNE, BLT ,BGE, BLTU, BGEU, JAL, JALR - -指令集方面,今后可能先考虑加入 **RV32IM** 中的乘除指令。 - -CPU采用5段流水线,目前支持的流水线特性有: - -> Forward、Loaduse、总线握手等待 - -流水线方面,今后考虑添加的特性有: - -> 分支预测、中断 - -# 部署到FPGA - -目前,我们提供了 Xilinx 的 **Nexys4 开发板** 、 **Arty7 开发板** 和 Altera 的 **DE0-Nano 开发板** 的工程。 - -为了进行部署和测试,你需要准备以下的东西: - -* 装有 **Windows7 系统** 或更高版本的 PC(如果使用 Linux 则很难用上我提供的几个C#编写的工具) -* **Nexys4 开发板** 或 **Arty7 开发板** 或 **DE0-Nano 开发板** 或其它 FPGA 开发板 -* 开发板对应的 **RTL 开发环境**,例如 **Nexys4 开发板** 和 **Arty7 开发板** 对应 Vivado(推荐 Vivado 2018.3 或更高版本),DE0-Nano 对应 Quartus (推荐Quartus II 13.1 或更高版本) -* 如果你的开发板没有自带 **USB转UART** 电路(例如 DE0-Nano),则需要一个 **USB转UART模块**。 -* **可选**:*屏幕、VGA线* - -## 部署到 Nexys4 - -![Nexys4照片](./images/nexys4-connection2.png) - -1. **硬件连接**:如上图,Nexys4 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。另外,VGA 的连接是可选的,你可以把它连接到屏幕上。 -2. **综合、烧写**:请用 Vivado 打开 **./hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr**。综合并烧写到开发板。 - -## 部署到 Arty7 - -1. **硬件连接**:Arty7 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。 -2. **综合、烧写**:请用 Vivado 打开 **./hardware/Vivado/Arty7/USTCRVSoC-Arty7.xpr**。综合并烧写到开发板。 - -## 部署到 DE0-Nano - -![DE0Nano照片](./images/DE0-Nano.png) - -1、**硬件连接**:DE0-Nano开发板上既没有串口转USB,也没有VGA接口。因此需要外部模块,以及一些动手能力和硬件知识。我们使用DE0-Nano上的两排GPIO作为外接模块的引脚,接口意义如上图。你需要一个USB转UART的模块,将UART的TX和RX引脚连接上去,使之能与电脑通信。VGA的连接是可选的,需要符合上图中VGA的引脚定义。最后连接的效果如下图: - -![DE0Nano照片连接](./images/connection.png) - -2、**综合、烧写**:请用 Quartus 打开 **./hardware/Quartus/DE0_Nano/DE0_Nano.qpf**。综合并烧写到开发板。 - -## 部署到其它开发板 - -如果很不幸,你手头的 FPGA 开发板不是上述开发板,则需要手动建立工程,连接信号到开发板顶层。分为以下步骤: - -* **建立工程**:建立工程后,需要将 **./hardware/RTL/** 中的所有 .sv 文件添加进工程。 -* **编写顶层**:SoC 的顶层文件是 **./hardware/RTL/soc_top.sv**,你需要编写一个针对该开发板的顶层文件,调用 **soc_top**,并将 FPGA 的引脚连接到 **soc_top** 中。以下是对 **soc_top** 的信号说明。 -* **编译、综合、烧写到FPGA** - -```Verilog -module soc_top #( - // UART接收分频系数,请根据clk的时钟频率决定,计算公式 UART_RX_CLK_DIV=clk频率(Hz)/460800,四舍五入 - parameter UART_RX_CLK_DIV = 108, - // UART发送分频系数,请根据clk的时钟频率决定,计算公式 UART_TX_CLK_DIV=clk频率(Hz)/115200,四舍五入 - parameter UART_TX_CLK_DIV = 434, - // VGA分频系数,请根据clk的时钟频率决定,计算公式 VGA_CLK_DIV=clk频率(Hz)/50000000 - parameter VGA_CLK_DIV = 1 -)( - input logic clk, // SoC 时钟,推荐使用 50MHz 的倍数 - input logic isp_uart_rx, // 连接到开发板的 UART RX 引脚 - output logic isp_uart_tx, // 连接到开发板的 UART TX 引脚 - output logic vga_hsync, vga_vsync, // 连接到VGA(可以不连接) - output logic vga_red, vga_green, vga_blue // 连接到VGA(可以不连接) -); -``` - - - -# 测试软件 - -硬件烧写后,开始对它进行测试 - -### 查看 Hello World - -硬件烧写后,如果你的开发板上有 UART 指示灯,就已经能看到 TX 指示灯在闪烁,每闪烁一下其实是在发送一个"Hello",这说明CPU在运行指令ROM里默认的程序。下面我们来查看这个Hello。 - -首先我们需要一款**串口终端软件**,例如: -* minicom -* 串口助手 -* 超级终端 -* Putty - -这些工具用起来都不够爽快,因此这里使用该仓库中自带的小工具 **UartSession** 做示范。它的路径是 **./tools/UartSession.exe**。 - -> **UartSession** 使用C#编写, **./UartSession-VS2012** 中有 VisualStudio 工程。 - -首先,我们运行 **UartSession.exe**,可以看到该软件将电脑的所有可用端口都列了出来,并给出了几个选项: -* **打开端口**:输入数字,按回车可以打开数字对应的端口。 -* **修改波特率**:输入"baud [数字]",再按回车可以修改波特率。例如输入baud 9600可以修改波特率为9600。 -* **刷新端口列表**:输入"refresh",再按回车可以刷新端口列表。 -* **退出**:输入"exit"可以退出 - -![UartSession](./images/UartSession2.png) - -波特率默认是115200,与我们的 SoC 一致,不需要修改。直接从端口列表里找到 FPGA 开发板所对应的端口,打开它。我们就可以看到窗口中不断显示"hello",根本停不下来,如上图,这说明CPU在正常运行程序。 - -> 如果不知道端口列表中哪个端口对应 FPGA 开发板,可以拔下开发板的 USB,刷新一次端口列表,则消失的端口就是开发板对应的端口。然后再插上USB(如果FPGA内的电路丢失则需要重新烧录FPGA) - - -### 使用 UART 调试总线 - -现在界面中不断地打印出"hello",我们打一个回车,可以看到对方不再打出"hello",并出现了一个"debug",这样就成功进入了 **DEBUG模式**。 - -![UartSession](./images/UartSession1.png) - -UART 调试器有两种模式: -* **USER 模式**:该模式下可以收到 CPU 通过 isp_uart 发送的用户打印数据。FPGA烧写后默认处于这个模式。hello只有在这个模式下才能被我们看到。通过向 uart **发送一个\n** 可以跳出 **USER模式**,进入DEBUG模式。 -* **DEBUG 模式**:该模式下 CPU 打印的任何数据都会被抑制,UART 不再主动发送数据,变成了**一问一答**的形式,用户发送的调试命令和接收到的应答都**以\n结尾**,通过发送"o"或系统复位可以回到 **USER模式**。 - -下面让我们尝试 **UART 的调试功能**,输入 **"0"** 并按回车,会看到对方发来一个8位16进制数。该数就是SoC总线的地址 0x00000000 处读取出的数据,也就是**指令ROM**中的第一个指令,如下图。 - -![UartSession](./images/UartSession3.png) - -除了读,我们也可以用调试器写总线,输入一条写命令: "10000 abcd1234" 并按回车,会看到对方发来 **"wr done"** ,意为写成功,该命令意为向地址 0x10000 中写入 0xabcd1234 (0x10000是数据RAM的首地址)。 - -为了验证写成功,输入读指令:**"10000"** 并按回车,会看到对方发来**"abcd1234"**。 - -> 注:UART 调试器每次读写总线只能以**4字节对齐**的形式,并且一次必须读写4字节。 - -下表显示了 **DEBUG模式** 的所有命令格式。 - -| 命令类型 | 命令示例 | 返回示例 | 含义 | -| ----- | :----- | :---- | :----- | -| 读总线 | 00020000 | abcd1234 | 地址0x00020000读出的数据是0xabcd1234 | -| 写总线 | 00020004 1276acd0 | wr done | 向地址0x00020004写数据0x1276acd0 | -| 切至USER模式 | o | user | 切换回USER模式 -| 复位 | r00008000 | rst done | CPU 复位并从地址 0x00008000 处开始执行,同时切换回 USER 模式 | -| 非法命令 | ^^$aslfdi | invalid | 发送的指令未定义 | - -> 注:无论是发送还是接收,所有命令都以\n或\r或\r\n结尾,**UartSession.exe**是自动插入\n的。如果使用串口助手等其它软件,需要注意这个问题。 - -根据这些命令,不难猜出,在线上传程序的流程是: - -1. 使用写命令,将指令流写入指令 RAM ,(指令 RAM 的地址是 00008000~00008fff) -2. 使用复位命令 r00008000 ,将 CPU 复位并从指令 RAM 中 BOOT - -### 使用 VGA 屏幕 - -没有连接屏幕的可以跳过这一步。 - -如果开发板通过 VGA 连接到了屏幕,可以看到屏幕上出现一个红框,里面空空如也。实际上里面隐藏了 86列32行的字符空位。下面用 **UART调试器** 让屏幕上显示字符。 - -> 提示:如果屏幕中的红框不在正中间,可以使用屏幕的“自动校正”按钮校正一下 - -在**DEBUG模式**下,发送一条写命令: **"20000 31323334"** ,可以看到第一行出现了 **4321** 。这是因为显存RAM的起始地址是 0x20000,使用 UART调试器 正好向其中的前4个字节写入了 0x34、0x33、0x32、0x31,也就是**4321**的ASCII码。 - -![VGA](./images/vga_show.png) - -显存 RAM 占 4096 字节,分为32个块,对应屏幕中的32个行;每块128B,前 86 字节对应该行中的前 86 个字符的 ASCII 码。后面128-86个字节不会显示在屏幕上。 - -显存 RAM 与 数据 RAM 行为相同,即可读又可写,但不能保证一个时钟周期一定能读出数据。 - -### 使用工具:USTCRVSoC-tool - -玩了好久的 UART调试,也该用 CPU 跑跑 benchmark 了。 - -**./software/asm-code** 中提供几个汇编语言的小程序作为 benchmark,如下表。 - -| 文件名 | 说明 | -| :----- | :----- | -| io-test/uart_print.S | 用户UART循环打印hello, 即**指令ROM**中的程序 | -| io-test/vga_hello.S | 屏幕上显示hello | -| calculation-test/Fibonacci.S | 递归法计算**斐波那契数列**第8个数 | -| calculation-test/Number2Ascii.S | 将数字转化成ASCII字符串,类似于C语言中的 **itoa** 或 **sprintf %d** | -| calculation-test/QuickSort.S | 在RAM中初始化一段数据,并进行**快速排序** | -| basic-test/big_endian_little_endian.S | 测试这个系统是**大端序**还是**小端序**(这里自然是小端序) | -| basic-test/load_store.S | 完成一些内存读写 | - -**USTCRVSoC-tool.exe** 是一个能汇编和烧写的小工具,相当于一个 **汇编语言的IDE**,其路径是 **./tools/USTCRVSoC-tool.exe**,界面如下图。 - -> **USTCRVSoC-tool** 使用C#编写,VisualStudio 的工程路径是 ./USTCRVSoC-tool-VS2012 - -![USTCRVSoCtool](./images/USTCRVSoC-tool-image.png) - -现在尝试让SoC运行一个计算快速排序的程序。步骤: -1. **打开 USTCRVSoC-tool.exe** -2. **打开**:点击**打开**按钮,浏览到目录 ./software/asm-code/calculation-test/,打开汇编文件 **QuickSort.S**。 -3. **汇编**:点击**汇编**按钮,可以看到下方框里出现了一串16进制数,这就是汇编得到的机器码。 -4. **烧写**:确保FPGA连接到电脑并烧录了SoC的硬件,然后选择正确的 COM 端口,点击**烧写**,如果下方状态栏里显示“烧写成功”,则CPU就已经开始运行该机器码了。 -5. **查看内存**:这时,在右侧点击**DUMP内存**,可以看到一个有序的数列。QuickSort程序对-9~+9的乱序数组进行了排序,每个数重复了两次。默认的**DUMP内存**不能显示完全,可以将长度设置为100,这样DUMP的字节数量为0x100字节,能看到排序的完整结果。 - -另外,**USTCRVSoC-tool** 也能查看USER模式下的串口数据。请打开 **io-test/uart_print.S**,汇编并烧写,可以看到右侧的**串口查看**框中不断的打印hello。 - -现在,你可以尝试运行这些汇编 benchmark,或者自己编写汇编进行测试。**Have fun!** - -> 关于**普林斯顿结构**:我们虽然区分了**指令RAM**、**数据RAM**、**显存RAM**,但这写存储器在普林斯顿结构中都没有区别。你可以把指令烧写到**数据RAM**、**显存RAM**中去运行,也可以把变量放在**指令RAM**中。甚至,指令和数据都可以放在**数据RAM**中,只要地址别冲突,程序也能正常运行。但是这样的运行效率就会降低,因为CPU的**指令接口**和**数据接口**会**争抢总线**。 - - -# CPU仿真 - -为了验证 CPU 能够正确地运行 RV32I 指令集,我们使用 RISC-V 官方的指令集测试,提供针对了 CPU 仿真工程。 - -### 运行仿真 - -用 **Vivado** 打开工程 **hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr** ,可看见顶层文件为 **tb_core.sv** ,然后按照注释的指示进行仿真即可。 - -# SoC仿真 - -该仓库提供了 SoC 的整体仿真。 - -### 运行仿真 - -用 **Vivado** 打开工程 **hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr** ,工程已经选择了 **tb_soc.sv** 作为仿真的顶层,可以直接进行**行为仿真**。 - -仿真时运行的指令流来自**指令ROM**,如果你还没修改过**指令ROM**,则仿真时可以看到 **uart_tx** 信号出现 **uart** 发送的波形,这是它在打印 **hello**。 - -### 修改指令ROM - -如果你想仿真某个指令流,需要对**指令ROM**进行修改。 - -**USTCRVSoC-tool** 除了进行烧写,也可以用编译后的指令流生成**指令ROM**的Verilog代码。当你使用**汇编**按钮产生指令流后,可以点击右侧的"保存指令流(Verilog)"按钮,保存时替换 **./RTL/instr_rom.sv**,再重新进行仿真即可。 +![语言](https://img.shields.io/badge/语言-systemverilog_(IEEE1800_2005)-CAD09D.svg) ![仿真](https://img.shields.io/badge/仿真-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-vivado-FF1010.svg) ![部署](https://img.shields.io/badge/部署-quartus-blue.svg) + + +USTCRVSoC +=========================== +一个 SystemVerilog 编写的,以一个 RISC-V CPU 为核心的,普林斯顿结构的 SoC ,可作为 MCU 使用。 + +* **CPU**:5段流水线 RISC-V ,支持 **RV32I** 指令集(除了 CSR 指令)。 +* **总线**:具有**握手机制**,32-bit地址,32-bit数据。 +* **总线交叉开关 (bus router)**:可使用参数修改总线主从接口的数量和从接口占用的地址空间,以方便拓展外设。 +* **交互式 UART 调试**:可使用PC上的 Putty、minicom、超级终端等软件进行在线系统复位**、**上传程序**、**查看内存。 +* 完全使用 SystemVerilog 实现,不调用IP核,便于移植和仿真。 + +## 目录 + +* [简介](#简介) +* [部署到FPGA](#部署到FPGA) + * 部署到 Nexys4 + * 部署到 Arty7 + * 部署到 DE0-Nano + * 部署到其它开发板 +* [运行与测试](#运行与测试) + * Hello World + * 使用 UART 调试总线 + * 使用 VGA 屏幕 + * 使用工具:USTCRVSoC-tool +* [CPU仿真](#CPU仿真) +* [SoC仿真](#SoC仿真) + +# 简介 + +**图1**展示了SoC的结构,总线仲裁器 **bus_router** (也叫总线交叉开关)上挂载了3个**主接口**(master port)和5个**从接口**(slave port)。这个总线并不来自于任何现有标准(例如 AXI 或 APB),而是一种简单的同步握手总线,命名为 **naive_bus**。 + +| ![SoC](./figures/SoC.png) | +| :-----------------------: | +| **图1**:SoC 结构 | + +每个**从接口**都占有一段地址空间。当**主接口**访问总线时,**bus_router**判断该地址属于哪个地址空间,然后将它**路由**到相应的**从接口**。下表展示了5个**从接口**的地址空间。 + +*表1*:SoC 地址空间分配 + +| 外设名称 | 起始地址 | 结束地址 | +| :-----: | :-----: | :----: | +| Instr ROM(指令ROM) | 0x00000000 | 0x00007fff | +| Instr RAM(指令RAM) | 0x00008000 | 0x00008fff | +| Data RAM(数据RAM) | 0x00010000 | 0x00010fff | +| Video RAM(显存RAM) | 0x00020000 | 0x00020fff | +| ISP UART's user port | 0x00030000 | 0x00030003 | + +## 组成部件 + +* **多主多从总线仲裁器 (bus_router)**:对应文件 naive_bus_router.sv。为每个从设备划分地址空间,将主设备的总线读写请求路由到从设备。当多个主设备同时访问一个从设备时,还能根据主设备的优先级进行冲突仲裁。 +* **RV32I Core**:对应文件 core_top.sv。包括两个主接口。一个用于取指令,一个用于读写数据。 +* **UART调试器**:对应文件 isp_uart.sv。将UART调试功能和用户UART结合为一体。包括一个主接口和一个从接口。它接收上位机从UART发来的命令,对总线进行读写。它可以用于在线烧写、在线调试。也可以接收CPU的命令去发送数据给用户。 +* **指令ROM**:对应文件 instr_rom.sv。CPU默认从这里开始取指令,里面的指令流是在硬件代码编译综合时就固定的,不能在运行时修改。唯一的修改方法是编辑 **instr_rom.sv** 中的代码,然后重新编译综合、烧写FPGA逻辑。因此**instr_rom** 多用于仿真。 +* **指令RAM**:对应文件 ram_bus_wrapper.sv。请使用UART调试器在线烧写指令流到这里,然后将 Boot 地址指向这里,再复位SoC后,CPU就从这里开始运行指令流。 +* **数据RAM**:对应文件 ram_bus_wrapper.sv。存放运行时的数据。 +* **显存RAM**:对应文件 video_ram.sv。在屏幕上显示 86列 * 32行 = 2752 个字符,显存 RAM 的 4096B 被划分为 32 个块,每块对应一行,占 128B,前 86 字节对应 86 个列。屏幕上显示的是每个字节作为 ASCII 码所对应的字符。 + +## CPU特性 + +* 支持: **RV32I** 中的所有 Load、Store、算术、逻辑、移位、比较、跳转。 +* 不支持:同步、控制状态(CSR)、环境调用和断点类指令 + +所有支持的指令包括: + +> LB, LH, LW, LBU, LHU, SB, SH, SW, ADD, ADDI, SUB, LUI, AUIPC, XOR, XORI, OR, ORI, AND, ANDI, SLL, SLLI, SRL, SRLI, SRA, SRAI, SLT, SLTI, SLTU, SLTIU, BEQ, BNE, BLT ,BGE, BLTU, BGEU, JAL, JALR + +CPU采用5段流水线,如**图2**,目前支持的流水线特性包括:Forward、Loaduse、总线握手等待。 + +| ![CPU](./figures/CPU.png) | +| :-----------------------: | +| **图2**:CPU 结构 | + + + +# 硬件设计代码 + +| 目录名 | 说明 | +| ------------ | ------------------------------------------------------------ | +| RTL | 全部的 SystemVerilog 代码,其中 soc_top.sv 是整个 SoC 的顶层 | +| FPGA-Arty7 | 基于 Arty7 开发板的 Vivado 工程 | +| FPGA-Nexys4 | 基于 Nexys4 开发板的 Vivado 工程 | +| FPGA-DE0Nano | 基于 DE0Nano 开发板的 Quartus 工程 | +| SIM-CPU | 仿真:对 CPU 进行的指令集测试(使用 RISC-V 官方测试程序) | +| SIM-SoC | 仿真:对整个 SoC 的仿真 | + +请注意,所有工程共用 RTL 目录,因此在一个工程里修改代码也会导致其它工程中的代码发生变化。 + + + +# 部署到FPGA + +目前,我提供了 Xilinx 的 **Nexys4 开发板** 、 **Arty7 开发板** 和 Altera 的 **DE0-Nano 开发板** 的工程。 + +为了进行部署和测试,你需要准备以下的东西: + +* **Windows7 系统** 或更高版本的 PC(如果使用 Linux 则很难用上我提供的两个C#编写的工具) +* **Nexys4 开发板** 或 **Arty7 开发板** 或 **DE0-Nano 开发板** 或其它 FPGA 开发板 +* 开发板对应的开发环境,例如 Nexys4 和 Arty7 开发板对应 Vivado,DE0-Nano 对应 Quartus +* 如果你的开发板没有自带 USB 转 UART 电路(例如 DE0-Nano),则需要一个 **USB转UART模块** +* 可选:屏幕、VGA线 + +## 部署到 Nexys4 + +| ![nexys4-connection](./figures/nexys4-connection.png) | +| :---------------------------------------------------: | +| **图3**:Nexys4 的硬件连接方法 | + +1. **硬件连接**:如**图3**,Nexys4 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。另外,VGA 的连接是可选的,你可以把它连接到屏幕上。 +2. **综合、烧写**:请用 Vivado 打开工程 **FPGA-Nexys4/USTCRVSoC-nexys4.xpr** 。综合并烧写。 + +## 部署到 Arty7 + +1. **硬件连接**:Arty7 开发板上有一个 USB 口,既可以用于 FPGA 烧录,也可以用于 UART 通信,我们需要连接该 USB 口到电脑。 +2. **综合、烧写**:请用 Vivado 打开工程 **FPGA-Arty7/USTCRVSoC-Arty7.xpr** 。综合并烧写。 + +## 部署到 DE0-Nano + +| ![DE0-Nano](./figures/DE0-Nano.png) | +| :---------------------------------: | +| **图4**:DE0-Nano 的硬件连接方法 | + +1、**硬件连接**:DE0-Nano开发板上既没有USB转UART,也没有VGA接口。因此需要外部模块,以及一些动手能力。我们使用DE0-Nano上的两排GPIO作为外接模块的引脚,接口含义如**图4**。你需要一个USB转UART的模块,将UART的TX和RX引脚连接上去,使之能与电脑通信。VGA的连接是可选的,需要符合上图中VGA的引脚定义。最后连接的效果如**图5**: + +2、**综合、烧写**:请用 Quartus 打开 **FPGA-DE0Nano/DE0Nano_USTCRVSoC.qpf**。综合并烧写。 + +| ![de0nano-connection](./figures/de0nano-connection.png) | +| :-----------------------------------------------------: | +| **图5**:DE0-Nano 的硬件连接 | + +## 部署到其它开发板 + +如果你手头的 FPGA 开发板不是上述开发板,则需要手动建立工程,连接信号到开发板顶层。分为以下步骤: + +1. **建立工程**:建立工程后,需要将 RTL 目录(以及其子目录)中的所有 .sv 文件添加进工程。 +2. **编写顶层**:SoC 的顶层文件是 **soc_top.sv**,你需要编写一个针对该开发板的顶层文件,调用 **soc_top**,并将 FPGA 的引脚连接到 **soc_top** 中。以下是对 **soc_top** 的信号说明。 +3. **综合、烧写到FPGA** + +```Verilog +module soc_top #( + // UART接收分频系数,请根据clk的时钟频率决定,计算公式 UART_RX_CLK_DIV=clk频率(Hz)/460800,四舍五入 + parameter UART_RX_CLK_DIV = 108, + // UART发送分频系数,请根据clk的时钟频率决定,计算公式 UART_TX_CLK_DIV=clk频率(Hz)/115200,四舍五入 + parameter UART_TX_CLK_DIV = 434, + // VGA分频系数,请根据clk的时钟频率决定,计算公式 VGA_CLK_DIV=clk频率(Hz)/50000000 + parameter VGA_CLK_DIV = 1 +)( + input logic clk, // SoC 时钟,推荐使用 50MHz 的倍数 + input logic isp_uart_rx, // 连接到开发板的 UART RX 引脚 + output logic isp_uart_tx, // 连接到开发板的 UART TX 引脚 + output logic vga_hsync, vga_vsync, // 连接到VGA(可以不连接) + output logic vga_red, vga_green, vga_blue // 连接到VGA(可以不连接) +); +``` + + + +# 运行与测试 + +硬件烧写后,开始对它进行测试。 + +### Hello World + +硬件烧写后,就已经能看到 UART_TX 对应的指示灯在闪烁,每闪烁一下其实是在通过UART发送一个"Hello",这说明CPU在运行指令ROM里默认的程序。下面我们来查看这个 Hello。 + +首先我们需要一款**串口终端软件**,例如: +* minicom +* 串口助手 +* 超级终端(HyperTerminal) +* Putty + +这些工具用起来都不够爽快,因此这里使用该仓库中自带的小工具 **UartSession** 做示范。它的路径是 **./UartSession/UartSession.exe **,直接双击打开。 + +首先,我们双击运行 **UartSession.exe**,可以看到该软件将电脑的所有可用端口都列了出来,并给出了几个选项: + +- **打开端口**:输入数字,按回车可以打开数字对应的端口。 + +- **修改波特率**:输入"baud [数字]",再按回车可以修改波特率。例如输入baud 9600可以修改波特率为9600。 + +- **刷新端口列表**:输入"refresh",再按回车可以刷新端口列表。 + +- **退出**:输入"exit"可以退出 + +波特率默认是115200,与我们的 SoC 一致,不需要修改。我们直接从端口列表里找到 FPGA 开发板所对应的COM端口,打开它。我们就可以看到窗口中不断显示"hello",根本停不下来,如图,这说明CPU在正常运行程序。 + +| ![UartSession2](./figures/UartSession2.png) | +| :------------------------------------------------: | +| **图6**:打开COM端口后,可以看到不断地打印出 hello | + +> 提示:如果不知道端口列表中哪个端口对应 FPGA 开发板,可以拔下开发板的 USB,刷新一次端口列表,则消失的端口就是开发板对应的端口。然后再插上USB(如果FPGA内的电路丢失则需要重新烧录FPGA) + + +### 使用 UART 调试总线 + +现在界面中不断地打印出"hello",我们打一个回车,可以看到它不再打出"hello",并出现了一个"debug",这样就成功进入了 **DEBUG模式**,如**图7**。 + +| ![UartSession1](./figures/UartSession1.png) | +| :-----------------------------------------: | +| **图7**:进入调试模式 | + +SoC 内的 UART 调试器(isp_uart.sv)有两种模式: +* **USER 模式**:该模式下可以收到 CPU 通过 isp_uart 发送的用户打印数据。FPGA烧写后默认处于这个模式。hello只有在这个模式下才能被我们看到。通过向 uart **发送一个\n** 可以跳出 **USER模式**,进入DEBUG模式。 +* **DEBUG 模式**:该模式下 CPU 打印的任何数据都会被抑制,UART 不再主动发送数据,变成了**一问一答**的形式,用户发送的调试命令和接收到的应答都**以\n结尾**,通过发送"o"可以回到 **USER模式**。 + +下面让我们尝试在 **DEBUG 模式**下对总线进行读写。如**图8**,输入 **"0"** 并按回车,会看到对方发来一个8位16进制数。该数就是SoC总线的地址 0x00000000 处读取出的数据。从表1可以看出,它是**指令ROM**中的第一条指令。 + +| ![UartSession3](./figures/UartSession3.png) | +| :-----------------------------------------: | +| **图8**:进入调试模式 | + +除了读,我们也可以在总线上进行写操作。我们输入一条写命令 "10000 abcd1234" 并按回车,会看到对方发来 "wr done" ,意为写成功,该命令意为向地址 0x10000 中写入 0xabcd1234(从表1可以看出,0x10000是数据RAM的首地址)。 + +为了验证写成功,输入读命令 "10000" 并按回车,会看到对方发来 "abcd1234" 。 + +> 注:UART 调试器每次读写总线只能以**4字节对齐**的形式,并且一次必须读写4字节。 + +下表显示了 **DEBUG模式** 的所有命令格式。 + +| 命令类型 | 命令示例 | 返回示例 | 含义 | +| ----- | :----- | :---- | :----- | +| 读总线 | 00020000 | abcd1234 | 地址0x00020000读出的数据是0xabcd1234 | +| 写总线 | 00020004 1276acd0 | wr done | 向地址0x00020004写数据0x1276acd0 | +| 切至USER模式 | o | user | 切换回USER模式| +| 复位 | r00008000 | rst done | CPU 复位并从地址 0x00008000 处开始执行,同时切换回 USER 模式 | +| 非法命令 | ^^$aslfdi | invalid | 发送的命令未定义 | + +> 注:无论是发送还是接收,所有命令都以\n或\r或\r\n结尾,**UartSession.exe**是自动插入\n的。如果使用串口助手等其它软件,需要注意这个问题。 + +根据这些命令,不难猜出,在线上传程序的流程是: + +1. 使用写命令,将指令一条条地写入指令 RAM ,(指令 RAM 的地址空间是 00008000~00008fff) +2. 使用复位命令 r00008000 ,将 CPU 复位并从指令 RAM 中启动 + +### 使用 VGA 屏幕 + +没有连接屏幕的可以跳过这一步。 + +如果开发板通过 VGA 连接到了屏幕,可以看到屏幕上出现一个红框,里面空空如也。实际上里面隐藏了 86列32行的字符空位。下面用 **UART调试器** 让屏幕上显示字符。 + +> 提示:如果屏幕中的红框不在正中间,可以使用屏幕的“自动校正”按钮校正一下 + +在**DEBUG模式**下,发送一条写命令: **"20000 31323334"** ,可以看到第一行出现了 **4321** 。这是因为显存RAM的起始地址是 0x20000,使用 UART调试器 正好向其中的前4个字节写入了 0x34、0x33、0x32、0x31,也就是**4321**的ASCII码。 + +显存 RAM 占 4096 字节,分为32个块,对应屏幕中的32个行;每块128B,前 86 字节对应该行中的前 86 个字符的 ASCII 码。后面128-86个字节不会显示在屏幕上。 + +显存 RAM 与 数据 RAM 行为相同,即可读又可写,但不能保证一个时钟周期一定能读出数据。 + +| ![VGA](./figures/vga_show.png) | +| :------------------------------------------------------: | +| **图9**:用UART调试器向VGA显存中写入数据,显示在屏幕上。 | + +### 使用工具:USTCRVSoC-tool + +玩了好久的 UART 调试,也该进入正题了——用 CPU 跑 benchmark 。 + +**./asm-code** 目录中提供几个汇编语言的小程序作为 benchmark,如下表。 + +| 文件名 | 说明 | +| :----- | :----- | +| io-test/uart_print.S | 用户UART循环打印hello, 即**指令ROM**中的程序 | +| io-test/vga_hello.S | 屏幕上显示hello | +| calculation-test/Fibonacci.S | 递归法计算**斐波那契数列**第8个数 | +| calculation-test/Number2Ascii.S | 将数字转化成ASCII字符串,类似于C语言中的 **itoa** 或 **sprintf %d** | +| calculation-test/QuickSort.S | 在RAM中初始化一段数据,并进行**快速排序** | +| basic-test/big_endian_little_endian.S | 测试这个系统是**大端序**还是**小端序**(这里自然是小端序) | +| basic-test/load_store.S | 完成一些内存读写 | + +我们不可能一条一条地把编译得到的机器码手动写入指令RAM,这太麻烦了。为此,我提供了一个能进行汇编和在线写入指令流的工具:**USTCRVSoC-tool.exe** (它相当于一个汇编语言的IDE),路径是 **./USTCRVSoC-tool/USTCRVSoC-tool.exe**,双击它打开。 + +| ![USTCRVSoCtool](./figures/USTCRVSoC.png) | +| :---------------------------------------: | +| **图10**:USTCRVSoC-tool 的界面 | + +现在我们尝试让SoC运行一个计算快速排序的程序。步骤: +1. **打开 USTCRVSoC-tool.exe** +2. **打开**:点击**打开**按钮,浏览到目录 ./asm-code/calculation-test/,打开汇编文件 **QuickSort.S**。 +3. **汇编**:点击**汇编**按钮,可以看到下方框里出现了一串16进制数,这就是汇编得到的机器码。 +4. **烧写**:确保FPGA连接到电脑并烧录了SoC的硬件,然后选择正确的 COM 端口,点击**烧写**,如果下方状态栏里显示“烧写成功”,则CPU就已经开始运行该机器码了。 +5. **查看内存**:这时,在右侧点击**DUMP内存**,可以看到一个有序的数列。QuickSort程序对-9~+9的乱序数组进行了排序,每个数重复了两次。默认的**DUMP内存**不能显示完全,可以将长度设置为100,这样DUMP的字节数量为0x100字节,能看到排序的完整结果。 + +另外,**USTCRVSoC-tool** 也能查看USER模式下的串口数据。请打开 **io-test/uart_print.S**,汇编并烧写,可以看到右侧的**串口查看**框中不断的打印hello。 + +现在,你可以尝试运行这些汇编 benchmark,或者自己编写汇编进行测试。**Have fun!** + +> 关于**普林斯顿结构**:我们虽然区分了**指令RAM**、**数据RAM**、**显存RAM**,但这写存储器在普林斯顿结构中都没有区别。你可以把指令烧写到**数据RAM**、**显存RAM**中去运行,也可以把变量放在**指令RAM**中。甚至,指令和数据都可以放在**数据RAM**中,只要地址别冲突,程序也能正常运行。但是这样的运行效率就会降低,因为CPU的**指令接口**和**数据接口**会**争抢总线**。 + + + +# CPU仿真 + +为了验证 CPU 是否能正确地支持 RV32I 指令集,我进行了 Verilog 仿真,在该仿真中,CPU 会运行 RISC-V 官方指令集测试程序。 + +该仿真的相关文件都在 SIM-CPU 目录中,其中的各文件说明如下: + +| 文件/目录名 | 说明 | +| ----------- | ------------------------------------------------------------ | +| tb_cpu.sv | testbench代码 | +| vivado_sim | Vivado 工程,调用 tb_cpu.sv 和 RTL 目录里的设计代码进行仿真。 | +| rv32i_test | 包含三个测试程序的汇编代码和指令流 | + +要运行 CPU 仿真,请用 Vivado 打开工程 **SIM-CPU/vivado_sim/sim_cpu.xpr** ,可看见顶层文件为 **tb_cpu.sv** ,然后你可以修改参数 `INSTRUCTION_STREAM_FILE` 来指定让 CPU 运行哪个指令流(注意应该改成你的PC中的绝对路径)。这里我们应该运行 rv32i_test 目录里提供的三个测试程序: + +- a_instr_stream.txt :算术逻辑指令相关的测试。 +- b_instr_stream.txt :Load/Store 指令相关的测试。 +- c_instr_stream.txt :跳转指令相关的测试。 + +然后我们就可以在 Vivado 中运行行为仿真(Behavior Simulation),这三个测试程序在仿真时,大概需要运行 500us 就能结束,测试成功的标志是 gp 寄存器(3号寄存器,对应 core_regfile.sv 中的 regfile[3] 这个变量)变成 0x00000001 。 + + + +# SoC仿真 + +我还提供了 SoC 的整体仿真。 + +请用 Vivado 打开工程 **SIM-SoC/vivado_sim/sim_soc.xpr** ,可看见顶层文件为 **tb_soc.sv** ,可以直接进行行为仿真。 + +仿真时运行的指令流来自**指令ROM**,如果你还没修改过**指令ROM**,则仿真时可以看到 **uart_tx** 信号出现 **uart** 发送的波形,这是它在打印 **hello**。 + +如果你想在仿真时让 CPU 运行其它的指令流,需要对**指令ROM**进行修改。**USTCRVSoC-tool** 除了进行烧写,也可以用编译后的指令流生成**指令ROM**的Verilog代码。当你使用**汇编**按钮产生指令流后,可以点击右侧的"保存指令流(Verilog)"按钮,保存时替换掉 **./RTL/instr_rom.sv**,再重新进行仿真即可。 diff --git a/hardware/RTL/core_alu.sv b/RTL/cpu/core_alu.sv similarity index 97% rename from hardware/RTL/core_alu.sv rename to RTL/cpu/core_alu.sv index bae236d..0213317 100644 --- a/hardware/RTL/core_alu.sv +++ b/RTL/cpu/core_alu.sv @@ -1,10 +1,12 @@ + module core_alu( input logic [ 6:0] i_opcode, i_funct7, input logic [ 2:0] i_funct3, input logic [31:0] i_num1u, i_num2u, i_pc, i_immu, - output logic o_branch_jalr, + output logic o_branch_jalr, output logic [31:0] o_res, o_branch_jalr_target ); + logic [ 4:0] shamt_rs, shamt_imm; logic [31:0] num1_plus_imm, pc_plus_imm; logic signed [31:0] i_num1s, i_num2s, i_imms; diff --git a/hardware/RTL/core_bus_wrapper.sv b/RTL/cpu/core_bus_wrapper.sv similarity index 89% rename from hardware/RTL/core_bus_wrapper.sv rename to RTL/cpu/core_bus_wrapper.sv index c3680cd..3d984bb 100644 --- a/hardware/RTL/core_bus_wrapper.sv +++ b/RTL/cpu/core_bus_wrapper.sv @@ -1,7 +1,8 @@ + module core_bus_wrapper( - input logic clk, rst_n, - input logic i_re, i_we, - output logic o_conflict, + input logic clk, rstn, + input logic i_re, i_we, + output logic o_conflict, input logic [ 2:0] i_funct3, input logic [31:0] i_addr, input logic [31:0] i_wdata, @@ -49,10 +50,10 @@ always_comb always_comb case(i_funct3) - 3'b000 : if (addr_lsb==2'b00) wdata <= {24'b0, i_wdata[7:0]}; - else if(addr_lsb==2'b01) wdata <= {16'b0, i_wdata[7:0], 8'b0}; - else if(addr_lsb==2'b10) wdata <= {8'b0, i_wdata[7:0], 16'b0}; - else wdata <= {i_wdata[7:0], 24'b0}; + 3'b000 : if (addr_lsb==2'b00) wdata <= {24'b0, i_wdata[7:0] }; + else if(addr_lsb==2'b01) wdata <= {16'b0, i_wdata[7:0], 8'b0}; + else if(addr_lsb==2'b10) wdata <= { 8'b0, i_wdata[7:0], 16'b0}; + else wdata <= { i_wdata[7:0], 24'b0}; 3'b001 : if (addr_lsb==2'b00) wdata <= {16'b0, i_wdata[15:0]}; else if(addr_lsb==2'b10) wdata <= {i_wdata[15:0], 16'b0}; else wdata <= 0; @@ -62,8 +63,8 @@ always_comb endcase -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin i_re_latch <= 1'b0; rd_addr_lsb <= 2'b0; rd_funct3 <= 3'b0; diff --git a/hardware/RTL/core_id_stage.sv b/RTL/cpu/core_id_stage.sv similarity index 91% rename from hardware/RTL/core_id_stage.sv rename to RTL/cpu/core_id_stage.sv index 0ba30c7..f0635da 100644 --- a/hardware/RTL/core_id_stage.sv +++ b/RTL/cpu/core_id_stage.sv @@ -1,7 +1,8 @@ + module core_id_stage( input logic [31:0] i_instr, - output logic o_src1_reg_en, o_src2_reg_en, - output logic o_jal, o_alures2reg, o_memory2reg, o_mem_write, + output logic o_src1_reg_en, o_src2_reg_en, + output logic o_jal, o_alures2reg, o_memory2reg, o_mem_write, output logic [ 4:0] o_src1_reg_addr, o_src2_reg_addr, o_dst_reg_addr, output logic [ 6:0] o_opcode, o_funct7, output logic [ 2:0] o_funct3, @@ -20,7 +21,7 @@ localparam OPCODE_AUIPC = 7'b0010111, // rd=pc+imm OPCODE_ALI = 7'b0010011, // arithmetic and logical I-TYPE, rd=alu_res OPCODE_ALR = 7'b0110011, // arithmetic and logical R-TYPE, rd=alu_res OPCODE_LOAD = 7'b0000011, // load - OPCODE_STORE = 7'b0100011; // store + OPCODE_STORE = 7'b0100011; // store, rd=loadvalue // generate control signals assign o_jal = (o_opcode == OPCODE_JAL ); diff --git a/hardware/RTL/core_instr_bus_adapter.sv b/RTL/cpu/core_instr_bus_adapter.sv similarity index 83% rename from hardware/RTL/core_instr_bus_adapter.sv rename to RTL/cpu/core_instr_bus_adapter.sv index f4a4e08..c451b1d 100644 --- a/hardware/RTL/core_instr_bus_adapter.sv +++ b/RTL/cpu/core_instr_bus_adapter.sv @@ -1,14 +1,15 @@ module core_instr_bus_adapter( - input logic clk, rst_n, + input logic clk, rstn, input logic [31:0] i_boot_addr, - input logic i_stall, i_bus_disable, - input logic i_ex_jmp, i_id_jmp, + input logic i_stall, i_bus_disable, + input logic i_ex_jmp, i_id_jmp, input logic [31:0] i_ex_target, i_id_target, output logic [31:0] o_pc, o_instr, naive_bus.master bus_master ); + logic [31:0] npc, instr_hold=0; logic bus_busy=1'b0, stall_n = 1'b0; @@ -33,8 +34,8 @@ always_comb else npc <= o_pc + 4; -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin stall_n <= 1'b0; bus_busy <= 1'b0; instr_hold <= 0; @@ -53,7 +54,7 @@ always_comb o_instr <= bus_master.rd_data; always @ (posedge clk) - if(~rst_n) + if(~rstn) o_pc <= {i_boot_addr[31:2],2'b00} - 4; else o_pc <= npc; diff --git a/hardware/RTL/core_regfile.sv b/RTL/cpu/core_regfile.sv similarity index 78% rename from hardware/RTL/core_regfile.sv rename to RTL/cpu/core_regfile.sv index b8eff4b..04dce3d 100644 --- a/hardware/RTL/core_regfile.sv +++ b/RTL/cpu/core_regfile.sv @@ -1,6 +1,6 @@ module core_regfile( - input logic clk, rst_n, + input logic clk, rstn, input logic rd_latch, // Read port 1 input logic i_re1, @@ -24,15 +24,16 @@ module core_regfile( input logic [31:0] i_wdata ); -logic [31:0] reg_rdata1, reg_rdata2; +logic [31:0] reg_rdata1 = 0; +logic [31:0] reg_rdata2 = 0; logic [31:0] forward_data1, forward_data2; logic from_fw1, from_fw2; assign o_rdata1 = from_fw1 ? forward_data1 : reg_rdata1; assign o_rdata2 = from_fw2 ? forward_data2 : reg_rdata2; -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin from_fw1 <= 1'b0; forward_data1 <= 0; end else begin @@ -57,8 +58,8 @@ always @ (posedge clk or negedge rst_n) end end -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin from_fw2 <= 1'b0; forward_data2 <= 0; end else begin @@ -83,15 +84,18 @@ always @ (posedge clk or negedge rst_n) end end -dual_read_port_ram_32x32 dual_read_port_ram_32x32_for_regfile( // 32bit*32addr - .clk ( clk ), - .i_we ( i_we ), - .i_waddr ( i_waddr ), - .i_wdata ( i_wdata ), - .i_raddr1 ( i_raddr1 ), - .o_rdata1 ( reg_rdata1 ), - .i_raddr2 ( i_raddr2 ), - .o_rdata2 ( reg_rdata2 ) -); + +// 32bit * 32 regfile +logic [31:0] regfile [32]; + +always @ (posedge clk) + reg_rdata1 <= regfile[i_raddr1]; + +always @ (posedge clk) + reg_rdata2 <= regfile[i_raddr2]; + +always @ (posedge clk) + if(i_we) + regfile[i_waddr] <= i_wdata; endmodule diff --git a/hardware/RTL/core_top.sv b/RTL/cpu/core_top.sv similarity index 91% rename from hardware/RTL/core_top.sv rename to RTL/cpu/core_top.sv index 6870c19..3f94305 100644 --- a/hardware/RTL/core_top.sv +++ b/RTL/cpu/core_top.sv @@ -1,216 +1,218 @@ -module core_top( - input logic clk, rst_n, - input logic [31:0] i_boot_addr, - naive_bus.master instr_master, data_master -); -// ID stage -logic [31:0] id_instr, id_pc; -logic id_src1_reg_en, id_src2_reg_en; -logic [ 4:0] id_src1_reg_addr, id_src2_reg_addr, id_dst_reg_addr; -logic id_jal, id_alures2reg, id_memory2reg, id_memwrite; -logic [ 6:0] id_opcode, id_funct7; -logic [ 2:0] id_funct3; -logic [31:0] id_imm; - -// EX stage -logic ex_branch_jalr, ex_alures2reg=1'b0, ex_memory2reg=1'b0, ex_memwrite=1'b0; -logic [6:0] ex_opcode=7'h0, ex_funct7=7'h0; -logic [2:0] ex_funct3=3'h0; -logic [4:0] ex_dst_reg_addr=5'h0; -logic [31:0] ex_alu_res, ex_src1_reg_data, ex_src2_reg_data, ex_pc=0, ex_imm=0, ex_branch_jalr_target; - -// MEM stage -logic [2:0] mem_funct3=3'b0; -logic mem_alures2reg=1'b0, mem_memory2reg=1'b0, mem_memwrite=1'b0; -logic [31:0] mem_alu_res=0, mem_mem_wdata=0, mem_mem_addr=0; -logic [4:0] mem_dst_reg_addr=5'h0; - -// WB stage -logic wb_memory2reg=1'b0, wb_regwrite=1'b0; -logic [31:0] wb_alu_res=0, wb_reg_wdata, wb_memout; -logic [4:0] wb_dst_reg_addr=5'h0; - -// hazard signal -logic id_read_disable, id_stall, ex_stall, ex_nop, mem_stall, wb_nop; -logic loaduse, mem_data_bus_conflict; - - -// ------------------------------------------------------------------------------- -// hazard - comb logic -// ------------------------------------------------------------------------------- -assign id_read_disable = loaduse; -assign id_stall = mem_data_bus_conflict; -assign ex_stall = mem_data_bus_conflict; -assign ex_nop = loaduse; -assign mem_stall = mem_data_bus_conflict; -assign wb_nop = mem_data_bus_conflict; - -assign loaduse = - (id_src1_reg_en & ex_memory2reg & (id_src1_reg_addr== ex_dst_reg_addr) ) | - (id_src2_reg_en & ex_memory2reg & (id_src2_reg_addr== ex_dst_reg_addr) ) | - (id_src1_reg_en & mem_memory2reg & (id_src1_reg_addr==mem_dst_reg_addr) ) | - (id_src2_reg_en & mem_memory2reg & (id_src2_reg_addr==mem_dst_reg_addr) ) ; - - -// ------------------------------------------------------------------------------- -// Instruction Bus Adapter - timing logic -// ------------------------------------------------------------------------------- -core_instr_bus_adapter core_instr_bus_adapter_i( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( i_boot_addr ), - .i_stall ( id_read_disable | id_stall ), - .i_bus_disable ( id_read_disable ), - .i_ex_jmp ( ex_branch_jalr ), - .i_ex_target ( ex_branch_jalr_target ), - .i_id_jmp ( id_jal ), - .i_id_target ( id_pc + id_imm ), - .o_pc ( id_pc ), - .o_instr ( id_instr ), - .bus_master ( instr_master ) -); - -// ------------------------------------------------------------------------------- -// ID stage - comb logic -// ------------------------------------------------------------------------------- -core_id_stage core_id_stage_inst( - .i_instr ( id_instr ), - .o_src1_reg_en ( id_src1_reg_en ), - .o_src2_reg_en ( id_src2_reg_en ), - .o_jal ( id_jal ), - .o_alures2reg ( id_alures2reg ), - .o_memory2reg ( id_memory2reg ), - .o_mem_write ( id_memwrite ), - .o_src1_reg_addr ( id_src1_reg_addr ), - .o_src2_reg_addr ( id_src2_reg_addr ), - .o_dst_reg_addr ( id_dst_reg_addr ), - .o_opcode ( id_opcode ), - .o_funct7 ( id_funct7 ), - .o_funct3 ( id_funct3 ), - .o_imm ( id_imm ) -); - - -// ------------------------------------------------------------------------------- -// ID-EX stage seg reg - timing logic -// ------------------------------------------------------------------------------- -core_regfile core_regfile_inst( // regfile is a part of ID-EX seg reg - .clk ( clk ), - .rst_n ( rst_n ), - .rd_latch ( ex_stall ), - .i_re1 ( id_src1_reg_en ), - .i_raddr1 ( id_src1_reg_addr ), - .o_rdata1 ( ex_src1_reg_data ), - .i_re2 ( id_src2_reg_en ), - .i_raddr2 ( id_src2_reg_addr ), - .o_rdata2 ( ex_src2_reg_data ), - .i_forward1 ( ex_alures2reg ), - .i_faddr1 ( ex_dst_reg_addr ), - .i_fdata1 ( ex_alu_res ), - .i_forward2 ( mem_alures2reg ), - .i_faddr2 ( mem_dst_reg_addr ), - .i_fdata2 ( mem_alu_res ), - .i_we ( wb_regwrite ), - .i_waddr ( wb_dst_reg_addr ), - .i_wdata ( wb_reg_wdata ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - ex_alures2reg <= 1'b0; - ex_memory2reg <= 1'b0; - ex_memwrite <= 1'b0; - ex_dst_reg_addr <= 5'h0; - ex_opcode <= 7'h0; - ex_funct3 <= 3'h0; - ex_funct7 <= 7'h0; - ex_imm <= 0; - ex_pc <= 0; - end else if(~ex_stall) begin - ex_alures2reg <= ex_nop ? 1'b0 : id_alures2reg; - ex_memory2reg <= ex_nop ? 1'b0 : id_memory2reg; - ex_memwrite <= ex_nop ? 1'b0 : id_memwrite; - ex_dst_reg_addr <= ex_nop ? 5'h0 : id_dst_reg_addr; - ex_opcode <= ex_nop ? 7'h0 : id_opcode; - ex_funct7 <= ex_nop ? 7'h0 : id_funct7; - ex_funct3 <= ex_nop ? 3'h0 : id_funct3; - ex_imm <= ex_nop ? 0 : id_imm; - ex_pc <= ex_nop ? 0 : id_pc; - end - - -// ------------------------------------------------------------------------------- -// EX stage - comb logic -// ------------------------------------------------------------------------------- -core_alu core_alu_inst( - .i_opcode ( ex_opcode ), - .i_funct7 ( ex_funct7 ), - .i_funct3 ( ex_funct3 ), - .i_num1u ( ex_src1_reg_data ), - .i_num2u ( ex_src2_reg_data ), - .i_pc ( ex_pc ), - .i_immu ( ex_imm ), - .o_branch_jalr ( ex_branch_jalr ), - .o_branch_jalr_target ( ex_branch_jalr_target ), - .o_res ( ex_alu_res ) -); - - -// ------------------------------------------------------------------------------- -// EX-MEM stage - timing logic -// ------------------------------------------------------------------------------- -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - mem_memory2reg <= 1'b0; - mem_alures2reg <= 1'b0; - mem_alu_res <= 0; - mem_dst_reg_addr<= 5'h0; - mem_memwrite <= 1'b0; - mem_mem_addr <= 0; - mem_mem_wdata <= 0; - mem_funct3 <= 3'b0; - end else if(~mem_stall) begin - mem_memory2reg <= ex_memory2reg; - mem_alures2reg <= ex_alures2reg; - mem_dst_reg_addr<= ex_dst_reg_addr; - mem_alu_res <= ex_alu_res; - mem_memwrite <= ex_memwrite; - mem_mem_addr <= ex_src1_reg_data + ex_imm; - mem_mem_wdata <= ex_src2_reg_data; - mem_funct3 <= ex_funct3; - end - - -// ------------------------------------------------------------------------------- -// MEM-WB stage - timing logic -// ------------------------------------------------------------------------------- -core_bus_wrapper core_bus_wrapper_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_re ( mem_memory2reg ), - .i_we ( mem_memwrite ), - .o_conflict ( mem_data_bus_conflict ), - .i_funct3 ( mem_funct3 ), - .i_addr ( mem_mem_addr ), - .i_wdata ( mem_mem_wdata ), - .o_rdata ( wb_memout ), - .bus_master ( data_master ) -); -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - wb_regwrite <= 1'b0; - wb_memory2reg <= 1'b0; - wb_dst_reg_addr <= 5'h0; - wb_alu_res <= 0; - end else begin - wb_regwrite <= wb_nop ? 1'b0 : (mem_alures2reg | mem_memory2reg); - wb_memory2reg <= wb_nop ? 1'b0 : mem_memory2reg; - wb_dst_reg_addr <= wb_nop ? 5'h0 : mem_dst_reg_addr; - wb_alu_res <= wb_nop ? 0 : mem_alu_res; - end - -// ------------------------------------------------------------------------------- -// WB stage - comb logic -// ------------------------------------------------------------------------------- -assign wb_reg_wdata = wb_memory2reg ? wb_memout : wb_alu_res; - -endmodule + +module core_top( + input logic clk, rstn, + input logic [31:0] i_boot_addr, + naive_bus.master instr_master, data_master +); + +// ID stage +logic [31:0] id_instr, id_pc; +logic id_src1_reg_en, id_src2_reg_en; +logic [ 4:0] id_src1_reg_addr, id_src2_reg_addr, id_dst_reg_addr; +logic id_jal, id_alures2reg, id_memory2reg, id_memwrite; +logic [ 6:0] id_opcode, id_funct7; +logic [ 2:0] id_funct3; +logic [31:0] id_imm; + +// EX stage +logic ex_branch_jalr, ex_alures2reg=1'b0, ex_memory2reg=1'b0, ex_memwrite=1'b0; +logic [6:0] ex_opcode=7'h0, ex_funct7=7'h0; +logic [2:0] ex_funct3=3'h0; +logic [4:0] ex_dst_reg_addr=5'h0; +logic [31:0] ex_alu_res, ex_src1_reg_data, ex_src2_reg_data, ex_pc=0, ex_imm=0, ex_branch_jalr_target; + +// MEM stage +logic [2:0] mem_funct3=3'b0; +logic mem_alures2reg=1'b0, mem_memory2reg=1'b0, mem_memwrite=1'b0; +logic [31:0] mem_alu_res=0, mem_mem_wdata=0, mem_mem_addr=0; +logic [4:0] mem_dst_reg_addr=5'h0; + +// WB stage +logic wb_memory2reg=1'b0, wb_regwrite=1'b0; +logic [31:0] wb_alu_res=0, wb_reg_wdata, wb_memout; +logic [4:0] wb_dst_reg_addr=5'h0; + +// hazard signal +logic id_read_disable, id_stall, ex_stall, ex_nop, mem_stall, wb_nop; +logic loaduse, mem_data_bus_conflict; + + +// ------------------------------------------------------------------------------- +// hazard - comb logic +// ------------------------------------------------------------------------------- +assign id_read_disable = loaduse; +assign id_stall = mem_data_bus_conflict; +assign ex_stall = mem_data_bus_conflict; +assign ex_nop = loaduse; +assign mem_stall = mem_data_bus_conflict; +assign wb_nop = mem_data_bus_conflict; + +assign loaduse = + (id_src1_reg_en & ex_memory2reg & (id_src1_reg_addr== ex_dst_reg_addr) ) | + (id_src2_reg_en & ex_memory2reg & (id_src2_reg_addr== ex_dst_reg_addr) ) | + (id_src1_reg_en & mem_memory2reg & (id_src1_reg_addr==mem_dst_reg_addr) ) | + (id_src2_reg_en & mem_memory2reg & (id_src2_reg_addr==mem_dst_reg_addr) ) ; + + +// ------------------------------------------------------------------------------- +// Instruction Bus Adapter - timing logic +// ------------------------------------------------------------------------------- +core_instr_bus_adapter core_instr_bus_i( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( i_boot_addr ), + .i_stall ( id_read_disable | id_stall ), + .i_bus_disable ( id_read_disable ), + .i_ex_jmp ( ex_branch_jalr ), + .i_ex_target ( ex_branch_jalr_target ), + .i_id_jmp ( id_jal ), + .i_id_target ( id_pc + id_imm ), + .o_pc ( id_pc ), + .o_instr ( id_instr ), + .bus_master ( instr_master ) +); + +// ------------------------------------------------------------------------------- +// ID stage - comb logic +// ------------------------------------------------------------------------------- +core_id_stage core_id_stage_i ( + .i_instr ( id_instr ), + .o_src1_reg_en ( id_src1_reg_en ), + .o_src2_reg_en ( id_src2_reg_en ), + .o_jal ( id_jal ), + .o_alures2reg ( id_alures2reg ), + .o_memory2reg ( id_memory2reg ), + .o_mem_write ( id_memwrite ), + .o_src1_reg_addr ( id_src1_reg_addr ), + .o_src2_reg_addr ( id_src2_reg_addr ), + .o_dst_reg_addr ( id_dst_reg_addr ), + .o_opcode ( id_opcode ), + .o_funct7 ( id_funct7 ), + .o_funct3 ( id_funct3 ), + .o_imm ( id_imm ) +); + + +// ------------------------------------------------------------------------------- +// ID-EX stage seg reg - timing logic +// ------------------------------------------------------------------------------- +core_regfile core_regfile_i ( // regfile is a part of ID-EX seg reg + .clk ( clk ), + .rstn ( rstn ), + .rd_latch ( ex_stall ), + .i_re1 ( id_src1_reg_en ), + .i_raddr1 ( id_src1_reg_addr ), + .o_rdata1 ( ex_src1_reg_data ), + .i_re2 ( id_src2_reg_en ), + .i_raddr2 ( id_src2_reg_addr ), + .o_rdata2 ( ex_src2_reg_data ), + .i_forward1 ( ex_alures2reg ), + .i_faddr1 ( ex_dst_reg_addr ), + .i_fdata1 ( ex_alu_res ), + .i_forward2 ( mem_alures2reg ), + .i_faddr2 ( mem_dst_reg_addr ), + .i_fdata2 ( mem_alu_res ), + .i_we ( wb_regwrite ), + .i_waddr ( wb_dst_reg_addr ), + .i_wdata ( wb_reg_wdata ) +); +always @ (posedge clk or negedge rstn) + if(~rstn) begin + ex_alures2reg <= 1'b0; + ex_memory2reg <= 1'b0; + ex_memwrite <= 1'b0; + ex_dst_reg_addr <= 5'h0; + ex_opcode <= 7'h0; + ex_funct3 <= 3'h0; + ex_funct7 <= 7'h0; + ex_imm <= 0; + ex_pc <= 0; + end else if(~ex_stall) begin + ex_alures2reg <= ex_nop ? 1'b0 : id_alures2reg; + ex_memory2reg <= ex_nop ? 1'b0 : id_memory2reg; + ex_memwrite <= ex_nop ? 1'b0 : id_memwrite; + ex_dst_reg_addr <= ex_nop ? 5'h0 : id_dst_reg_addr; + ex_opcode <= ex_nop ? 7'h0 : id_opcode; + ex_funct7 <= ex_nop ? 7'h0 : id_funct7; + ex_funct3 <= ex_nop ? 3'h0 : id_funct3; + ex_imm <= ex_nop ? 0 : id_imm; + ex_pc <= ex_nop ? 0 : id_pc; + end + + +// ------------------------------------------------------------------------------- +// EX stage - comb logic +// ------------------------------------------------------------------------------- +core_alu core_alu_i ( + .i_opcode ( ex_opcode ), + .i_funct7 ( ex_funct7 ), + .i_funct3 ( ex_funct3 ), + .i_num1u ( ex_src1_reg_data ), + .i_num2u ( ex_src2_reg_data ), + .i_pc ( ex_pc ), + .i_immu ( ex_imm ), + .o_branch_jalr ( ex_branch_jalr ), + .o_branch_jalr_target ( ex_branch_jalr_target ), + .o_res ( ex_alu_res ) +); + + +// ------------------------------------------------------------------------------- +// EX-MEM stage - timing logic +// ------------------------------------------------------------------------------- +always @ (posedge clk or negedge rstn) + if(~rstn) begin + mem_memory2reg <= 1'b0; + mem_alures2reg <= 1'b0; + mem_alu_res <= 0; + mem_dst_reg_addr<= 5'h0; + mem_memwrite <= 1'b0; + mem_mem_addr <= 0; + mem_mem_wdata <= 0; + mem_funct3 <= 3'b0; + end else if(~mem_stall) begin + mem_memory2reg <= ex_memory2reg; + mem_alures2reg <= ex_alures2reg; + mem_dst_reg_addr<= ex_dst_reg_addr; + mem_alu_res <= ex_alu_res; + mem_memwrite <= ex_memwrite; + mem_mem_addr <= ex_src1_reg_data + ex_imm; + mem_mem_wdata <= ex_src2_reg_data; + mem_funct3 <= ex_funct3; + end + + +// ------------------------------------------------------------------------------- +// MEM-WB stage - timing logic +// ------------------------------------------------------------------------------- +core_bus_wrapper core_bus_wrapper_i ( + .clk ( clk ), + .rstn ( rstn ), + .i_re ( mem_memory2reg ), + .i_we ( mem_memwrite ), + .o_conflict ( mem_data_bus_conflict ), + .i_funct3 ( mem_funct3 ), + .i_addr ( mem_mem_addr ), + .i_wdata ( mem_mem_wdata ), + .o_rdata ( wb_memout ), + .bus_master ( data_master ) +); +always @ (posedge clk or negedge rstn) + if(~rstn) begin + wb_regwrite <= 1'b0; + wb_memory2reg <= 1'b0; + wb_dst_reg_addr <= 5'h0; + wb_alu_res <= 0; + end else begin + wb_regwrite <= wb_nop ? 1'b0 : (mem_alures2reg | mem_memory2reg); + wb_memory2reg <= wb_nop ? 1'b0 : mem_memory2reg; + wb_dst_reg_addr <= wb_nop ? 5'h0 : mem_dst_reg_addr; + wb_alu_res <= wb_nop ? 0 : mem_alu_res; + end + +// ------------------------------------------------------------------------------- +// WB stage - comb logic +// ------------------------------------------------------------------------------- +assign wb_reg_wdata = wb_memory2reg ? wb_memout : wb_alu_res; + +endmodule diff --git a/hardware/RTL/instr_rom.sv b/RTL/instr_rom.sv similarity index 71% rename from hardware/RTL/instr_rom.sv rename to RTL/instr_rom.sv index 747f000..053f4f6 100644 --- a/hardware/RTL/instr_rom.sv +++ b/RTL/instr_rom.sv @@ -1,43 +1,41 @@ -module instr_rom( - input logic clk, rst_n, - naive_bus.slave bus -); -localparam INSTR_CNT = 30'd18; -wire [0:INSTR_CNT-1] [31:0] instr_rom_cell = { - 32'h000062b3, // 0x00000000 - 32'h000302b7, // 0x00000004 - 32'h06806313, // 0x00000008 - 32'h00628023, // 0x0000000c - 32'h06506313, // 0x00000010 - 32'h00628023, // 0x00000014 - 32'h06c06313, // 0x00000018 - 32'h00628023, // 0x0000001c - 32'h06c06313, // 0x00000020 - 32'h00628023, // 0x00000024 - 32'h06f06313, // 0x00000028 - 32'h00628023, // 0x0000002c - 32'h00a06313, // 0x00000030 - 32'h00628023, // 0x00000034 - 32'h00c003b7, // 0x00000038 - 32'hfff38393, // 0x0000003c - 32'hfe039ee3, // 0x00000040 - 32'hfc5ff06f // 0x00000044 -}; - -logic [29:0] cell_rd_addr; - -assign bus.rd_gnt = bus.rd_req; -assign bus.wr_gnt = bus.wr_req; -assign cell_rd_addr = bus.rd_addr[31:2]; -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus.rd_data <= 0; - else begin - if(bus.rd_req) - bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; - else - bus.rd_data <= 0; - end - -endmodule - + +module instr_rom( + input logic clk, + naive_bus.slave bus +); + +localparam INSTR_CNT = 30'd18; + +wire [31:0] instr_rom_cell [INSTR_CNT] = '{ + 32'h000062b3, // 0x00000000 + 32'h000302b7, // 0x00000004 + 32'h06806313, // 0x00000008 + 32'h00628023, // 0x0000000c + 32'h06506313, // 0x00000010 + 32'h00628023, // 0x00000014 + 32'h06c06313, // 0x00000018 + 32'h00628023, // 0x0000001c + 32'h06c06313, // 0x00000020 + 32'h00628023, // 0x00000024 + 32'h06f06313, // 0x00000028 + 32'h00628023, // 0x0000002c + 32'h00a06313, // 0x00000030 + 32'h00628023, // 0x00000034 + 32'h00c003b7, // 0x00000038 + 32'hfff38393, // 0x0000003c + 32'hfe039ee3, // 0x00000040 + 32'hfc5ff06f // 0x00000044 +}; + +logic [29:0] cell_rd_addr; + +assign bus.rd_gnt = bus.rd_req; +assign bus.wr_gnt = bus.wr_req; +assign cell_rd_addr = bus.rd_addr[31:2]; + +always @ (posedge clk) + if(bus.rd_req) + bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr]; + +endmodule + diff --git a/hardware/RTL/naive_bus.sv b/RTL/naive_bus.sv similarity index 100% rename from hardware/RTL/naive_bus.sv rename to RTL/naive_bus.sv diff --git a/hardware/RTL/naive_bus_router.sv b/RTL/naive_bus_router.sv similarity index 95% rename from hardware/RTL/naive_bus_router.sv rename to RTL/naive_bus_router.sv index a1c1fa9..1ceb017 100644 --- a/hardware/RTL/naive_bus_router.sv +++ b/RTL/naive_bus_router.sv @@ -1,10 +1,11 @@ + module naive_bus_router #( parameter [7:0] N_MASTER = 2, - parameter [7:0] N_SLAVE = 3, + parameter [7:0] N_SLAVE = 3, parameter [0:N_SLAVE-1][31:0] SLAVES_MASK = { 32'h0000_3fff , 32'h0000_3fff , 32'h0000_3fff }, parameter [0:N_SLAVE-1][31:0] SLAVES_BASE = { 32'h0000_0000 , 32'h0001_0000 , 32'h0002_0000 } )( - input logic clk, rst_n, + input logic clk, rstn, naive_bus.slave masters [N_MASTER-1:0] , naive_bus.master slaves [ N_SLAVE-1:0] ); @@ -109,8 +110,8 @@ generate end endgenerate -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) master_rd_slv_index_latch <= {N_MASTER{N_SLAVE}}; else master_rd_slv_index_latch <= master_rd_slv_index; diff --git a/hardware/RTL/ram.sv b/RTL/ram.sv similarity index 67% rename from hardware/RTL/ram.sv rename to RTL/ram.sv index 11dd81b..23932c3 100644 --- a/hardware/RTL/ram.sv +++ b/RTL/ram.sv @@ -1,3 +1,4 @@ + module ram( // 1024B input logic clk, input logic i_we, @@ -7,13 +8,13 @@ module ram( // 1024B ); initial o_rdata = 8'h0; -logic [7:0] data_ram_cell [0:1023]; +logic [7:0] ram_cell [1024]; always @ (posedge clk) - o_rdata <= data_ram_cell[i_raddr]; + o_rdata <= ram_cell[i_raddr]; always @ (posedge clk) if(i_we) - data_ram_cell[i_waddr] <= i_wdata; + ram_cell[i_waddr] <= i_wdata; endmodule diff --git a/hardware/RTL/ram_bus_wrapper.sv b/RTL/ram_bus_wrapper.sv similarity index 89% rename from hardware/RTL/ram_bus_wrapper.sv rename to RTL/ram_bus_wrapper.sv index ee2ea1e..1962dd8 100644 --- a/hardware/RTL/ram_bus_wrapper.sv +++ b/RTL/ram_bus_wrapper.sv @@ -1,5 +1,6 @@ + module ram_bus_wrapper( // 4kB, valid address: 0x0000_0000 ~ 0x0000_0fff - input logic clk, rst_n, + input logic clk, naive_bus.slave bus ); @@ -11,7 +12,7 @@ assign cell_wr_addr = bus.wr_addr[11:2]; assign bus.rd_gnt = bus.rd_req; assign bus.wr_gnt = bus.wr_req; -ram ram_block_inst_0( +ram ram_i0( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[0] ), .i_waddr ( cell_wr_addr ), @@ -19,7 +20,7 @@ ram ram_block_inst_0( .i_wdata ( bus.wr_data[ 7: 0] ), .o_rdata ( bus.rd_data[ 7: 0] ) ); -ram ram_block_inst_1( +ram ram_i1( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[1] ), .i_waddr ( cell_wr_addr ), @@ -27,7 +28,7 @@ ram ram_block_inst_1( .i_wdata ( bus.wr_data[15: 8] ), .o_rdata ( bus.rd_data[15: 8] ) ); -ram ram_block_inst_2( +ram ram_i2( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[2] ), .i_waddr ( cell_wr_addr ), @@ -35,7 +36,7 @@ ram ram_block_inst_2( .i_wdata ( bus.wr_data[23:16] ), .o_rdata ( bus.rd_data[23:16] ) ); -ram ram_block_inst_3( +ram ram_i3( .clk ( clk ), .i_we ( bus.wr_req & bus.wr_be[3] ), .i_waddr ( cell_wr_addr ), diff --git a/hardware/RTL/soc_top.sv b/RTL/soc_top.sv similarity index 68% rename from hardware/RTL/soc_top.sv rename to RTL/soc_top.sv index dfe3e6a..703dc7c 100644 --- a/hardware/RTL/soc_top.sv +++ b/RTL/soc_top.sv @@ -1,107 +1,110 @@ -module soc_top #( - parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 - parameter UART_TX_CLK_DIV = 434, // 50MHz/1/115200Hz=434 - parameter VGA_CLK_DIV = 1 -)( - // clock, typically 50MHz, UART_RX_CLK_DIV and UART_TX_CLK_DIV and VGA_CLK_DIV must be modify when clk is not 50MHz - input logic clk, - // debug uart and user uart shared signal - input logic isp_uart_rx, - output logic isp_uart_tx, - // VGA signal - output logic vga_hsync, vga_vsync, - output logic vga_red, vga_green, vga_blue -); -logic rst_n; -logic [31:0] boot_addr; - -naive_bus bus_masters[3](); -naive_bus bus_slaves[5](); - -// shared debug uart and user uart module -isp_uart #( - .UART_RX_CLK_DIV ( UART_RX_CLK_DIV), - .UART_TX_CLK_DIV ( UART_TX_CLK_DIV) -) isp_uart_inst( - .clk ( clk ), - .i_uart_rx ( isp_uart_rx ), - .o_uart_tx ( isp_uart_tx ), - .o_rst_n ( rst_n ), - .o_boot_addr ( boot_addr ), - .bus ( bus_masters[0] ), - .user_uart_bus ( bus_slaves[4] ) -); - -// RV32I Core -core_top core_top_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( boot_addr ), - .instr_master ( bus_masters[2] ), - .data_master ( bus_masters[1] ) -); - -// 指令ROM -instr_rom instr_rom_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[0] ) -); - -// 指令RAM -ram_bus_wrapper instr_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[1] ) -); - -// 数据RAM -ram_bus_wrapper data_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[2] ) -); - - -// 显存 -video_ram #( - .VGA_CLK_DIV ( VGA_CLK_DIV ) -)video_ram_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .bus ( bus_slaves[3] ), - .o_vsync ( vga_vsync ), - .o_hsync ( vga_hsync ), - .o_red ( vga_red ), - .o_green ( vga_green ), - .o_blue ( vga_blue ) -); - - -// 3��?5从�?�线仲裁��? -// -// 主(越靠前优先级越高): -// 0. UART Debugger? -// 1. Core Data Master -// 2. Core Instruction Master -// -// 从: -// 1. 指令ROM��? 地址空间 00000000~00000fff -// 2. 指令RAM��? 地址空间 00008000~00008fff -// 3. 数据RAM��? 地址空间 00010000~00010fff -// 4. 显存RAM��? 地址空间 00020000~00020fff -// 5. 用户UART, 地址空间 00030000~00030003 -naive_bus_router #( - .N_MASTER ( 3 ), - .N_SLAVE ( 5 ), - .SLAVES_MASK ( { 32'h0000_0003 , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff } ), - .SLAVES_BASE ( { 32'h0003_0000 , 32'h0002_0000 , 32'h0001_0000 , 32'h0000_8000 , 32'h0000_0000 } ) -) soc_bus_router_inst ( - .clk ( clk ), - .rst_n ( rst_n ), - .masters ( bus_masters ), - .slaves ( bus_slaves ) -); - -endmodule - + +module soc_top #( + parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 + parameter UART_TX_CLK_DIV = 434, // 50MHz/1/115200Hz=434 + parameter VGA_CLK_DIV = 1 +)( + // clock, typically 50MHz, UART_RX_CLK_DIV and UART_TX_CLK_DIV and VGA_CLK_DIV must be modify when clk is not 50MHz + input logic clk, + // debug uart and user uart shared signal + input logic isp_uart_rx, + output logic isp_uart_tx, + // VGA signal + output logic vga_hsync, vga_vsync, + output logic vga_red, vga_green, vga_blue +); + +logic rstn; +logic [31:0] boot_addr; + +naive_bus bus_masters[3](); +naive_bus bus_slaves[5](); + +// shared debug uart and user uart module +isp_uart #( + .UART_RX_CLK_DIV ( UART_RX_CLK_DIV), + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV) +) isp_uart_i( + .clk ( clk ), + .i_uart_rx ( isp_uart_rx ), + .o_uart_tx ( isp_uart_tx ), + .o_rstn ( rstn ), + .o_boot_addr ( boot_addr ), + .bus ( bus_masters[0] ), + .user_uart_bus ( bus_slaves[4] ) +); + + +// RV32I Core +core_top core_top_i( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( boot_addr ), + .instr_master ( bus_masters[2] ), + .data_master ( bus_masters[1] ) +); + + +// Instruction ROM +instr_rom instr_rom_i( + .clk ( clk ), + .bus ( bus_slaves[0] ) +); + + +// Instruction RAM +ram_bus_wrapper instr_ram_i( + .clk ( clk ), + .bus ( bus_slaves[1] ) +); + + +// Data RAM +ram_bus_wrapper data_ram_i( + .clk ( clk ), + .bus ( bus_slaves[2] ) +); + + +// Video RAM (include VGA controller) +video_ram #( + .VGA_CLK_DIV ( VGA_CLK_DIV ) +) video_ram_i ( + .clk ( clk ), + .rstn ( rstn ), + .bus ( bus_slaves[3] ), + .o_vsync ( vga_vsync ), + .o_hsync ( vga_hsync ), + .o_red ( vga_red ), + .o_green ( vga_green ), + .o_blue ( vga_blue ) +); + + +// bus router (bus interconnect) +// +// Bus Masters (sort by priority): +// 0. UART Debugger (isp_uart) +// 1. Core Data Master +// 2. Core Instruction Master +// +// Bus Slaves: +// 1. Instruction ROM address: 0x00000000~0x00000fff +// 2. Instruction RAM address: 0x00008000~0x00008fff +// 3. Data RAM address: 0x00010000~0x00010fff +// 4. Video RAM address: 0x00020000~0x00020fff +// 5. user tx uart address: 0x00030000~0x00030003 +naive_bus_router #( + .N_MASTER ( 3 ), + .N_SLAVE ( 5 ), + .SLAVES_MASK ( { 32'h0000_0003 , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff , 32'h0000_0fff } ), + .SLAVES_BASE ( { 32'h0003_0000 , 32'h0002_0000 , 32'h0001_0000 , 32'h0000_8000 , 32'h0000_0000 } ) +) soc_bus_router_i ( + .clk ( clk ), + .rstn ( rstn ), + .masters ( bus_masters ), + .slaves ( bus_slaves ) +); + +endmodule + diff --git a/hardware/RTL/isp_uart.sv b/RTL/uart/isp_uart.sv similarity index 73% rename from hardware/RTL/isp_uart.sv rename to RTL/uart/isp_uart.sv index 1510ce4..819cc66 100644 --- a/hardware/RTL/isp_uart.sv +++ b/RTL/uart/isp_uart.sv @@ -1,4 +1,3 @@ -// UART module isp_uart #( parameter UART_RX_CLK_DIV = 108, // 50MHz/4/115200Hz=108 @@ -7,83 +6,78 @@ module isp_uart #( input logic clk, input logic i_uart_rx, output logic o_uart_tx, - output logic o_rst_n, + output logic o_rstn, output logic [31:0] o_boot_addr, naive_bus.master bus, naive_bus.slave user_uart_bus ); logic isp_uart_tx, user_uart_tx, isp_user_sel=1'b0; -logic uart_tx_line_fin; -logic [ 3:0] rst_chain = 4'b0; -logic rx_ready, rd_ok=1'b0, wr_ok=1'b0, tx_start=1'b0; -logic [ 7:0] rx_data, rx_data_raw, rx_binary; -logic [ 3:0] rx_binary_l; +logic [ 3:0] rstn_shift = 4'b0; +logic uart_tx_line_fin, rx_ready, rd_ok=1'b0, wr_ok=1'b0, tx_start=1'b0; +logic [ 7:0] rx_data; logic [31:0] addr=0, wr_data=0; logic [ 7:0][ 7:0] rd_data_ascii; logic [ 7:0][ 7:0] tx_data = 64'h0; enum {NEW, CMD,OPEN,CLOSE,ADDR, EQUAL, DATA, FINAL, TRASH} fsm = NEW; enum {NONE, SELOPEN, SELCLOSE, RST} send_type = NONE; -`define C (rx_data=="r") -`define OP (rx_data=="o") -`define CL (rx_data=="s") +`define C (rx_data=="r") || (rx_data=="R") +`define OP (rx_data=="o") || (rx_data=="O") +`define CL (rx_data=="s") || (rx_data=="S") `define S (rx_data==" " || rx_data=="\t" ) `define E (rx_data=="\n" || rx_data=="\r" ) -`define N ( (rx_data>="0" && rx_data<="9" ) || (rx_data>="a" && rx_data<="f" ) ) +`define N ( (rx_data>="0" && rx_data<="9" ) || (rx_data>="a" && rx_data<="f" ) || (rx_data>="A" && rx_data<="F" ) ) + +function automatic logic [3:0] ascii2hex(input [7:0] ch); + logic [7:0] rxbinary; + if(ch>="0" && ch<="9" ) begin + rxbinary = ch - "0"; + end else if(ch>="a" && ch<="f" ) begin + rxbinary = ch - "a" + 8'd10; + end else if(ch>="A" && ch<="F" ) begin + rxbinary = ch - "A" + 8'd10; + end else begin + rxbinary = 8'h0; + end + return rxbinary[3:0]; +endfunction initial o_boot_addr = 0; -assign o_rst_n = &rst_chain; +assign o_rstn = rstn_shift[3]; assign o_uart_tx = isp_user_sel ? isp_uart_tx : user_uart_tx; initial begin bus.rd_req = 1'b0; bus.wr_req = 1'b0; bus.rd_addr = 0; bus.wr_addr = 0; bus.wr_data = 0; end assign bus.rd_be = 4'hf; assign bus.wr_be = 4'hf; -assign rx_binary_l = rx_binary[3:0]; - uart_rx #( - .UART_RX_CLK_DIV (UART_RX_CLK_DIV) -) uart_rx_inst ( - .clk ( clk ), - .i_rx ( i_uart_rx ), - .o_ready ( rx_ready ), - .o_data ( rx_data_raw ) + .UART_RX_CLK_DIV ( UART_RX_CLK_DIV ) +) uart_rx_i ( + .clk ( clk ), + .i_rx ( i_uart_rx ), + .o_ready ( rx_ready ), + .o_data ( rx_data ) ); uart_tx_line #( - .UART_TX_CLK_DIV (UART_TX_CLK_DIV) -) uart_tx_line_inst ( - .clk ( clk ), - .o_tx ( isp_uart_tx ), - .i_start ( tx_start ), - .o_fin ( uart_tx_line_fin ), - .i_data ( tx_data ) + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV ) +) uart_tx_line_i ( + .clk ( clk ), + .o_tx ( isp_uart_tx ), + .i_start ( tx_start ), + .o_fin ( uart_tx_line_fin ), + .i_data ( tx_data ) ); user_uart_tx #( - .UART_TX_CLK_DIV (UART_TX_CLK_DIV) -) user_uart_in_isp_inst ( - .clk ( clk ), - .rst_n ( o_rst_n ), - .o_uart_tx ( user_uart_tx ), - .bus ( user_uart_bus ) + .UART_TX_CLK_DIV ( UART_TX_CLK_DIV ) +) user_uart_in_isp_i ( + .clk ( clk ), + .rstn ( o_rstn ), + .o_uart_tx ( user_uart_tx ), + .bus ( user_uart_bus ) ); -always_comb // to lower case - if(rx_data_raw>="A" && rx_data_raw<="Z") - rx_data <= rx_data_raw | 8'b00100000; - else - rx_data <= rx_data_raw; - -always_comb - if(rx_data>="0" && rx_data<="9" ) begin - rx_binary = rx_data - "0"; - end else if(rx_data>="a" && rx_data<="f" ) begin - rx_binary = rx_data - "a" + 8'd10; - end else begin - rx_binary = 8'h0; - end - generate genvar i; for(i=0; i<8; i++) begin : convert_binary_to_ascii @@ -136,15 +130,15 @@ always @ (posedge clk) always @ (posedge clk) if(uart_tx_line_fin && send_type == RST) - rst_chain <= 4'h0; + rstn_shift <= 4'h0; else - rst_chain <= {rst_chain[2:0],1'b1}; + rstn_shift <= {rstn_shift[2:0],1'b1}; always @ (posedge clk) if(uart_tx_line_fin && (send_type == RST || send_type == SELOPEN) ) - isp_user_sel <= 1'b0; // 切换到USER模式 + isp_user_sel <= 1'b0; // user mode else if(rx_ready && `E ) - isp_user_sel <= 1'b1; // 切换到DEBUG模式 + isp_user_sel <= 1'b1; // debug mode always @ (posedge clk) if (bus.rd_req) begin @@ -166,7 +160,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr + addr <= {addr[27:0], ascii2hex(rx_data) }; // get a addr end else begin fsm <= TRASH; end @@ -178,15 +172,15 @@ always @ (posedge clk) fsm <= TRASH; end CMD : if (`E) begin - o_boot_addr <= {wr_data[31:2],2'b00}; // 设置复位的boot地址,后两位截断(双字对齐) + o_boot_addr <= {wr_data[31:2],2'b00}; fsm <= NEW; // cmd ok! addr <= 0; wr_data <= 0; end else if(`S) begin fsm <= CMD; end else if(`N) begin - fsm <= CMD; // r字符后出现数字,说明该复位命令要指定boot地址? - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + fsm <= CMD; + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else begin fsm <= TRASH; end @@ -198,7 +192,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= ADDR; - addr <= {addr[27:0], rx_binary_l}; // get a addr + addr <= {addr[27:0], ascii2hex(rx_data) }; // get a addr end else if(`S) begin fsm <= EQUAL; // get addr down, waiting for data, maybe a write command end else begin @@ -212,7 +206,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else if(`S) begin fsm <= EQUAL; end else begin @@ -227,7 +221,7 @@ always @ (posedge clk) wr_data <= 0; end else if(`N) begin fsm <= DATA; // get a data - wr_data <= {wr_data[27:0], rx_binary_l}; // get a data + wr_data <= {wr_data[27:0], ascii2hex(rx_data) }; // get a data end else if(`S) begin fsm <= FINAL; // get data down, waiting for \r or \n end else begin diff --git a/hardware/RTL/uart_rx.sv b/RTL/uart/uart_rx.sv similarity index 96% rename from hardware/RTL/uart_rx.sv rename to RTL/uart/uart_rx.sv index 003e9e1..e2f5645 100644 --- a/hardware/RTL/uart_rx.sv +++ b/RTL/uart/uart_rx.sv @@ -1,3 +1,4 @@ + module uart_rx #( parameter UART_RX_CLK_DIV = 108 // 50MHz/4/115200Hz=108 )( diff --git a/hardware/RTL/uart_tx_line.sv b/RTL/uart/uart_tx_line.sv similarity index 87% rename from hardware/RTL/uart_tx_line.sv rename to RTL/uart/uart_tx_line.sv index 6483ac1..4a7a76c 100644 --- a/hardware/RTL/uart_tx_line.sv +++ b/RTL/uart/uart_tx_line.sv @@ -2,10 +2,10 @@ module uart_tx_line #( parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 )( - input logic clk, - output logic o_tx, - input logic i_start, - output logic o_fin, + input logic clk, + output logic o_tx, + input logic i_start, + output logic o_fin, input logic [7:0][7:0] i_data ); diff --git a/hardware/RTL/user_uart_tx.sv b/RTL/uart/user_uart_tx.sv similarity index 75% rename from hardware/RTL/user_uart_tx.sv rename to RTL/uart/user_uart_tx.sv index 565605b..3bca137 100644 --- a/hardware/RTL/user_uart_tx.sv +++ b/RTL/uart/user_uart_tx.sv @@ -2,7 +2,7 @@ module user_uart_tx #( parameter UART_TX_CLK_DIV = 434 // 50MHz/1/115200Hz=434 )( - input logic clk, rst_n, + input logic clk, rstn, output logic o_uart_tx, naive_bus.slave bus ); @@ -14,7 +14,7 @@ logic rd_addr_valid, wr_addr_valid; logic [31:0] cnt = 0; logic [ 4:0] tx_cnt = 0; logic [ 7:0] tx_shift = 8'h0; -logic [ 7:0] fifo_rd_data; +logic [ 7:0] fifo_rd_data = 8'h0; initial o_uart_tx = 1'b1; @@ -27,8 +27,8 @@ assign fifo_full = (fifo_len==10'h3ff); assign bus.rd_gnt = bus.rd_req; -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) bus.rd_data <= 0; else begin if(bus.rd_req & rd_addr_valid) @@ -48,8 +48,8 @@ always_comb bus.wr_gnt <= 1'b0; end -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin +always @ (posedge clk or negedge rstn) + if(~rstn) begin fifo_wr_pointer <= 10'h0; end else begin if(bus.wr_req & wr_addr_valid & bus.wr_be[0] & ~fifo_full) begin @@ -57,14 +57,14 @@ always @ (posedge clk or negedge rst_n) end end -always @ (posedge clk or negedge rst_n) - if(~rst_n) +always @ (posedge clk or negedge rstn) + if(~rstn) cnt <= 0; else cnt <= (cnt= V_BLSTART; + vlbl<= vcnt < V_BLEND ; + vgbr<= vcnt >= V_BRSTART; + hlbr<= hcnt < H_BREND ; + hgbl<= hcnt >= H_BLSTART; + hlbl<= hcnt < H_BLEND; + hgbr<= hcnt >= H_BRSTART; + vir <= vlbr | vgbl; + hir <= hlbr | hgbl; + vbr <= vgbr & vlbr; + hbr <= hgbr & hlbr; + vbl <= vgbl & vlbl; + hbl <= hgbl & hlbl; + hb <= (hbr | hbl) & vir; + vb <= (vbr | vbl) & hir; + border <= hb | vb; +end + +always @ (posedge clk)begin + cnt <= (cnt<(VGA_CLK_DIV-1)) ? cnt + 10'h1 : 10'h0; + if(cnt==10'h0) begin + if(hcnt < H_PERIOD) begin + hcnt <= hcnt + 10'h1; + end else begin + hcnt <= 10'h0; + vcnt <= (vcnt=H_SYNCSTART && hcnt=V_SYNCSTART && vcnt: - 10080: 00000013 nop - -00010084 : - 10084: 00000093 li ra,0 - 10088: 00000113 li sp,0 - 1008c: 00208f33 add t5,ra,sp - 10090: 00000e93 li t4,0 - 10094: 00200193 li gp,2 - 10098: 01df0463 beq t5,t4,100a0 - 1009c: 2ac0206f j 12348 - -000100a0 : - 100a0: 00100093 li ra,1 - 100a4: 00100113 li sp,1 - 100a8: 00208f33 add t5,ra,sp - 100ac: 00200e93 li t4,2 - 100b0: 00300193 li gp,3 - 100b4: 01df0463 beq t5,t4,100bc - 100b8: 2900206f j 12348 - -000100bc : - 100bc: 00300093 li ra,3 - 100c0: 00700113 li sp,7 - 100c4: 00208f33 add t5,ra,sp - 100c8: 00a00e93 li t4,10 - 100cc: 00400193 li gp,4 - 100d0: 01df0463 beq t5,t4,100d8 - 100d4: 2740206f j 12348 - -000100d8 : - 100d8: 00000093 li ra,0 - 100dc: ffff8137 lui sp,0xffff8 - 100e0: 00208f33 add t5,ra,sp - 100e4: ffff8eb7 lui t4,0xffff8 - 100e8: 00500193 li gp,5 - 100ec: 01df0463 beq t5,t4,100f4 - 100f0: 2580206f j 12348 - -000100f4 : - 100f4: 800000b7 lui ra,0x80000 - 100f8: 00000113 li sp,0 - 100fc: 00208f33 add t5,ra,sp - 10100: 80000eb7 lui t4,0x80000 - 10104: 00600193 li gp,6 - 10108: 01df0463 beq t5,t4,10110 - 1010c: 23c0206f j 12348 - -00010110 : - 10110: 800000b7 lui ra,0x80000 - 10114: ffff8137 lui sp,0xffff8 - 10118: 00208f33 add t5,ra,sp - 1011c: 7fff8eb7 lui t4,0x7fff8 - 10120: 00700193 li gp,7 - 10124: 01df0463 beq t5,t4,1012c - 10128: 2200206f j 12348 - -0001012c : - 1012c: 00000093 li ra,0 - 10130: 00008137 lui sp,0x8 - 10134: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10138: 00208f33 add t5,ra,sp - 1013c: 00008eb7 lui t4,0x8 - 10140: fffe8e93 addi t4,t4,-1 # 7fff <_start-0x8081> - 10144: 00800193 li gp,8 - 10148: 01df0463 beq t5,t4,10150 - 1014c: 1fc0206f j 12348 - -00010150 : - 10150: 800000b7 lui ra,0x80000 - 10154: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10158: 00000113 li sp,0 - 1015c: 00208f33 add t5,ra,sp - 10160: 80000eb7 lui t4,0x80000 - 10164: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10168: 00900193 li gp,9 - 1016c: 01df0463 beq t5,t4,10174 - 10170: 1d80206f j 12348 - -00010174 : - 10174: 800000b7 lui ra,0x80000 - 10178: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 1017c: 00008137 lui sp,0x8 - 10180: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10184: 00208f33 add t5,ra,sp - 10188: 80008eb7 lui t4,0x80008 - 1018c: ffee8e93 addi t4,t4,-2 # 80007ffe <__global_pointer$+0x7fff4376> - 10190: 00a00193 li gp,10 - 10194: 01df0463 beq t5,t4,1019c - 10198: 1b00206f j 12348 - -0001019c : - 1019c: 800000b7 lui ra,0x80000 - 101a0: 00008137 lui sp,0x8 - 101a4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 101a8: 00208f33 add t5,ra,sp - 101ac: 80008eb7 lui t4,0x80008 - 101b0: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff4377> - 101b4: 00b00193 li gp,11 - 101b8: 01df0463 beq t5,t4,101c0 - 101bc: 18c0206f j 12348 - -000101c0 : - 101c0: 800000b7 lui ra,0x80000 - 101c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 101c8: ffff8137 lui sp,0xffff8 - 101cc: 00208f33 add t5,ra,sp - 101d0: 7fff8eb7 lui t4,0x7fff8 - 101d4: fffe8e93 addi t4,t4,-1 # 7fff7fff <__global_pointer$+0x7ffe4377> - 101d8: 00c00193 li gp,12 - 101dc: 01df0463 beq t5,t4,101e4 - 101e0: 1680206f j 12348 - -000101e4 : - 101e4: 00000093 li ra,0 - 101e8: fff00113 li sp,-1 - 101ec: 00208f33 add t5,ra,sp - 101f0: fff00e93 li t4,-1 - 101f4: 00d00193 li gp,13 - 101f8: 01df0463 beq t5,t4,10200 - 101fc: 14c0206f j 12348 - -00010200 : - 10200: fff00093 li ra,-1 - 10204: 00100113 li sp,1 - 10208: 00208f33 add t5,ra,sp - 1020c: 00000e93 li t4,0 - 10210: 00e00193 li gp,14 - 10214: 01df0463 beq t5,t4,1021c - 10218: 1300206f j 12348 - -0001021c : - 1021c: fff00093 li ra,-1 - 10220: fff00113 li sp,-1 - 10224: 00208f33 add t5,ra,sp - 10228: ffe00e93 li t4,-2 - 1022c: 00f00193 li gp,15 - 10230: 01df0463 beq t5,t4,10238 - 10234: 1140206f j 12348 - -00010238 : - 10238: 00100093 li ra,1 - 1023c: 80000137 lui sp,0x80000 - 10240: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10244: 00208f33 add t5,ra,sp - 10248: 80000eb7 lui t4,0x80000 - 1024c: 01000193 li gp,16 - 10250: 01df0463 beq t5,t4,10258 - 10254: 0f40206f j 12348 - -00010258 : - 10258: 00d00093 li ra,13 - 1025c: 00b00113 li sp,11 - 10260: 002080b3 add ra,ra,sp - 10264: 01800e93 li t4,24 - 10268: 01100193 li gp,17 - 1026c: 01d08463 beq ra,t4,10274 - 10270: 0d80206f j 12348 - -00010274 : - 10274: 00e00093 li ra,14 - 10278: 00b00113 li sp,11 - 1027c: 00208133 add sp,ra,sp - 10280: 01900e93 li t4,25 - 10284: 01200193 li gp,18 - 10288: 01d10463 beq sp,t4,10290 - 1028c: 0bc0206f j 12348 - -00010290 : - 10290: 00d00093 li ra,13 - 10294: 001080b3 add ra,ra,ra - 10298: 01a00e93 li t4,26 - 1029c: 01300193 li gp,19 - 102a0: 01d08463 beq ra,t4,102a8 - 102a4: 0a40206f j 12348 - -000102a8 : - 102a8: 00000213 li tp,0 - 102ac: 00d00093 li ra,13 - 102b0: 00b00113 li sp,11 - 102b4: 00208f33 add t5,ra,sp - 102b8: 000f0313 mv t1,t5 - 102bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102c0: 00200293 li t0,2 - 102c4: fe5214e3 bne tp,t0,102ac - 102c8: 01800e93 li t4,24 - 102cc: 01400193 li gp,20 - 102d0: 01d30463 beq t1,t4,102d8 - 102d4: 0740206f j 12348 - -000102d8 : - 102d8: 00000213 li tp,0 - 102dc: 00e00093 li ra,14 - 102e0: 00b00113 li sp,11 - 102e4: 00208f33 add t5,ra,sp - 102e8: 00000013 nop - 102ec: 000f0313 mv t1,t5 - 102f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102f4: 00200293 li t0,2 - 102f8: fe5212e3 bne tp,t0,102dc - 102fc: 01900e93 li t4,25 - 10300: 01500193 li gp,21 - 10304: 01d30463 beq t1,t4,1030c - 10308: 0400206f j 12348 - -0001030c : - 1030c: 00000213 li tp,0 - 10310: 00f00093 li ra,15 - 10314: 00b00113 li sp,11 - 10318: 00208f33 add t5,ra,sp - 1031c: 00000013 nop - 10320: 00000013 nop - 10324: 000f0313 mv t1,t5 - 10328: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1032c: 00200293 li t0,2 - 10330: fe5210e3 bne tp,t0,10310 - 10334: 01a00e93 li t4,26 - 10338: 01600193 li gp,22 - 1033c: 01d30463 beq t1,t4,10344 - 10340: 0080206f j 12348 - -00010344 : - 10344: 00000213 li tp,0 - 10348: 00d00093 li ra,13 - 1034c: 00b00113 li sp,11 - 10350: 00208f33 add t5,ra,sp - 10354: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10358: 00200293 li t0,2 - 1035c: fe5216e3 bne tp,t0,10348 - 10360: 01800e93 li t4,24 - 10364: 01700193 li gp,23 - 10368: 01df0463 beq t5,t4,10370 - 1036c: 7dd0106f j 12348 - -00010370 : - 10370: 00000213 li tp,0 - 10374: 00e00093 li ra,14 - 10378: 00b00113 li sp,11 - 1037c: 00000013 nop - 10380: 00208f33 add t5,ra,sp - 10384: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10388: 00200293 li t0,2 - 1038c: fe5214e3 bne tp,t0,10374 - 10390: 01900e93 li t4,25 - 10394: 01800193 li gp,24 - 10398: 01df0463 beq t5,t4,103a0 - 1039c: 7ad0106f j 12348 - -000103a0 : - 103a0: 00000213 li tp,0 - 103a4: 00f00093 li ra,15 - 103a8: 00b00113 li sp,11 - 103ac: 00000013 nop - 103b0: 00000013 nop - 103b4: 00208f33 add t5,ra,sp - 103b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 103bc: 00200293 li t0,2 - 103c0: fe5212e3 bne tp,t0,103a4 - 103c4: 01a00e93 li t4,26 - 103c8: 01900193 li gp,25 - 103cc: 01df0463 beq t5,t4,103d4 - 103d0: 7790106f j 12348 - -000103d4 : - 103d4: 00000213 li tp,0 - 103d8: 00d00093 li ra,13 - 103dc: 00000013 nop - 103e0: 00b00113 li sp,11 - 103e4: 00208f33 add t5,ra,sp - 103e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 103ec: 00200293 li t0,2 - 103f0: fe5214e3 bne tp,t0,103d8 - 103f4: 01800e93 li t4,24 - 103f8: 01a00193 li gp,26 - 103fc: 01df0463 beq t5,t4,10404 - 10400: 7490106f j 12348 - -00010404 : - 10404: 00000213 li tp,0 - 10408: 00e00093 li ra,14 - 1040c: 00000013 nop - 10410: 00b00113 li sp,11 - 10414: 00000013 nop - 10418: 00208f33 add t5,ra,sp - 1041c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10420: 00200293 li t0,2 - 10424: fe5212e3 bne tp,t0,10408 - 10428: 01900e93 li t4,25 - 1042c: 01b00193 li gp,27 - 10430: 01df0463 beq t5,t4,10438 - 10434: 7150106f j 12348 - -00010438 : - 10438: 00000213 li tp,0 - 1043c: 00f00093 li ra,15 - 10440: 00000013 nop - 10444: 00000013 nop - 10448: 00b00113 li sp,11 - 1044c: 00208f33 add t5,ra,sp - 10450: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10454: 00200293 li t0,2 - 10458: fe5212e3 bne tp,t0,1043c - 1045c: 01a00e93 li t4,26 - 10460: 01c00193 li gp,28 - 10464: 01df0463 beq t5,t4,1046c - 10468: 6e10106f j 12348 - -0001046c : - 1046c: 00000213 li tp,0 - 10470: 00b00113 li sp,11 - 10474: 00d00093 li ra,13 - 10478: 00208f33 add t5,ra,sp - 1047c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10480: 00200293 li t0,2 - 10484: fe5216e3 bne tp,t0,10470 - 10488: 01800e93 li t4,24 - 1048c: 01d00193 li gp,29 - 10490: 01df0463 beq t5,t4,10498 - 10494: 6b50106f j 12348 - -00010498 : - 10498: 00000213 li tp,0 - 1049c: 00b00113 li sp,11 - 104a0: 00e00093 li ra,14 - 104a4: 00000013 nop - 104a8: 00208f33 add t5,ra,sp - 104ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104b0: 00200293 li t0,2 - 104b4: fe5214e3 bne tp,t0,1049c - 104b8: 01900e93 li t4,25 - 104bc: 01e00193 li gp,30 - 104c0: 01df0463 beq t5,t4,104c8 - 104c4: 6850106f j 12348 - -000104c8 : - 104c8: 00000213 li tp,0 - 104cc: 00b00113 li sp,11 - 104d0: 00f00093 li ra,15 - 104d4: 00000013 nop - 104d8: 00000013 nop - 104dc: 00208f33 add t5,ra,sp - 104e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104e4: 00200293 li t0,2 - 104e8: fe5212e3 bne tp,t0,104cc - 104ec: 01a00e93 li t4,26 - 104f0: 01f00193 li gp,31 - 104f4: 01df0463 beq t5,t4,104fc - 104f8: 6510106f j 12348 - -000104fc : - 104fc: 00000213 li tp,0 - 10500: 00b00113 li sp,11 - 10504: 00000013 nop - 10508: 00d00093 li ra,13 - 1050c: 00208f33 add t5,ra,sp - 10510: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10514: 00200293 li t0,2 - 10518: fe5214e3 bne tp,t0,10500 - 1051c: 01800e93 li t4,24 - 10520: 02000193 li gp,32 - 10524: 01df0463 beq t5,t4,1052c - 10528: 6210106f j 12348 - -0001052c : - 1052c: 00000213 li tp,0 - 10530: 00b00113 li sp,11 - 10534: 00000013 nop - 10538: 00e00093 li ra,14 - 1053c: 00000013 nop - 10540: 00208f33 add t5,ra,sp - 10544: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10548: 00200293 li t0,2 - 1054c: fe5212e3 bne tp,t0,10530 - 10550: 01900e93 li t4,25 - 10554: 02100193 li gp,33 - 10558: 01df0463 beq t5,t4,10560 - 1055c: 5ed0106f j 12348 - -00010560 : - 10560: 00000213 li tp,0 - 10564: 00b00113 li sp,11 - 10568: 00000013 nop - 1056c: 00000013 nop - 10570: 00f00093 li ra,15 - 10574: 00208f33 add t5,ra,sp - 10578: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1057c: 00200293 li t0,2 - 10580: fe5212e3 bne tp,t0,10564 - 10584: 01a00e93 li t4,26 - 10588: 02200193 li gp,34 - 1058c: 01df0463 beq t5,t4,10594 - 10590: 5b90106f j 12348 - -00010594 : - 10594: 00f00093 li ra,15 - 10598: 00100133 add sp,zero,ra - 1059c: 00f00e93 li t4,15 - 105a0: 02300193 li gp,35 - 105a4: 01d10463 beq sp,t4,105ac - 105a8: 5a10106f j 12348 - -000105ac : - 105ac: 02000093 li ra,32 - 105b0: 00008133 add sp,ra,zero - 105b4: 02000e93 li t4,32 - 105b8: 02400193 li gp,36 - 105bc: 01d10463 beq sp,t4,105c4 - 105c0: 5890106f j 12348 - -000105c4 : - 105c4: 000000b3 add ra,zero,zero - 105c8: 00000e93 li t4,0 - 105cc: 02500193 li gp,37 - 105d0: 01d08463 beq ra,t4,105d8 - 105d4: 5750106f j 12348 - -000105d8 : - 105d8: 01000093 li ra,16 - 105dc: 01e00113 li sp,30 - 105e0: 00208033 add zero,ra,sp - 105e4: 00000e93 li t4,0 - 105e8: 02600193 li gp,38 - 105ec: 01d00463 beq zero,t4,105f4 - 105f0: 5590106f j 12348 - -000105f4 : - 105f4: 00000093 li ra,0 - 105f8: 00008f13 mv t5,ra - 105fc: 00000e93 li t4,0 - 10600: 02700193 li gp,39 - 10604: 01df0463 beq t5,t4,1060c - 10608: 5410106f j 12348 - -0001060c : - 1060c: 00100093 li ra,1 - 10610: 00108f13 addi t5,ra,1 - 10614: 00200e93 li t4,2 - 10618: 02800193 li gp,40 - 1061c: 01df0463 beq t5,t4,10624 - 10620: 5290106f j 12348 - -00010624 : - 10624: 00300093 li ra,3 - 10628: 00708f13 addi t5,ra,7 - 1062c: 00a00e93 li t4,10 - 10630: 02900193 li gp,41 - 10634: 01df0463 beq t5,t4,1063c - 10638: 5110106f j 12348 - -0001063c : - 1063c: 00000093 li ra,0 - 10640: 80008f13 addi t5,ra,-2048 - 10644: 80000e93 li t4,-2048 - 10648: 02a00193 li gp,42 - 1064c: 01df0463 beq t5,t4,10654 - 10650: 4f90106f j 12348 - -00010654 : - 10654: 800000b7 lui ra,0x80000 - 10658: 00008f13 mv t5,ra - 1065c: 80000eb7 lui t4,0x80000 - 10660: 02b00193 li gp,43 - 10664: 01df0463 beq t5,t4,1066c - 10668: 4e10106f j 12348 - -0001066c : - 1066c: 800000b7 lui ra,0x80000 - 10670: 80008f13 addi t5,ra,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> - 10674: 80000eb7 lui t4,0x80000 - 10678: 800e8e93 addi t4,t4,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> - 1067c: 02c00193 li gp,44 - 10680: 01df0463 beq t5,t4,10688 - 10684: 4c50106f j 12348 - -00010688 : - 10688: 00000093 li ra,0 - 1068c: 7ff08f13 addi t5,ra,2047 - 10690: 7ff00e93 li t4,2047 - 10694: 02d00193 li gp,45 - 10698: 01df0463 beq t5,t4,106a0 - 1069c: 4ad0106f j 12348 - -000106a0 : - 106a0: 800000b7 lui ra,0x80000 - 106a4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106a8: 00008f13 mv t5,ra - 106ac: 80000eb7 lui t4,0x80000 - 106b0: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106b4: 02e00193 li gp,46 - 106b8: 01df0463 beq t5,t4,106c0 - 106bc: 48d0106f j 12348 - -000106c0 : - 106c0: 800000b7 lui ra,0x80000 - 106c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 106c8: 7ff08f13 addi t5,ra,2047 - 106cc: 80000eb7 lui t4,0x80000 - 106d0: 7fee8e93 addi t4,t4,2046 # 800007fe <__global_pointer$+0x7ffecb76> - 106d4: 02f00193 li gp,47 - 106d8: 01df0463 beq t5,t4,106e0 - 106dc: 46d0106f j 12348 - -000106e0 : - 106e0: 800000b7 lui ra,0x80000 - 106e4: 7ff08f13 addi t5,ra,2047 # 800007ff <__global_pointer$+0x7ffecb77> - 106e8: 80000eb7 lui t4,0x80000 - 106ec: 7ffe8e93 addi t4,t4,2047 # 800007ff <__global_pointer$+0x7ffecb77> - 106f0: 03000193 li gp,48 - 106f4: 01df0463 beq t5,t4,106fc - 106f8: 4510106f j 12348 - -000106fc : - 106fc: 800000b7 lui ra,0x80000 - 10700: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 10704: 80008f13 addi t5,ra,-2048 - 10708: 7ffffeb7 lui t4,0x7ffff - 1070c: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <__global_pointer$+0x7ffebb77> - 10710: 03100193 li gp,49 - 10714: 01df0463 beq t5,t4,1071c - 10718: 4310106f j 12348 - -0001071c : - 1071c: 00000093 li ra,0 - 10720: fff08f13 addi t5,ra,-1 - 10724: fff00e93 li t4,-1 - 10728: 03200193 li gp,50 - 1072c: 01df0463 beq t5,t4,10734 - 10730: 4190106f j 12348 - -00010734 : - 10734: fff00093 li ra,-1 - 10738: 00108f13 addi t5,ra,1 - 1073c: 00000e93 li t4,0 - 10740: 03300193 li gp,51 - 10744: 01df0463 beq t5,t4,1074c - 10748: 4010106f j 12348 - -0001074c : - 1074c: fff00093 li ra,-1 - 10750: fff08f13 addi t5,ra,-1 - 10754: ffe00e93 li t4,-2 - 10758: 03400193 li gp,52 - 1075c: 01df0463 beq t5,t4,10764 - 10760: 3e90106f j 12348 - -00010764 : - 10764: 800000b7 lui ra,0x80000 - 10768: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 1076c: 00108f13 addi t5,ra,1 - 10770: 80000eb7 lui t4,0x80000 - 10774: 03500193 li gp,53 - 10778: 01df0463 beq t5,t4,10780 - 1077c: 3cd0106f j 12348 - -00010780 : - 10780: 00d00093 li ra,13 - 10784: 00b08093 addi ra,ra,11 - 10788: 01800e93 li t4,24 - 1078c: 03600193 li gp,54 - 10790: 01d08463 beq ra,t4,10798 - 10794: 3b50106f j 12348 - -00010798 : - 10798: 00000213 li tp,0 - 1079c: 00d00093 li ra,13 - 107a0: 00b08f13 addi t5,ra,11 - 107a4: 000f0313 mv t1,t5 - 107a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107ac: 00200293 li t0,2 - 107b0: fe5216e3 bne tp,t0,1079c - 107b4: 01800e93 li t4,24 - 107b8: 03700193 li gp,55 - 107bc: 01d30463 beq t1,t4,107c4 - 107c0: 3890106f j 12348 - -000107c4 : - 107c4: 00000213 li tp,0 - 107c8: 00d00093 li ra,13 - 107cc: 00a08f13 addi t5,ra,10 - 107d0: 00000013 nop - 107d4: 000f0313 mv t1,t5 - 107d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107dc: 00200293 li t0,2 - 107e0: fe5214e3 bne tp,t0,107c8 - 107e4: 01700e93 li t4,23 - 107e8: 03800193 li gp,56 - 107ec: 01d30463 beq t1,t4,107f4 - 107f0: 3590106f j 12348 - -000107f4 : - 107f4: 00000213 li tp,0 - 107f8: 00d00093 li ra,13 - 107fc: 00908f13 addi t5,ra,9 - 10800: 00000013 nop - 10804: 00000013 nop - 10808: 000f0313 mv t1,t5 - 1080c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10810: 00200293 li t0,2 - 10814: fe5212e3 bne tp,t0,107f8 - 10818: 01600e93 li t4,22 - 1081c: 03900193 li gp,57 - 10820: 01d30463 beq t1,t4,10828 - 10824: 3250106f j 12348 - -00010828 : - 10828: 00000213 li tp,0 - 1082c: 00d00093 li ra,13 - 10830: 00b08f13 addi t5,ra,11 - 10834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10838: 00200293 li t0,2 - 1083c: fe5218e3 bne tp,t0,1082c - 10840: 01800e93 li t4,24 - 10844: 03a00193 li gp,58 - 10848: 01df0463 beq t5,t4,10850 - 1084c: 2fd0106f j 12348 - -00010850 : - 10850: 00000213 li tp,0 - 10854: 00d00093 li ra,13 - 10858: 00000013 nop - 1085c: 00a08f13 addi t5,ra,10 - 10860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10864: 00200293 li t0,2 - 10868: fe5216e3 bne tp,t0,10854 - 1086c: 01700e93 li t4,23 - 10870: 03b00193 li gp,59 - 10874: 01df0463 beq t5,t4,1087c - 10878: 2d10106f j 12348 - -0001087c : - 1087c: 00000213 li tp,0 - 10880: 00d00093 li ra,13 - 10884: 00000013 nop - 10888: 00000013 nop - 1088c: 00908f13 addi t5,ra,9 - 10890: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10894: 00200293 li t0,2 - 10898: fe5214e3 bne tp,t0,10880 - 1089c: 01600e93 li t4,22 - 108a0: 03c00193 li gp,60 - 108a4: 01df0463 beq t5,t4,108ac - 108a8: 2a10106f j 12348 - -000108ac : - 108ac: 02000093 li ra,32 - 108b0: 02000e93 li t4,32 - 108b4: 03d00193 li gp,61 - 108b8: 01d08463 beq ra,t4,108c0 - 108bc: 28d0106f j 12348 - -000108c0 : - 108c0: 02100093 li ra,33 - 108c4: 03208013 addi zero,ra,50 - 108c8: 00000e93 li t4,0 - 108cc: 03e00193 li gp,62 - 108d0: 01d00463 beq zero,t4,108d8 - 108d4: 2750106f j 12348 - -000108d8 : - 108d8: ff0100b7 lui ra,0xff010 - 108dc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 108e0: 0f0f1137 lui sp,0xf0f1 - 108e4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 108e8: 0020ff33 and t5,ra,sp - 108ec: 0f001eb7 lui t4,0xf001 - 108f0: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 108f4: 03f00193 li gp,63 - 108f8: 01df0463 beq t5,t4,10900 - 108fc: 24d0106f j 12348 - -00010900 : - 10900: 0ff010b7 lui ra,0xff01 - 10904: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10908: f0f0f137 lui sp,0xf0f0f - 1090c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10910: 0020ff33 and t5,ra,sp - 10914: 00f00eb7 lui t4,0xf00 - 10918: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 1091c: 04000193 li gp,64 - 10920: 01df0463 beq t5,t4,10928 - 10924: 2250106f j 12348 - -00010928 : - 10928: 00ff00b7 lui ra,0xff0 - 1092c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10930: 0f0f1137 lui sp,0xf0f1 - 10934: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10938: 0020ff33 and t5,ra,sp - 1093c: 000f0eb7 lui t4,0xf0 - 10940: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10944: 04100193 li gp,65 - 10948: 01df0463 beq t5,t4,10950 - 1094c: 1fd0106f j 12348 - -00010950 : - 10950: f00ff0b7 lui ra,0xf00ff - 10954: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10958: f0f0f137 lui sp,0xf0f0f - 1095c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10960: 0020ff33 and t5,ra,sp - 10964: f000feb7 lui t4,0xf000f - 10968: 04200193 li gp,66 - 1096c: 01df0463 beq t5,t4,10974 - 10970: 1d90106f j 12348 - -00010974 : - 10974: ff0100b7 lui ra,0xff010 - 10978: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 1097c: 0f0f1137 lui sp,0xf0f1 - 10980: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10984: 0020f0b3 and ra,ra,sp - 10988: 0f001eb7 lui t4,0xf001 - 1098c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10990: 04300193 li gp,67 - 10994: 01d08463 beq ra,t4,1099c - 10998: 1b10106f j 12348 - -0001099c : - 1099c: 0ff010b7 lui ra,0xff01 - 109a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 109a4: f0f0f137 lui sp,0xf0f0f - 109a8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 109ac: 0020f133 and sp,ra,sp - 109b0: 00f00eb7 lui t4,0xf00 - 109b4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 109b8: 04400193 li gp,68 - 109bc: 01d10463 beq sp,t4,109c4 - 109c0: 1890106f j 12348 - -000109c4 : - 109c4: ff0100b7 lui ra,0xff010 - 109c8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109cc: 0010f0b3 and ra,ra,ra - 109d0: ff010eb7 lui t4,0xff010 - 109d4: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109d8: 04500193 li gp,69 - 109dc: 01d08463 beq ra,t4,109e4 - 109e0: 1690106f j 12348 - -000109e4 : - 109e4: 00000213 li tp,0 - 109e8: ff0100b7 lui ra,0xff010 - 109ec: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 109f0: 0f0f1137 lui sp,0xf0f1 - 109f4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 109f8: 0020ff33 and t5,ra,sp - 109fc: 000f0313 mv t1,t5 - 10a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a04: 00200293 li t0,2 - 10a08: fe5210e3 bne tp,t0,109e8 - 10a0c: 0f001eb7 lui t4,0xf001 - 10a10: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10a14: 04600193 li gp,70 - 10a18: 01d30463 beq t1,t4,10a20 - 10a1c: 12d0106f j 12348 - -00010a20 : - 10a20: 00000213 li tp,0 - 10a24: 0ff010b7 lui ra,0xff01 - 10a28: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10a2c: f0f0f137 lui sp,0xf0f0f - 10a30: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10a34: 0020ff33 and t5,ra,sp - 10a38: 00000013 nop - 10a3c: 000f0313 mv t1,t5 - 10a40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a44: 00200293 li t0,2 - 10a48: fc521ee3 bne tp,t0,10a24 - 10a4c: 00f00eb7 lui t4,0xf00 - 10a50: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10a54: 04700193 li gp,71 - 10a58: 01d30463 beq t1,t4,10a60 - 10a5c: 0ed0106f j 12348 - -00010a60 : - 10a60: 00000213 li tp,0 - 10a64: 00ff00b7 lui ra,0xff0 - 10a68: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10a6c: 0f0f1137 lui sp,0xf0f1 - 10a70: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10a74: 0020ff33 and t5,ra,sp - 10a78: 00000013 nop - 10a7c: 00000013 nop - 10a80: 000f0313 mv t1,t5 - 10a84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a88: 00200293 li t0,2 - 10a8c: fc521ce3 bne tp,t0,10a64 - 10a90: 000f0eb7 lui t4,0xf0 - 10a94: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10a98: 04800193 li gp,72 - 10a9c: 01d30463 beq t1,t4,10aa4 - 10aa0: 0a90106f j 12348 - -00010aa4 : - 10aa4: 00000213 li tp,0 - 10aa8: ff0100b7 lui ra,0xff010 - 10aac: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10ab0: 0f0f1137 lui sp,0xf0f1 - 10ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10ab8: 0020ff33 and t5,ra,sp - 10abc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ac0: 00200293 li t0,2 - 10ac4: fe5212e3 bne tp,t0,10aa8 - 10ac8: 0f001eb7 lui t4,0xf001 - 10acc: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10ad0: 04900193 li gp,73 - 10ad4: 01df0463 beq t5,t4,10adc - 10ad8: 0710106f j 12348 - -00010adc : - 10adc: 00000213 li tp,0 - 10ae0: 0ff010b7 lui ra,0xff01 - 10ae4: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10ae8: f0f0f137 lui sp,0xf0f0f - 10aec: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10af0: 00000013 nop - 10af4: 0020ff33 and t5,ra,sp - 10af8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10afc: 00200293 li t0,2 - 10b00: fe5210e3 bne tp,t0,10ae0 - 10b04: 00f00eb7 lui t4,0xf00 - 10b08: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10b0c: 04a00193 li gp,74 - 10b10: 01df0463 beq t5,t4,10b18 - 10b14: 0350106f j 12348 - -00010b18 : - 10b18: 00000213 li tp,0 - 10b1c: 00ff00b7 lui ra,0xff0 - 10b20: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10b24: 0f0f1137 lui sp,0xf0f1 - 10b28: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10b2c: 00000013 nop - 10b30: 00000013 nop - 10b34: 0020ff33 and t5,ra,sp - 10b38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b3c: 00200293 li t0,2 - 10b40: fc521ee3 bne tp,t0,10b1c - 10b44: 000f0eb7 lui t4,0xf0 - 10b48: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10b4c: 04b00193 li gp,75 - 10b50: 01df0463 beq t5,t4,10b58 - 10b54: 7f40106f j 12348 - -00010b58 : - 10b58: 00000213 li tp,0 - 10b5c: ff0100b7 lui ra,0xff010 - 10b60: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10b64: 00000013 nop - 10b68: 0f0f1137 lui sp,0xf0f1 - 10b6c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10b70: 0020ff33 and t5,ra,sp - 10b74: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b78: 00200293 li t0,2 - 10b7c: fe5210e3 bne tp,t0,10b5c - 10b80: 0f001eb7 lui t4,0xf001 - 10b84: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10b88: 04c00193 li gp,76 - 10b8c: 01df0463 beq t5,t4,10b94 - 10b90: 7b80106f j 12348 - -00010b94 : - 10b94: 00000213 li tp,0 - 10b98: 0ff010b7 lui ra,0xff01 - 10b9c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10ba0: 00000013 nop - 10ba4: f0f0f137 lui sp,0xf0f0f - 10ba8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10bac: 00000013 nop - 10bb0: 0020ff33 and t5,ra,sp - 10bb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10bb8: 00200293 li t0,2 - 10bbc: fc521ee3 bne tp,t0,10b98 - 10bc0: 00f00eb7 lui t4,0xf00 - 10bc4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10bc8: 04d00193 li gp,77 - 10bcc: 01df0463 beq t5,t4,10bd4 - 10bd0: 7780106f j 12348 - -00010bd4 : - 10bd4: 00000213 li tp,0 - 10bd8: 00ff00b7 lui ra,0xff0 - 10bdc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10be0: 00000013 nop - 10be4: 00000013 nop - 10be8: 0f0f1137 lui sp,0xf0f1 - 10bec: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10bf0: 0020ff33 and t5,ra,sp - 10bf4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10bf8: 00200293 li t0,2 - 10bfc: fc521ee3 bne tp,t0,10bd8 - 10c00: 000f0eb7 lui t4,0xf0 - 10c04: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10c08: 04e00193 li gp,78 - 10c0c: 01df0463 beq t5,t4,10c14 - 10c10: 7380106f j 12348 - -00010c14 : - 10c14: 00000213 li tp,0 - 10c18: 0f0f1137 lui sp,0xf0f1 - 10c1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10c20: ff0100b7 lui ra,0xff010 - 10c24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10c28: 0020ff33 and t5,ra,sp - 10c2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10c30: 00200293 li t0,2 - 10c34: fe5212e3 bne tp,t0,10c18 - 10c38: 0f001eb7 lui t4,0xf001 - 10c3c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10c40: 04f00193 li gp,79 - 10c44: 01df0463 beq t5,t4,10c4c - 10c48: 7000106f j 12348 - -00010c4c : - 10c4c: 00000213 li tp,0 - 10c50: f0f0f137 lui sp,0xf0f0f - 10c54: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10c58: 0ff010b7 lui ra,0xff01 - 10c5c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10c60: 00000013 nop - 10c64: 0020ff33 and t5,ra,sp - 10c68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10c6c: 00200293 li t0,2 - 10c70: fe5210e3 bne tp,t0,10c50 - 10c74: 00f00eb7 lui t4,0xf00 - 10c78: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10c7c: 05000193 li gp,80 - 10c80: 01df0463 beq t5,t4,10c88 - 10c84: 6c40106f j 12348 - -00010c88 : - 10c88: 00000213 li tp,0 - 10c8c: 0f0f1137 lui sp,0xf0f1 - 10c90: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10c94: 00ff00b7 lui ra,0xff0 - 10c98: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10c9c: 00000013 nop - 10ca0: 00000013 nop - 10ca4: 0020ff33 and t5,ra,sp - 10ca8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10cac: 00200293 li t0,2 - 10cb0: fc521ee3 bne tp,t0,10c8c - 10cb4: 000f0eb7 lui t4,0xf0 - 10cb8: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10cbc: 05100193 li gp,81 - 10cc0: 01df0463 beq t5,t4,10cc8 - 10cc4: 6840106f j 12348 - -00010cc8 : - 10cc8: 00000213 li tp,0 - 10ccc: 0f0f1137 lui sp,0xf0f1 - 10cd0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10cd4: 00000013 nop - 10cd8: ff0100b7 lui ra,0xff010 - 10cdc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10ce0: 0020ff33 and t5,ra,sp - 10ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ce8: 00200293 li t0,2 - 10cec: fe5210e3 bne tp,t0,10ccc - 10cf0: 0f001eb7 lui t4,0xf001 - 10cf4: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> - 10cf8: 05200193 li gp,82 - 10cfc: 01df0463 beq t5,t4,10d04 - 10d00: 6480106f j 12348 - -00010d04 : - 10d04: 00000213 li tp,0 - 10d08: f0f0f137 lui sp,0xf0f0f - 10d0c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> - 10d10: 00000013 nop - 10d14: 0ff010b7 lui ra,0xff01 - 10d18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10d1c: 00000013 nop - 10d20: 0020ff33 and t5,ra,sp - 10d24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d28: 00200293 li t0,2 - 10d2c: fc521ee3 bne tp,t0,10d08 - 10d30: 00f00eb7 lui t4,0xf00 - 10d34: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> - 10d38: 05300193 li gp,83 - 10d3c: 01df0463 beq t5,t4,10d44 - 10d40: 6080106f j 12348 - -00010d44 : - 10d44: 00000213 li tp,0 - 10d48: 0f0f1137 lui sp,0xf0f1 - 10d4c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> - 10d50: 00000013 nop - 10d54: 00000013 nop - 10d58: 00ff00b7 lui ra,0xff0 - 10d5c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10d60: 0020ff33 and t5,ra,sp - 10d64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d68: 00200293 li t0,2 - 10d6c: fc521ee3 bne tp,t0,10d48 - 10d70: 000f0eb7 lui t4,0xf0 - 10d74: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> - 10d78: 05400193 li gp,84 - 10d7c: 01df0463 beq t5,t4,10d84 - 10d80: 5c80106f j 12348 - -00010d84 : - 10d84: ff0100b7 lui ra,0xff010 - 10d88: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10d8c: 00107133 and sp,zero,ra - 10d90: 00000e93 li t4,0 - 10d94: 05500193 li gp,85 - 10d98: 01d10463 beq sp,t4,10da0 - 10d9c: 5ac0106f j 12348 - -00010da0 : - 10da0: 00ff00b7 lui ra,0xff0 - 10da4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10da8: 0000f133 and sp,ra,zero - 10dac: 00000e93 li t4,0 - 10db0: 05600193 li gp,86 - 10db4: 01d10463 beq sp,t4,10dbc - 10db8: 5900106f j 12348 - -00010dbc : - 10dbc: 000070b3 and ra,zero,zero - 10dc0: 00000e93 li t4,0 - 10dc4: 05700193 li gp,87 - 10dc8: 01d08463 beq ra,t4,10dd0 - 10dcc: 57c0106f j 12348 - -00010dd0 : - 10dd0: 111110b7 lui ra,0x11111 - 10dd4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fd489> - 10dd8: 22222137 lui sp,0x22222 - 10ddc: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220e59a> - 10de0: 0020f033 and zero,ra,sp - 10de4: 00000e93 li t4,0 - 10de8: 05800193 li gp,88 - 10dec: 01d00463 beq zero,t4,10df4 - 10df0: 5580106f j 12348 - -00010df4 : - 10df4: ff0100b7 lui ra,0xff010 - 10df8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10dfc: f0f0ff13 andi t5,ra,-241 - 10e00: ff010eb7 lui t4,0xff010 - 10e04: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10e08: 05900193 li gp,89 - 10e0c: 01df0463 beq t5,t4,10e14 - 10e10: 5380106f j 12348 - -00010e14 : - 10e14: 0ff010b7 lui ra,0xff01 - 10e18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10e1c: 0f00ff13 andi t5,ra,240 - 10e20: 0f000e93 li t4,240 - 10e24: 05a00193 li gp,90 - 10e28: 01df0463 beq t5,t4,10e30 - 10e2c: 51c0106f j 12348 - -00010e30 : - 10e30: 00ff00b7 lui ra,0xff0 - 10e34: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10e38: 70f0ff13 andi t5,ra,1807 - 10e3c: 00f00e93 li t4,15 - 10e40: 05b00193 li gp,91 - 10e44: 01df0463 beq t5,t4,10e4c - 10e48: 5000106f j 12348 - -00010e4c : - 10e4c: f00ff0b7 lui ra,0xf00ff - 10e50: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10e54: 0f00ff13 andi t5,ra,240 - 10e58: 00000e93 li t4,0 - 10e5c: 05c00193 li gp,92 - 10e60: 01df0463 beq t5,t4,10e68 - 10e64: 4e40106f j 12348 - -00010e68 : - 10e68: ff0100b7 lui ra,0xff010 - 10e6c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> - 10e70: 0f00f093 andi ra,ra,240 - 10e74: 00000e93 li t4,0 - 10e78: 05d00193 li gp,93 - 10e7c: 01d08463 beq ra,t4,10e84 - 10e80: 4c80106f j 12348 - -00010e84 : - 10e84: 00000213 li tp,0 - 10e88: 0ff010b7 lui ra,0xff01 - 10e8c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10e90: 70f0ff13 andi t5,ra,1807 - 10e94: 000f0313 mv t1,t5 - 10e98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e9c: 00200293 li t0,2 - 10ea0: fe5214e3 bne tp,t0,10e88 - 10ea4: 70000e93 li t4,1792 - 10ea8: 05e00193 li gp,94 - 10eac: 01d30463 beq t1,t4,10eb4 - 10eb0: 4980106f j 12348 - -00010eb4 : - 10eb4: 00000213 li tp,0 - 10eb8: 00ff00b7 lui ra,0xff0 - 10ebc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10ec0: 0f00ff13 andi t5,ra,240 - 10ec4: 00000013 nop - 10ec8: 000f0313 mv t1,t5 - 10ecc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ed0: 00200293 li t0,2 - 10ed4: fe5212e3 bne tp,t0,10eb8 - 10ed8: 0f000e93 li t4,240 - 10edc: 05f00193 li gp,95 - 10ee0: 01d30463 beq t1,t4,10ee8 - 10ee4: 4640106f j 12348 - -00010ee8 : - 10ee8: 00000213 li tp,0 - 10eec: f00ff0b7 lui ra,0xf00ff - 10ef0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10ef4: f0f0ff13 andi t5,ra,-241 - 10ef8: 00000013 nop - 10efc: 00000013 nop - 10f00: 000f0313 mv t1,t5 - 10f04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f08: 00200293 li t0,2 - 10f0c: fe5210e3 bne tp,t0,10eec - 10f10: f00ffeb7 lui t4,0xf00ff - 10f14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10f18: 06000193 li gp,96 - 10f1c: 01d30463 beq t1,t4,10f24 - 10f20: 4280106f j 12348 - -00010f24 : - 10f24: 00000213 li tp,0 - 10f28: 0ff010b7 lui ra,0xff01 - 10f2c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> - 10f30: 70f0ff13 andi t5,ra,1807 - 10f34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f38: 00200293 li t0,2 - 10f3c: fe5216e3 bne tp,t0,10f28 - 10f40: 70000e93 li t4,1792 - 10f44: 06100193 li gp,97 - 10f48: 01df0463 beq t5,t4,10f50 - 10f4c: 3fc0106f j 12348 - -00010f50 : - 10f50: 00000213 li tp,0 - 10f54: 00ff00b7 lui ra,0xff0 - 10f58: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10f5c: 00000013 nop - 10f60: 0f00ff13 andi t5,ra,240 - 10f64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f68: 00200293 li t0,2 - 10f6c: fe5214e3 bne tp,t0,10f54 - 10f70: 0f000e93 li t4,240 - 10f74: 06200193 li gp,98 - 10f78: 01df0463 beq t5,t4,10f80 - 10f7c: 3cc0106f j 12348 - -00010f80 : - 10f80: 00000213 li tp,0 - 10f84: f00ff0b7 lui ra,0xf00ff - 10f88: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> - 10f8c: 00000013 nop - 10f90: 00000013 nop - 10f94: 70f0ff13 andi t5,ra,1807 - 10f98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f9c: 00200293 li t0,2 - 10fa0: fe5212e3 bne tp,t0,10f84 - 10fa4: 00f00e93 li t4,15 - 10fa8: 06300193 li gp,99 - 10fac: 01df0463 beq t5,t4,10fb4 - 10fb0: 3980106f j 12348 - -00010fb4 : - 10fb4: 0f007093 andi ra,zero,240 - 10fb8: 00000e93 li t4,0 - 10fbc: 06400193 li gp,100 - 10fc0: 01d08463 beq ra,t4,10fc8 - 10fc4: 3840106f j 12348 - -00010fc8 : - 10fc8: 00ff00b7 lui ra,0xff0 - 10fcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> - 10fd0: 70f0f013 andi zero,ra,1807 - 10fd4: 00000e93 li t4,0 - 10fd8: 06500193 li gp,101 - 10fdc: 01d00463 beq zero,t4,10fe4 - 10fe0: 3680106f j 12348 - -00010fe4 : - 10fe4: 00000013 nop - 10fe8: 00002517 auipc a0,0x2 - 10fec: 71c50513 addi a0,a0,1820 # 13704 <__bss_start+0x27c> - 10ff0: 004005ef jal a1,10ff4 - 10ff4: 40b50533 sub a0,a0,a1 - 10ff8: 00002eb7 lui t4,0x2 - 10ffc: 710e8e93 addi t4,t4,1808 # 2710 <_start-0xd970> - 11000: 06600193 li gp,102 - 11004: 01d50463 beq a0,t4,1100c - 11008: 3400106f j 12348 - -0001100c : - 1100c: 00000013 nop - 11010: ffffe517 auipc a0,0xffffe - 11014: 8fc50513 addi a0,a0,-1796 # e90c <_start-0x1774> - 11018: 004005ef jal a1,1101c - 1101c: 40b50533 sub a0,a0,a1 - 11020: ffffeeb7 lui t4,0xffffe - 11024: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 <__global_pointer$+0xfffe9c68> - 11028: 06700193 li gp,103 - 1102c: 01d50463 beq a0,t4,11034 - 11030: 3180106f j 12348 - -00011034 : - 11034: 06800193 li gp,104 - 11038: 00000093 li ra,0 - 1103c: 00000113 li sp,0 - 11040: 00208863 beq ra,sp,11050 #1 - 11044: 00300463 beq zero,gp,1104c - 11048: 3000106f j 12348 - 1104c: 00301863 bne zero,gp,1105c #3 - 11050: fe208ee3 beq ra,sp,1104c #2 - 11054: 00300463 beq zero,gp,1105c - 11058: 2f00106f j 12348 - -0001105c : - 1105c: 06900193 li gp,105 #ra = x1 gp = x3 sp =x2 - 11060: 00100093 li ra,1 - 11064: 00100113 li sp,1 - 11068: 00208863 beq ra,sp,11078 # 1 - 1106c: 00300463 beq zero,gp,11074 - 11070: 2d80106f j 12348 - 11074: 00301863 bne zero,gp,11084 # 3 - 11078: fe208ee3 beq ra,sp,11074 # 2 - 1107c: 00300463 beq zero,gp,11084 - 11080: 2c80106f j 12348 - -00011084 : - 11084: 06a00193 li gp,106 - 11088: fff00093 li ra,-1 - 1108c: fff00113 li sp,-1 - 11090: 00208863 beq ra,sp,110a0 - 11094: 00300463 beq zero,gp,1109c - 11098: 2b00106f j 12348 - 1109c: 00301863 bne zero,gp,110ac - 110a0: fe208ee3 beq ra,sp,1109c - 110a4: 00300463 beq zero,gp,110ac - 110a8: 2a00106f j 12348 - -000110ac : - 110ac: 06b00193 li gp,107 - 110b0: 00000093 li ra,0 - 110b4: 00100113 li sp,1 - 110b8: 00208463 beq ra,sp,110c0 - 110bc: 00301663 bne zero,gp,110c8 - 110c0: 00300463 beq zero,gp,110c8 - 110c4: 2840106f j 12348 - 110c8: fe208ce3 beq ra,sp,110c0 - -000110cc : - 110cc: 06c00193 li gp,108 - 110d0: 00100093 li ra,1 - 110d4: 00000113 li sp,0 - 110d8: 00208463 beq ra,sp,110e0 - 110dc: 00301663 bne zero,gp,110e8 - 110e0: 00300463 beq zero,gp,110e8 - 110e4: 2640106f j 12348 - 110e8: fe208ce3 beq ra,sp,110e0 - -000110ec : - 110ec: 06d00193 li gp,109 - 110f0: fff00093 li ra,-1 - 110f4: 00100113 li sp,1 - 110f8: 00208463 beq ra,sp,11100 - 110fc: 00301663 bne zero,gp,11108 - 11100: 00300463 beq zero,gp,11108 - 11104: 2440106f j 12348 - 11108: fe208ce3 beq ra,sp,11100 - -0001110c : - 1110c: 06e00193 li gp,110 - 11110: 00100093 li ra,1 - 11114: fff00113 li sp,-1 - 11118: 00208463 beq ra,sp,11120 - 1111c: 00301663 bne zero,gp,11128 - 11120: 00300463 beq zero,gp,11128 - 11124: 2240106f j 12348 - 11128: fe208ce3 beq ra,sp,11120 - -0001112c : - 1112c: 06f00193 li gp,111 - 11130: 00000213 li tp,0 - 11134: 00000093 li ra,0 - 11138: fff00113 li sp,-1 - 1113c: 00209463 bne ra,sp,11144 - 11140: 2080106f j 12348 - 11144: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11148: 00200293 li t0,2 - 1114c: fe5214e3 bne tp,t0,11134 - -00011150 : - 11150: 07000193 li gp,112 - 11154: 00000213 li tp,0 - 11158: 00000093 li ra,0 - 1115c: fff00113 li sp,-1 - 11160: 00000013 nop - 11164: 00209463 bne ra,sp,1116c - 11168: 1e00106f j 12348 - 1116c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11170: 00200293 li t0,2 - 11174: fe5212e3 bne tp,t0,11158 - -00011178 : - 11178: 07100193 li gp,113 - 1117c: 00000213 li tp,0 - 11180: 00000093 li ra,0 - 11184: fff00113 li sp,-1 - 11188: 00000013 nop - 1118c: 00000013 nop - 11190: 00209463 bne ra,sp,11198 - 11194: 1b40106f j 12348 - 11198: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1119c: 00200293 li t0,2 - 111a0: fe5210e3 bne tp,t0,11180 - -000111a4 : - 111a4: 07200193 li gp,114 - 111a8: 00000213 li tp,0 - 111ac: 00000093 li ra,0 - 111b0: 00000013 nop - 111b4: fff00113 li sp,-1 - 111b8: 00209463 bne ra,sp,111c0 - 111bc: 18c0106f j 12348 - 111c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111c4: 00200293 li t0,2 - 111c8: fe5212e3 bne tp,t0,111ac - -000111cc : - 111cc: 07300193 li gp,115 - 111d0: 00000213 li tp,0 - 111d4: 00000093 li ra,0 - 111d8: 00000013 nop - 111dc: fff00113 li sp,-1 - 111e0: 00000013 nop - 111e4: 00209463 bne ra,sp,111ec - 111e8: 1600106f j 12348 - 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111f0: 00200293 li t0,2 - 111f4: fe5210e3 bne tp,t0,111d4 - -000111f8 : - 111f8: 07400193 li gp,116 - 111fc: 00000213 li tp,0 - 11200: 00000093 li ra,0 - 11204: 00000013 nop - 11208: 00000013 nop - 1120c: fff00113 li sp,-1 - 11210: 00209463 bne ra,sp,11218 - 11214: 1340106f j 12348 - 11218: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1121c: 00200293 li t0,2 - 11220: fe5210e3 bne tp,t0,11200 - -00011224 : - 11224: 07500193 li gp,117 - 11228: 00000213 li tp,0 - 1122c: 00000093 li ra,0 - 11230: fff00113 li sp,-1 - 11234: 00209463 bne ra,sp,1123c - 11238: 1100106f j 12348 - 1123c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11240: 00200293 li t0,2 - 11244: fe5214e3 bne tp,t0,1122c - -00011248 : - 11248: 07600193 li gp,118 - 1124c: 00000213 li tp,0 - 11250: 00000093 li ra,0 - 11254: fff00113 li sp,-1 - 11258: 00000013 nop - 1125c: 00209463 bne ra,sp,11264 - 11260: 0e80106f j 12348 - 11264: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11268: 00200293 li t0,2 - 1126c: fe5212e3 bne tp,t0,11250 - -00011270 : - 11270: 07700193 li gp,119 - 11274: 00000213 li tp,0 - 11278: 00000093 li ra,0 - 1127c: fff00113 li sp,-1 - 11280: 00000013 nop - 11284: 00000013 nop - 11288: 00209463 bne ra,sp,11290 - 1128c: 0bc0106f j 12348 - 11290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11294: 00200293 li t0,2 - 11298: fe5210e3 bne tp,t0,11278 - -0001129c : - 1129c: 07800193 li gp,120 - 112a0: 00000213 li tp,0 - 112a4: 00000093 li ra,0 - 112a8: 00000013 nop - 112ac: fff00113 li sp,-1 - 112b0: 00209463 bne ra,sp,112b8 - 112b4: 0940106f j 12348 - 112b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112bc: 00200293 li t0,2 - 112c0: fe5212e3 bne tp,t0,112a4 - -000112c4 : - 112c4: 07900193 li gp,121 - 112c8: 00000213 li tp,0 - 112cc: 00000093 li ra,0 - 112d0: 00000013 nop - 112d4: fff00113 li sp,-1 - 112d8: 00000013 nop - 112dc: 00209463 bne ra,sp,112e4 - 112e0: 0680106f j 12348 - 112e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112e8: 00200293 li t0,2 - 112ec: fe5210e3 bne tp,t0,112cc - -000112f0 : - 112f0: 07a00193 li gp,122 - 112f4: 00000213 li tp,0 - 112f8: 00000093 li ra,0 - 112fc: 00000013 nop - 11300: 00000013 nop - 11304: fff00113 li sp,-1 - 11308: 00209463 bne ra,sp,11310 - 1130c: 03c0106f j 12348 - 11310: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11314: 00200293 li t0,2 - 11318: fe5210e3 bne tp,t0,112f8 - -0001131c : - 1131c: 00100093 li ra,1 - 11320: 00000a63 beqz zero,11334 - 11324: 00108093 addi ra,ra,1 - 11328: 00108093 addi ra,ra,1 - 1132c: 00108093 addi ra,ra,1 - 11330: 00108093 addi ra,ra,1 - 11334: 00108093 addi ra,ra,1 - 11338: 00108093 addi ra,ra,1 - 1133c: 00300e93 li t4,3 - 11340: 07b00193 li gp,123 - 11344: 01d08463 beq ra,t4,1134c - 11348: 0000106f j 12348 - -0001134c : - 1134c: 07c00193 li gp,124 - 11350: 00000093 li ra,0 - 11354: 00000113 li sp,0 - 11358: 0020d663 ble sp,ra,11364 - 1135c: 7e3016e3 bne zero,gp,12348 - 11360: 00301663 bne zero,gp,1136c - 11364: fe20dee3 ble sp,ra,11360 - 11368: 7e3010e3 bne zero,gp,12348 - -0001136c : - 1136c: 07d00193 li gp,125 - 11370: 00100093 li ra,1 - 11374: 00100113 li sp,1 - 11378: 0020d663 ble sp,ra,11384 - 1137c: 7c3016e3 bne zero,gp,12348 - 11380: 00301663 bne zero,gp,1138c - 11384: fe20dee3 ble sp,ra,11380 - 11388: 7c3010e3 bne zero,gp,12348 - -0001138c : - 1138c: 07e00193 li gp,126 - 11390: fff00093 li ra,-1 - 11394: fff00113 li sp,-1 - 11398: 0020d663 ble sp,ra,113a4 - 1139c: 7a3016e3 bne zero,gp,12348 - 113a0: 00301663 bne zero,gp,113ac - 113a4: fe20dee3 ble sp,ra,113a0 - 113a8: 7a3010e3 bne zero,gp,12348 - -000113ac : - 113ac: 07f00193 li gp,127 - 113b0: 00100093 li ra,1 - 113b4: 00000113 li sp,0 - 113b8: 0020d663 ble sp,ra,113c4 - 113bc: 783016e3 bne zero,gp,12348 - 113c0: 00301663 bne zero,gp,113cc - 113c4: fe20dee3 ble sp,ra,113c0 - 113c8: 783010e3 bne zero,gp,12348 - -000113cc : - 113cc: 08000193 li gp,128 - 113d0: 00100093 li ra,1 - 113d4: fff00113 li sp,-1 - 113d8: 0020d663 ble sp,ra,113e4 - 113dc: 763016e3 bne zero,gp,12348 - 113e0: 00301663 bne zero,gp,113ec #3 - 113e4: fe20dee3 ble sp,ra,113e0 #2 - 113e8: 763010e3 bne zero,gp,12348 - -000113ec : - 113ec: 08100193 li gp,129 - 113f0: fff00093 li ra,-1 - 113f4: ffe00113 li sp,-2 - 113f8: 0020d663 ble sp,ra,11404 - 113fc: 743016e3 bne zero,gp,12348 - 11400: 00301663 bne zero,gp,1140c - 11404: fe20dee3 ble sp,ra,11400 - 11408: 743010e3 bne zero,gp,12348 - -0001140c : - 1140c: 08200193 li gp,130 - 11410: 00000093 li ra,0 - 11414: 00100113 li sp,1 - 11418: 0020d463 ble sp,ra,11420 - 1141c: 00301463 bne zero,gp,11424 - 11420: 723014e3 bne zero,gp,12348 - 11424: fe20dee3 ble sp,ra,11420 - -00011428 : - 11428: 08300193 li gp,131 - 1142c: fff00093 li ra,-1 - 11430: 00100113 li sp,1 - 11434: 0020d463 ble sp,ra,1143c - 11438: 00301463 bne zero,gp,11440 - 1143c: 703016e3 bne zero,gp,12348 - 11440: fe20dee3 ble sp,ra,1143c - -00011444 : - 11444: 08400193 li gp,132 - 11448: ffe00093 li ra,-2 - 1144c: fff00113 li sp,-1 - 11450: 0020d463 ble sp,ra,11458 - 11454: 00301463 bne zero,gp,1145c - 11458: 6e3018e3 bne zero,gp,12348 - 1145c: fe20dee3 ble sp,ra,11458 - -00011460 : - 11460: 08500193 li gp,133 - 11464: ffe00093 li ra,-2 - 11468: 00100113 li sp,1 - 1146c: 0020d463 ble sp,ra,11474 - 11470: 00301463 bne zero,gp,11478 - 11474: 6c301ae3 bne zero,gp,12348 - 11478: fe20dee3 ble sp,ra,11474 - -0001147c : - 1147c: 08600193 li gp,134 - 11480: 00000213 li tp,0 - 11484: fff00093 li ra,-1 - 11488: 00000113 li sp,0 - 1148c: 6a20dee3 ble sp,ra,12348 - 11490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11494: 00200293 li t0,2 - 11498: fe5216e3 bne tp,t0,11484 - -0001149c : - 1149c: 08700193 li gp,135 - 114a0: 00000213 li tp,0 - 114a4: fff00093 li ra,-1 - 114a8: 00000113 li sp,0 - 114ac: 00000013 nop - 114b0: 6820dce3 ble sp,ra,12348 - 114b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114b8: 00200293 li t0,2 - 114bc: fe5214e3 bne tp,t0,114a4 - -000114c0 : - 114c0: 08800193 li gp,136 - 114c4: 00000213 li tp,0 - 114c8: fff00093 li ra,-1 - 114cc: 00000113 li sp,0 - 114d0: 00000013 nop - 114d4: 00000013 nop - 114d8: 6620d8e3 ble sp,ra,12348 - 114dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114e0: 00200293 li t0,2 - 114e4: fe5212e3 bne tp,t0,114c8 - -000114e8 : - 114e8: 08900193 li gp,137 - 114ec: 00000213 li tp,0 - 114f0: fff00093 li ra,-1 - 114f4: 00000013 nop - 114f8: 00000113 li sp,0 - 114fc: 6420d6e3 ble sp,ra,12348 - 11500: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11504: 00200293 li t0,2 - 11508: fe5214e3 bne tp,t0,114f0 - -0001150c : - 1150c: 08a00193 li gp,138 - 11510: 00000213 li tp,0 - 11514: fff00093 li ra,-1 - 11518: 00000013 nop - 1151c: 00000113 li sp,0 - 11520: 00000013 nop - 11524: 6220d2e3 ble sp,ra,12348 - 11528: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1152c: 00200293 li t0,2 - 11530: fe5212e3 bne tp,t0,11514 - -00011534 : - 11534: 08b00193 li gp,139 - 11538: 00000213 li tp,0 - 1153c: fff00093 li ra,-1 - 11540: 00000013 nop - 11544: 00000013 nop - 11548: 00000113 li sp,0 - 1154c: 5e20dee3 ble sp,ra,12348 - 11550: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11554: 00200293 li t0,2 - 11558: fe5212e3 bne tp,t0,1153c - -0001155c : - 1155c: 08c00193 li gp,140 - 11560: 00000213 li tp,0 - 11564: fff00093 li ra,-1 - 11568: 00000113 li sp,0 - 1156c: 5c20dee3 ble sp,ra,12348 - 11570: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11574: 00200293 li t0,2 - 11578: fe5216e3 bne tp,t0,11564 - -0001157c : - 1157c: 08d00193 li gp,141 - 11580: 00000213 li tp,0 - 11584: fff00093 li ra,-1 - 11588: 00000113 li sp,0 - 1158c: 00000013 nop - 11590: 5a20dce3 ble sp,ra,12348 - 11594: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11598: 00200293 li t0,2 - 1159c: fe5214e3 bne tp,t0,11584 - -000115a0 : - 115a0: 08e00193 li gp,142 - 115a4: 00000213 li tp,0 - 115a8: fff00093 li ra,-1 - 115ac: 00000113 li sp,0 - 115b0: 00000013 nop - 115b4: 00000013 nop - 115b8: 5820d8e3 ble sp,ra,12348 - 115bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 115c0: 00200293 li t0,2 - 115c4: fe5212e3 bne tp,t0,115a8 - -000115c8 : - 115c8: 08f00193 li gp,143 - 115cc: 00000213 li tp,0 - 115d0: fff00093 li ra,-1 - 115d4: 00000013 nop - 115d8: 00000113 li sp,0 - 115dc: 5620d6e3 ble sp,ra,12348 - 115e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 115e4: 00200293 li t0,2 - 115e8: fe5214e3 bne tp,t0,115d0 - -000115ec : - 115ec: 09000193 li gp,144 - 115f0: 00000213 li tp,0 - 115f4: fff00093 li ra,-1 - 115f8: 00000013 nop - 115fc: 00000113 li sp,0 - 11600: 00000013 nop - 11604: 5420d2e3 ble sp,ra,12348 - 11608: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1160c: 00200293 li t0,2 - 11610: fe5212e3 bne tp,t0,115f4 - -00011614 : - 11614: 09100193 li gp,145 - 11618: 00000213 li tp,0 - 1161c: fff00093 li ra,-1 - 11620: 00000013 nop - 11624: 00000013 nop - 11628: 00000113 li sp,0 - 1162c: 5020dee3 ble sp,ra,12348 - 11630: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11634: 00200293 li t0,2 - 11638: fe5212e3 bne tp,t0,1161c - -0001163c : - 1163c: 00100093 li ra,1 - 11640: 0000da63 bgez ra,11654 - 11644: 00108093 addi ra,ra,1 - 11648: 00108093 addi ra,ra,1 - 1164c: 00108093 addi ra,ra,1 - 11650: 00108093 addi ra,ra,1 - 11654: 00108093 addi ra,ra,1 - 11658: 00108093 addi ra,ra,1 - 1165c: 00300e93 li t4,3 - 11660: 09200193 li gp,146 - 11664: 4fd092e3 bne ra,t4,12348 - -00011668 : - 11668: 09300193 li gp,147 - 1166c: 00000093 li ra,0 - 11670: 00000113 li sp,0 - 11674: 0020f663 bleu sp,ra,11680 - 11678: 4c3018e3 bne zero,gp,12348 - 1167c: 00301663 bne zero,gp,11688 - 11680: fe20fee3 bleu sp,ra,1167c - 11684: 4c3012e3 bne zero,gp,12348 - -00011688 : - 11688: 09400193 li gp,148 - 1168c: 00100093 li ra,1 - 11690: 00100113 li sp,1 - 11694: 0020f663 bleu sp,ra,116a0 - 11698: 4a3018e3 bne zero,gp,12348 - 1169c: 00301663 bne zero,gp,116a8 - 116a0: fe20fee3 bleu sp,ra,1169c - 116a4: 4a3012e3 bne zero,gp,12348 - -000116a8 : - 116a8: 09500193 li gp,149 - 116ac: fff00093 li ra,-1 - 116b0: fff00113 li sp,-1 - 116b4: 0020f663 bleu sp,ra,116c0 - 116b8: 483018e3 bne zero,gp,12348 - 116bc: 00301663 bne zero,gp,116c8 - 116c0: fe20fee3 bleu sp,ra,116bc - 116c4: 483012e3 bne zero,gp,12348 - -000116c8 : - 116c8: 09600193 li gp,150 - 116cc: 00100093 li ra,1 - 116d0: 00000113 li sp,0 - 116d4: 0020f663 bleu sp,ra,116e0 - 116d8: 463018e3 bne zero,gp,12348 - 116dc: 00301663 bne zero,gp,116e8 - 116e0: fe20fee3 bleu sp,ra,116dc - 116e4: 463012e3 bne zero,gp,12348 - -000116e8 : - 116e8: 09700193 li gp,151 - 116ec: fff00093 li ra,-1 - 116f0: ffe00113 li sp,-2 - 116f4: 0020f663 bleu sp,ra,11700 - 116f8: 443018e3 bne zero,gp,12348 - 116fc: 00301663 bne zero,gp,11708 - 11700: fe20fee3 bleu sp,ra,116fc - 11704: 443012e3 bne zero,gp,12348 - -00011708 : - 11708: 09800193 li gp,152 - 1170c: fff00093 li ra,-1 - 11710: 00000113 li sp,0 - 11714: 0020f663 bleu sp,ra,11720 - 11718: 423018e3 bne zero,gp,12348 - 1171c: 00301663 bne zero,gp,11728 - 11720: fe20fee3 bleu sp,ra,1171c - 11724: 423012e3 bne zero,gp,12348 - -00011728 : - 11728: 09900193 li gp,153 - 1172c: 00000093 li ra,0 - 11730: 00100113 li sp,1 - 11734: 0020f463 bleu sp,ra,1173c - 11738: 00301463 bne zero,gp,11740 - 1173c: 403016e3 bne zero,gp,12348 - 11740: fe20fee3 bleu sp,ra,1173c - -00011744 : - 11744: 09a00193 li gp,154 - 11748: ffe00093 li ra,-2 - 1174c: fff00113 li sp,-1 - 11750: 0020f463 bleu sp,ra,11758 - 11754: 00301463 bne zero,gp,1175c - 11758: 3e3018e3 bne zero,gp,12348 - 1175c: fe20fee3 bleu sp,ra,11758 - -00011760 : - 11760: 09b00193 li gp,155 - 11764: 00000093 li ra,0 - 11768: fff00113 li sp,-1 - 1176c: 0020f463 bleu sp,ra,11774 - 11770: 00301463 bne zero,gp,11778 - 11774: 3c301ae3 bne zero,gp,12348 - 11778: fe20fee3 bleu sp,ra,11774 - -0001177c : - 1177c: 09c00193 li gp,156 - 11780: 800000b7 lui ra,0x80000 - 11784: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 11788: 80000137 lui sp,0x80000 - 1178c: 0020f463 bleu sp,ra,11794 - 11790: 00301463 bne zero,gp,11798 - 11794: 3a301ae3 bne zero,gp,12348 - 11798: fe20fee3 bleu sp,ra,11794 - -0001179c : - 1179c: 09d00193 li gp,157 - 117a0: 00000213 li tp,0 - 117a4: f00000b7 lui ra,0xf0000 - 117a8: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117ac: f0000137 lui sp,0xf0000 - 117b0: 3820fce3 bleu sp,ra,12348 - 117b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117b8: 00200293 li t0,2 - 117bc: fe5214e3 bne tp,t0,117a4 - -000117c0 : - 117c0: 09e00193 li gp,158 - 117c4: 00000213 li tp,0 - 117c8: f00000b7 lui ra,0xf0000 - 117cc: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117d0: f0000137 lui sp,0xf0000 - 117d4: 00000013 nop - 117d8: 3620f8e3 bleu sp,ra,12348 - 117dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117e0: 00200293 li t0,2 - 117e4: fe5212e3 bne tp,t0,117c8 - -000117e8 : - 117e8: 09f00193 li gp,159 - 117ec: 00000213 li tp,0 - 117f0: f00000b7 lui ra,0xf0000 - 117f4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 117f8: f0000137 lui sp,0xf0000 - 117fc: 00000013 nop - 11800: 00000013 nop - 11804: 3420f2e3 bleu sp,ra,12348 - 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1180c: 00200293 li t0,2 - 11810: fe5210e3 bne tp,t0,117f0 - -00011814 : - 11814: 0a000193 li gp,160 - 11818: 00000213 li tp,0 - 1181c: f00000b7 lui ra,0xf0000 - 11820: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11824: 00000013 nop - 11828: f0000137 lui sp,0xf0000 - 1182c: 3020fee3 bleu sp,ra,12348 - 11830: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11834: 00200293 li t0,2 - 11838: fe5212e3 bne tp,t0,1181c - -0001183c : - 1183c: 0a100193 li gp,161 - 11840: 00000213 li tp,0 - 11844: f00000b7 lui ra,0xf0000 - 11848: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 1184c: 00000013 nop - 11850: f0000137 lui sp,0xf0000 - 11854: 00000013 nop - 11858: 2e20f8e3 bleu sp,ra,12348 - 1185c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11860: 00200293 li t0,2 - 11864: fe5210e3 bne tp,t0,11844 - -00011868 : - 11868: 0a200193 li gp,162 - 1186c: 00000213 li tp,0 - 11870: f00000b7 lui ra,0xf0000 - 11874: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11878: 00000013 nop - 1187c: 00000013 nop - 11880: f0000137 lui sp,0xf0000 - 11884: 2c20f2e3 bleu sp,ra,12348 - 11888: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1188c: 00200293 li t0,2 - 11890: fe5210e3 bne tp,t0,11870 - -00011894 : - 11894: 0a300193 li gp,163 - 11898: 00000213 li tp,0 - 1189c: f00000b7 lui ra,0xf0000 - 118a0: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118a4: f0000137 lui sp,0xf0000 - 118a8: 2a20f0e3 bleu sp,ra,12348 - 118ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118b0: 00200293 li t0,2 - 118b4: fe5214e3 bne tp,t0,1189c - -000118b8 : - 118b8: 0a400193 li gp,164 - 118bc: 00000213 li tp,0 - 118c0: f00000b7 lui ra,0xf0000 - 118c4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118c8: f0000137 lui sp,0xf0000 - 118cc: 00000013 nop - 118d0: 2620fce3 bleu sp,ra,12348 - 118d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118d8: 00200293 li t0,2 - 118dc: fe5212e3 bne tp,t0,118c0 - -000118e0 : - 118e0: 0a500193 li gp,165 - 118e4: 00000213 li tp,0 - 118e8: f00000b7 lui ra,0xf0000 - 118ec: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 118f0: f0000137 lui sp,0xf0000 - 118f4: 00000013 nop - 118f8: 00000013 nop - 118fc: 2420f6e3 bleu sp,ra,12348 - 11900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11904: 00200293 li t0,2 - 11908: fe5210e3 bne tp,t0,118e8 - -0001190c : - 1190c: 0a600193 li gp,166 - 11910: 00000213 li tp,0 - 11914: f00000b7 lui ra,0xf0000 - 11918: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 1191c: 00000013 nop - 11920: f0000137 lui sp,0xf0000 - 11924: 2220f2e3 bleu sp,ra,12348 - 11928: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1192c: 00200293 li t0,2 - 11930: fe5212e3 bne tp,t0,11914 - -00011934 : - 11934: 0a700193 li gp,167 - 11938: 00000213 li tp,0 - 1193c: f00000b7 lui ra,0xf0000 - 11940: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11944: 00000013 nop - 11948: f0000137 lui sp,0xf0000 - 1194c: 00000013 nop - 11950: 1e20fce3 bleu sp,ra,12348 - 11954: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11958: 00200293 li t0,2 - 1195c: fe5210e3 bne tp,t0,1193c - -00011960 : - 11960: 0a800193 li gp,168 - 11964: 00000213 li tp,0 - 11968: f00000b7 lui ra,0xf0000 - 1196c: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> - 11970: 00000013 nop - 11974: 00000013 nop - 11978: f0000137 lui sp,0xf0000 - 1197c: 1c20f6e3 bleu sp,ra,12348 - 11980: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11984: 00200293 li t0,2 - 11988: fe5210e3 bne tp,t0,11968 - -0001198c : - 1198c: 00100093 li ra,1 - 11990: 0000fa63 bleu zero,ra,119a4 - 11994: 00108093 addi ra,ra,1 - 11998: 00108093 addi ra,ra,1 - 1199c: 00108093 addi ra,ra,1 - 119a0: 00108093 addi ra,ra,1 - 119a4: 00108093 addi ra,ra,1 - 119a8: 00108093 addi ra,ra,1 - 119ac: 00300e93 li t4,3 - 119b0: 0a900193 li gp,169 - 119b4: 19d09ae3 bne ra,t4,12348 - -000119b8 : - 119b8: 0aa00193 li gp,170 - 119bc: 00000093 li ra,0 - 119c0: 00100113 li sp,1 - 119c4: 0020c663 blt ra,sp,119d0 - 119c8: 183010e3 bne zero,gp,12348 - 119cc: 00301663 bne zero,gp,119d8 - 119d0: fe20cee3 blt ra,sp,119cc - 119d4: 16301ae3 bne zero,gp,12348 - -000119d8 : - 119d8: 0ab00193 li gp,171 - 119dc: fff00093 li ra,-1 - 119e0: 00100113 li sp,1 - 119e4: 0020c663 blt ra,sp,119f0 - 119e8: 163010e3 bne zero,gp,12348 - 119ec: 00301663 bne zero,gp,119f8 - 119f0: fe20cee3 blt ra,sp,119ec - 119f4: 14301ae3 bne zero,gp,12348 - -000119f8 : - 119f8: 0ac00193 li gp,172 - 119fc: ffe00093 li ra,-2 - 11a00: fff00113 li sp,-1 - 11a04: 0020c663 blt ra,sp,11a10 - 11a08: 143010e3 bne zero,gp,12348 - 11a0c: 00301663 bne zero,gp,11a18 - 11a10: fe20cee3 blt ra,sp,11a0c - 11a14: 12301ae3 bne zero,gp,12348 - -00011a18 : - 11a18: 0ad00193 li gp,173 - 11a1c: 00100093 li ra,1 - 11a20: 00000113 li sp,0 - 11a24: 0020c463 blt ra,sp,11a2c - 11a28: 00301463 bne zero,gp,11a30 - 11a2c: 10301ee3 bne zero,gp,12348 - 11a30: fe20cee3 blt ra,sp,11a2c - -00011a34 : - 11a34: 0ae00193 li gp,174 - 11a38: 00100093 li ra,1 - 11a3c: fff00113 li sp,-1 - 11a40: 0020c463 blt ra,sp,11a48 - 11a44: 00301463 bne zero,gp,11a4c - 11a48: 103010e3 bne zero,gp,12348 - 11a4c: fe20cee3 blt ra,sp,11a48 - -00011a50 : - 11a50: 0af00193 li gp,175 - 11a54: fff00093 li ra,-1 - 11a58: ffe00113 li sp,-2 - 11a5c: 0020c463 blt ra,sp,11a64 - 11a60: 00301463 bne zero,gp,11a68 - 11a64: 0e3012e3 bne zero,gp,12348 - 11a68: fe20cee3 blt ra,sp,11a64 - -00011a6c : - 11a6c: 0b000193 li gp,176 - 11a70: 00100093 li ra,1 - 11a74: ffe00113 li sp,-2 - 11a78: 0020c463 blt ra,sp,11a80 - 11a7c: 00301463 bne zero,gp,11a84 - 11a80: 0c3014e3 bne zero,gp,12348 - 11a84: fe20cee3 blt ra,sp,11a80 - -00011a88 : - 11a88: 0b100193 li gp,177 - 11a8c: 00000213 li tp,0 - 11a90: 00000093 li ra,0 - 11a94: fff00113 li sp,-1 - 11a98: 0a20c8e3 blt ra,sp,12348 - 11a9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11aa0: 00200293 li t0,2 - 11aa4: fe5216e3 bne tp,t0,11a90 - -00011aa8 : - 11aa8: 0b200193 li gp,178 - 11aac: 00000213 li tp,0 - 11ab0: 00000093 li ra,0 - 11ab4: fff00113 li sp,-1 - 11ab8: 00000013 nop - 11abc: 0820c6e3 blt ra,sp,12348 - 11ac0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ac4: 00200293 li t0,2 - 11ac8: fe5214e3 bne tp,t0,11ab0 - -00011acc : - 11acc: 0b300193 li gp,179 - 11ad0: 00000213 li tp,0 - 11ad4: 00000093 li ra,0 - 11ad8: fff00113 li sp,-1 - 11adc: 00000013 nop - 11ae0: 00000013 nop - 11ae4: 0620c2e3 blt ra,sp,12348 - 11ae8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11aec: 00200293 li t0,2 - 11af0: fe5212e3 bne tp,t0,11ad4 - -00011af4 : - 11af4: 0b400193 li gp,180 - 11af8: 00000213 li tp,0 - 11afc: 00000093 li ra,0 - 11b00: 00000013 nop - 11b04: fff00113 li sp,-1 - 11b08: 0420c0e3 blt ra,sp,12348 - 11b0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b10: 00200293 li t0,2 - 11b14: fe5214e3 bne tp,t0,11afc - -00011b18 : - 11b18: 0b500193 li gp,181 - 11b1c: 00000213 li tp,0 - 11b20: 00000093 li ra,0 - 11b24: 00000013 nop - 11b28: fff00113 li sp,-1 - 11b2c: 00000013 nop - 11b30: 0020cce3 blt ra,sp,12348 - 11b34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b38: 00200293 li t0,2 - 11b3c: fe5212e3 bne tp,t0,11b20 - -00011b40 : - 11b40: 0b600193 li gp,182 - 11b44: 00000213 li tp,0 - 11b48: 00000093 li ra,0 - 11b4c: 00000013 nop - 11b50: 00000013 nop - 11b54: fff00113 li sp,-1 - 11b58: 7e20c863 blt ra,sp,12348 - 11b5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b60: 00200293 li t0,2 - 11b64: fe5212e3 bne tp,t0,11b48 - -00011b68 : - 11b68: 0b700193 li gp,183 - 11b6c: 00000213 li tp,0 - 11b70: 00000093 li ra,0 - 11b74: fff00113 li sp,-1 - 11b78: 7c20c863 blt ra,sp,12348 - 11b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11b80: 00200293 li t0,2 - 11b84: fe5216e3 bne tp,t0,11b70 - -00011b88 : - 11b88: 0b800193 li gp,184 - 11b8c: 00000213 li tp,0 - 11b90: 00000093 li ra,0 - 11b94: fff00113 li sp,-1 - 11b98: 00000013 nop - 11b9c: 7a20c663 blt ra,sp,12348 - 11ba0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ba4: 00200293 li t0,2 - 11ba8: fe5214e3 bne tp,t0,11b90 - -00011bac : - 11bac: 0b900193 li gp,185 - 11bb0: 00000213 li tp,0 - 11bb4: 00000093 li ra,0 - 11bb8: fff00113 li sp,-1 - 11bbc: 00000013 nop - 11bc0: 00000013 nop - 11bc4: 7820c263 blt ra,sp,12348 - 11bc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bcc: 00200293 li t0,2 - 11bd0: fe5212e3 bne tp,t0,11bb4 - -00011bd4 : - 11bd4: 0ba00193 li gp,186 - 11bd8: 00000213 li tp,0 - 11bdc: 00000093 li ra,0 - 11be0: 00000013 nop - 11be4: fff00113 li sp,-1 - 11be8: 7620c063 blt ra,sp,12348 - 11bec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bf0: 00200293 li t0,2 - 11bf4: fe5214e3 bne tp,t0,11bdc - -00011bf8 : - 11bf8: 0bb00193 li gp,187 - 11bfc: 00000213 li tp,0 - 11c00: 00000093 li ra,0 - 11c04: 00000013 nop - 11c08: fff00113 li sp,-1 - 11c0c: 00000013 nop - 11c10: 7220cc63 blt ra,sp,12348 - 11c14: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c18: 00200293 li t0,2 - 11c1c: fe5212e3 bne tp,t0,11c00 - -00011c20 : - 11c20: 0bc00193 li gp,188 - 11c24: 00000213 li tp,0 - 11c28: 00000093 li ra,0 - 11c2c: 00000013 nop - 11c30: 00000013 nop - 11c34: fff00113 li sp,-1 - 11c38: 7020c863 blt ra,sp,12348 - 11c3c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c40: 00200293 li t0,2 - 11c44: fe5212e3 bne tp,t0,11c28 - -00011c48 : - 11c48: 00100093 li ra,1 - 11c4c: 00104a63 bgtz ra,11c60 - 11c50: 00108093 addi ra,ra,1 - 11c54: 00108093 addi ra,ra,1 - 11c58: 00108093 addi ra,ra,1 - 11c5c: 00108093 addi ra,ra,1 - 11c60: 00108093 addi ra,ra,1 - 11c64: 00108093 addi ra,ra,1 - 11c68: 00300e93 li t4,3 - 11c6c: 0bd00193 li gp,189 - 11c70: 6dd09c63 bne ra,t4,12348 - -00011c74 : - 11c74: 0be00193 li gp,190 - 11c78: 00000093 li ra,0 - 11c7c: 00100113 li sp,1 - 11c80: 0020e663 bltu ra,sp,11c8c - 11c84: 6c301263 bne zero,gp,12348 - 11c88: 00301663 bne zero,gp,11c94 - 11c8c: fe20eee3 bltu ra,sp,11c88 - 11c90: 6a301c63 bne zero,gp,12348 - -00011c94 : - 11c94: 0bf00193 li gp,191 - 11c98: ffe00093 li ra,-2 - 11c9c: fff00113 li sp,-1 - 11ca0: 0020e663 bltu ra,sp,11cac - 11ca4: 6a301263 bne zero,gp,12348 - 11ca8: 00301663 bne zero,gp,11cb4 - 11cac: fe20eee3 bltu ra,sp,11ca8 - 11cb0: 68301c63 bne zero,gp,12348 - -00011cb4 : - 11cb4: 0c000193 li gp,192 - 11cb8: 00000093 li ra,0 - 11cbc: fff00113 li sp,-1 - 11cc0: 0020e663 bltu ra,sp,11ccc - 11cc4: 68301263 bne zero,gp,12348 - 11cc8: 00301663 bne zero,gp,11cd4 - 11ccc: fe20eee3 bltu ra,sp,11cc8 - 11cd0: 66301c63 bne zero,gp,12348 - -00011cd4 : - 11cd4: 0c100193 li gp,193 - 11cd8: 00100093 li ra,1 - 11cdc: 00000113 li sp,0 - 11ce0: 0020e463 bltu ra,sp,11ce8 - 11ce4: 00301463 bne zero,gp,11cec - 11ce8: 66301063 bne zero,gp,12348 - 11cec: fe20eee3 bltu ra,sp,11ce8 - -00011cf0 : - 11cf0: 0c200193 li gp,194 - 11cf4: fff00093 li ra,-1 - 11cf8: ffe00113 li sp,-2 - 11cfc: 0020e463 bltu ra,sp,11d04 - 11d00: 00301463 bne zero,gp,11d08 - 11d04: 64301263 bne zero,gp,12348 - 11d08: fe20eee3 bltu ra,sp,11d04 - -00011d0c : - 11d0c: 0c300193 li gp,195 - 11d10: fff00093 li ra,-1 - 11d14: 00000113 li sp,0 - 11d18: 0020e463 bltu ra,sp,11d20 - 11d1c: 00301463 bne zero,gp,11d24 - 11d20: 62301463 bne zero,gp,12348 - 11d24: fe20eee3 bltu ra,sp,11d20 - -00011d28 : - 11d28: 0c400193 li gp,196 - 11d2c: 800000b7 lui ra,0x80000 - 11d30: 80000137 lui sp,0x80000 - 11d34: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> - 11d38: 0020e463 bltu ra,sp,11d40 - 11d3c: 00301463 bne zero,gp,11d44 - 11d40: 60301463 bne zero,gp,12348 - 11d44: fe20eee3 bltu ra,sp,11d40 - -00011d48 : - 11d48: 0c500193 li gp,197 - 11d4c: 00000213 li tp,0 - 11d50: f00000b7 lui ra,0xf0000 - 11d54: f0000137 lui sp,0xf0000 - 11d58: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11d5c: 5e20e663 bltu ra,sp,12348 - 11d60: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d64: 00200293 li t0,2 - 11d68: fe5214e3 bne tp,t0,11d50 - -00011d6c : - 11d6c: 0c600193 li gp,198 - 11d70: 00000213 li tp,0 - 11d74: f00000b7 lui ra,0xf0000 - 11d78: f0000137 lui sp,0xf0000 - 11d7c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11d80: 00000013 nop - 11d84: 5c20e263 bltu ra,sp,12348 - 11d88: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d8c: 00200293 li t0,2 - 11d90: fe5212e3 bne tp,t0,11d74 - -00011d94 : - 11d94: 0c700193 li gp,199 - 11d98: 00000213 li tp,0 - 11d9c: f00000b7 lui ra,0xf0000 - 11da0: f0000137 lui sp,0xf0000 - 11da4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11da8: 00000013 nop - 11dac: 00000013 nop - 11db0: 5820ec63 bltu ra,sp,12348 - 11db4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11db8: 00200293 li t0,2 - 11dbc: fe5210e3 bne tp,t0,11d9c - -00011dc0 : - 11dc0: 0c800193 li gp,200 - 11dc4: 00000213 li tp,0 - 11dc8: f00000b7 lui ra,0xf0000 - 11dcc: 00000013 nop - 11dd0: f0000137 lui sp,0xf0000 - 11dd4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11dd8: 5620e863 bltu ra,sp,12348 - 11ddc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11de0: 00200293 li t0,2 - 11de4: fe5212e3 bne tp,t0,11dc8 - -00011de8 : - 11de8: 0c900193 li gp,201 - 11dec: 00000213 li tp,0 - 11df0: f00000b7 lui ra,0xf0000 - 11df4: 00000013 nop - 11df8: f0000137 lui sp,0xf0000 - 11dfc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e00: 00000013 nop - 11e04: 5420e263 bltu ra,sp,12348 - 11e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e0c: 00200293 li t0,2 - 11e10: fe5210e3 bne tp,t0,11df0 - -00011e14 : - 11e14: 0ca00193 li gp,202 - 11e18: 00000213 li tp,0 - 11e1c: f00000b7 lui ra,0xf0000 - 11e20: 00000013 nop - 11e24: 00000013 nop - 11e28: f0000137 lui sp,0xf0000 - 11e2c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e30: 5020ec63 bltu ra,sp,12348 - 11e34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e38: 00200293 li t0,2 - 11e3c: fe5210e3 bne tp,t0,11e1c - -00011e40 : - 11e40: 0cb00193 li gp,203 - 11e44: 00000213 li tp,0 - 11e48: f00000b7 lui ra,0xf0000 - 11e4c: f0000137 lui sp,0xf0000 - 11e50: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e54: 4e20ea63 bltu ra,sp,12348 - 11e58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e5c: 00200293 li t0,2 - 11e60: fe5214e3 bne tp,t0,11e48 - -00011e64 : - 11e64: 0cc00193 li gp,204 - 11e68: 00000213 li tp,0 - 11e6c: f00000b7 lui ra,0xf0000 - 11e70: f0000137 lui sp,0xf0000 - 11e74: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11e78: 00000013 nop - 11e7c: 4c20e663 bltu ra,sp,12348 - 11e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e84: 00200293 li t0,2 - 11e88: fe5212e3 bne tp,t0,11e6c - -00011e8c : - 11e8c: 0cd00193 li gp,205 - 11e90: 00000213 li tp,0 - 11e94: f00000b7 lui ra,0xf0000 - 11e98: f0000137 lui sp,0xf0000 - 11e9c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ea0: 00000013 nop - 11ea4: 00000013 nop - 11ea8: 4a20e063 bltu ra,sp,12348 - 11eac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11eb0: 00200293 li t0,2 - 11eb4: fe5210e3 bne tp,t0,11e94 - -00011eb8 : - 11eb8: 0ce00193 li gp,206 - 11ebc: 00000213 li tp,0 - 11ec0: f00000b7 lui ra,0xf0000 - 11ec4: 00000013 nop - 11ec8: f0000137 lui sp,0xf0000 - 11ecc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ed0: 4620ec63 bltu ra,sp,12348 - 11ed4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ed8: 00200293 li t0,2 - 11edc: fe5212e3 bne tp,t0,11ec0 - -00011ee0 : - 11ee0: 0cf00193 li gp,207 - 11ee4: 00000213 li tp,0 - 11ee8: f00000b7 lui ra,0xf0000 - 11eec: 00000013 nop - 11ef0: f0000137 lui sp,0xf0000 - 11ef4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11ef8: 00000013 nop - 11efc: 4420e663 bltu ra,sp,12348 - 11f00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11f04: 00200293 li t0,2 - 11f08: fe5210e3 bne tp,t0,11ee8 - -00011f0c : - 11f0c: 0d000193 li gp,208 - 11f10: 00000213 li tp,0 - 11f14: f00000b7 lui ra,0xf0000 - 11f18: 00000013 nop - 11f1c: 00000013 nop - 11f20: f0000137 lui sp,0xf0000 - 11f24: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> - 11f28: 4220e063 bltu ra,sp,12348 - 11f2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11f30: 00200293 li t0,2 - 11f34: fe5210e3 bne tp,t0,11f14 - -00011f38 : - 11f38: 00100093 li ra,1 - 11f3c: 00106a63 bltu zero,ra,11f50 - 11f40: 00108093 addi ra,ra,1 # f0000001 <__global_pointer$+0xeffec379> - 11f44: 00108093 addi ra,ra,1 - 11f48: 00108093 addi ra,ra,1 - 11f4c: 00108093 addi ra,ra,1 - 11f50: 00108093 addi ra,ra,1 - 11f54: 00108093 addi ra,ra,1 - 11f58: 00300e93 li t4,3 - 11f5c: 0d100193 li gp,209 - 11f60: 3fd09463 bne ra,t4,12348 - -00011f64 : - 11f64: 0d200193 li gp,210 - 11f68: 00000093 li ra,0 - 11f6c: 00100113 li sp,1 - 11f70: 00209663 bne ra,sp,11f7c - 11f74: 3c301a63 bne zero,gp,12348 - 11f78: 00301663 bne zero,gp,11f84 - 11f7c: fe209ee3 bne ra,sp,11f78 - 11f80: 3c301463 bne zero,gp,12348 - -00011f84 : - 11f84: 0d300193 li gp,211 - 11f88: 00100093 li ra,1 - 11f8c: 00000113 li sp,0 - 11f90: 00209663 bne ra,sp,11f9c - 11f94: 3a301a63 bne zero,gp,12348 - 11f98: 00301663 bne zero,gp,11fa4 - 11f9c: fe209ee3 bne ra,sp,11f98 - 11fa0: 3a301463 bne zero,gp,12348 - -00011fa4 : - 11fa4: 0d400193 li gp,212 - 11fa8: fff00093 li ra,-1 - 11fac: 00100113 li sp,1 - 11fb0: 00209663 bne ra,sp,11fbc - 11fb4: 38301a63 bne zero,gp,12348 - 11fb8: 00301663 bne zero,gp,11fc4 - 11fbc: fe209ee3 bne ra,sp,11fb8 - 11fc0: 38301463 bne zero,gp,12348 - -00011fc4 : - 11fc4: 0d500193 li gp,213 - 11fc8: 00100093 li ra,1 - 11fcc: fff00113 li sp,-1 - 11fd0: 00209663 bne ra,sp,11fdc - 11fd4: 36301a63 bne zero,gp,12348 - 11fd8: 00301663 bne zero,gp,11fe4 - 11fdc: fe209ee3 bne ra,sp,11fd8 - 11fe0: 36301463 bne zero,gp,12348 - -00011fe4 : - 11fe4: 0d600193 li gp,214 - 11fe8: 00000093 li ra,0 - 11fec: 00000113 li sp,0 - 11ff0: 00209463 bne ra,sp,11ff8 - 11ff4: 00301463 bne zero,gp,11ffc - 11ff8: 34301863 bne zero,gp,12348 - 11ffc: fe209ee3 bne ra,sp,11ff8 - -00012000 : - 12000: 0d700193 li gp,215 - 12004: 00100093 li ra,1 - 12008: 00100113 li sp,1 - 1200c: 00209463 bne ra,sp,12014 - 12010: 00301463 bne zero,gp,12018 - 12014: 32301a63 bne zero,gp,12348 - 12018: fe209ee3 bne ra,sp,12014 - -0001201c : - 1201c: 0d800193 li gp,216 - 12020: fff00093 li ra,-1 - 12024: fff00113 li sp,-1 - 12028: 00209463 bne ra,sp,12030 - 1202c: 00301463 bne zero,gp,12034 - 12030: 30301c63 bne zero,gp,12348 - 12034: fe209ee3 bne ra,sp,12030 - -00012038 : - 12038: 0d900193 li gp,217 - 1203c: 00000213 li tp,0 - 12040: 00000093 li ra,0 - 12044: 00000113 li sp,0 - 12048: 30209063 bne ra,sp,12348 - 1204c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12050: 00200293 li t0,2 - 12054: fe5216e3 bne tp,t0,12040 - -00012058 : - 12058: 0da00193 li gp,218 - 1205c: 00000213 li tp,0 - 12060: 00000093 li ra,0 - 12064: 00000113 li sp,0 - 12068: 00000013 nop - 1206c: 2c209e63 bne ra,sp,12348 - 12070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12074: 00200293 li t0,2 - 12078: fe5214e3 bne tp,t0,12060 - -0001207c : - 1207c: 0db00193 li gp,219 - 12080: 00000213 li tp,0 - 12084: 00000093 li ra,0 - 12088: 00000113 li sp,0 - 1208c: 00000013 nop - 12090: 00000013 nop - 12094: 2a209a63 bne ra,sp,12348 - 12098: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1209c: 00200293 li t0,2 - 120a0: fe5212e3 bne tp,t0,12084 - -000120a4 : - 120a4: 0dc00193 li gp,220 - 120a8: 00000213 li tp,0 - 120ac: 00000093 li ra,0 - 120b0: 00000013 nop - 120b4: 00000113 li sp,0 - 120b8: 28209863 bne ra,sp,12348 - 120bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c0: 00200293 li t0,2 - 120c4: fe5214e3 bne tp,t0,120ac - -000120c8 : - 120c8: 0dd00193 li gp,221 - 120cc: 00000213 li tp,0 - 120d0: 00000093 li ra,0 - 120d4: 00000013 nop - 120d8: 00000113 li sp,0 - 120dc: 00000013 nop - 120e0: 26209463 bne ra,sp,12348 - 120e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120e8: 00200293 li t0,2 - 120ec: fe5212e3 bne tp,t0,120d0 - -000120f0 : - 120f0: 0de00193 li gp,222 - 120f4: 00000213 li tp,0 - 120f8: 00000093 li ra,0 - 120fc: 00000013 nop - 12100: 00000013 nop - 12104: 00000113 li sp,0 - 12108: 24209063 bne ra,sp,12348 - 1210c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12110: 00200293 li t0,2 - 12114: fe5212e3 bne tp,t0,120f8 - -00012118 : - 12118: 0df00193 li gp,223 - 1211c: 00000213 li tp,0 - 12120: 00000093 li ra,0 - 12124: 00000113 li sp,0 - 12128: 22209063 bne ra,sp,12348 - 1212c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12130: 00200293 li t0,2 - 12134: fe5216e3 bne tp,t0,12120 - -00012138 : - 12138: 0e000193 li gp,224 - 1213c: 00000213 li tp,0 - 12140: 00000093 li ra,0 - 12144: 00000113 li sp,0 - 12148: 00000013 nop - 1214c: 1e209e63 bne ra,sp,12348 - 12150: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12154: 00200293 li t0,2 - 12158: fe5214e3 bne tp,t0,12140 - -0001215c : - 1215c: 0e100193 li gp,225 - 12160: 00000213 li tp,0 - 12164: 00000093 li ra,0 - 12168: 00000113 li sp,0 - 1216c: 00000013 nop - 12170: 00000013 nop - 12174: 1c209a63 bne ra,sp,12348 - 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1217c: 00200293 li t0,2 - 12180: fe5212e3 bne tp,t0,12164 - -00012184 : - 12184: 0e200193 li gp,226 - 12188: 00000213 li tp,0 - 1218c: 00000093 li ra,0 - 12190: 00000013 nop - 12194: 00000113 li sp,0 - 12198: 1a209863 bne ra,sp,12348 - 1219c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121a0: 00200293 li t0,2 - 121a4: fe5214e3 bne tp,t0,1218c - -000121a8 : - 121a8: 0e300193 li gp,227 - 121ac: 00000213 li tp,0 - 121b0: 00000093 li ra,0 - 121b4: 00000013 nop - 121b8: 00000113 li sp,0 - 121bc: 00000013 nop - 121c0: 18209463 bne ra,sp,12348 - 121c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121c8: 00200293 li t0,2 - 121cc: fe5212e3 bne tp,t0,121b0 - -000121d0 : - 121d0: 0e400193 li gp,228 - 121d4: 00000213 li tp,0 - 121d8: 00000093 li ra,0 - 121dc: 00000013 nop - 121e0: 00000013 nop - 121e4: 00000113 li sp,0 - 121e8: 16209063 bne ra,sp,12348 - 121ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121f0: 00200293 li t0,2 - 121f4: fe5212e3 bne tp,t0,121d8 - -000121f8 : - 121f8: 00100093 li ra,1 - 121fc: 00009a63 bnez ra,12210 - 12200: 00108093 addi ra,ra,1 - 12204: 00108093 addi ra,ra,1 - 12208: 00108093 addi ra,ra,1 - 1220c: 00108093 addi ra,ra,1 - 12210: 00108093 addi ra,ra,1 - 12214: 00108093 addi ra,ra,1 - 12218: 00300e93 li t4,3 - 1221c: 0e500193 li gp,229 - 12220: 13d09463 bne ra,t4,12348 - -00012224 : - 12224: 00200193 li gp,2 - 12228: 00000093 li ra,0 - 1222c: 0100026f jal tp,1223c - -00012230 : - 12230: 00000013 nop - 12234: 00000013 nop - 12238: 1100006f j 12348 - -0001223c : - 1223c: 00000317 auipc t1,0x0 - 12240: ff430313 addi t1,t1,-12 # 12230 - 12244: 10431263 bne t1,tp,12348 - -00012248 : - 12248: 00100093 li ra,1 - 1224c: 0140006f j 12260 - 12250: 00108093 addi ra,ra,1 - 12254: 00108093 addi ra,ra,1 - 12258: 00108093 addi ra,ra,1 - 1225c: 00108093 addi ra,ra,1 - 12260: 00108093 addi ra,ra,1 - 12264: 00108093 addi ra,ra,1 - 12268: 00300e93 li t4,3 - 1226c: 0e800193 li gp,232 - 12270: 0dd09c63 bne ra,t4,12348 - -00012274 : - 12274: 00200193 li gp,2 - 12278: 00000293 li t0,0 - 1227c: 00000317 auipc t1,0x0 - 12280: 01030313 addi t1,t1,16 # 1228c - 12284: 000302e7 jalr t0,t1 - -00012288 : - 12288: 0c00006f j 12348 - -0001228c : - 1228c: 00000317 auipc t1,0x0 - 12290: ffc30313 addi t1,t1,-4 # 12288 - 12294: 0a629a63 bne t0,t1,12348 - -00012298 : - 12298: 0e900193 li gp,233 - 1229c: 00000213 li tp,0 - 122a0: 00000317 auipc t1,0x0 - 122a4: 01030313 addi t1,t1,16 # 122b0 - 122a8: 000309e7 jalr s3,t1 - 122ac: 08301e63 bne zero,gp,12348 - 122b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122b4: 00200293 li t0,2 - 122b8: fe5214e3 bne tp,t0,122a0 - -000122bc : - 122bc: 0ea00193 li gp,234 - 122c0: 00000213 li tp,0 - 122c4: 00000317 auipc t1,0x0 - 122c8: 01430313 addi t1,t1,20 # 122d8 - 122cc: 00000013 nop - 122d0: 000309e7 jalr s3,t1 - 122d4: 06301a63 bne zero,gp,12348 - 122d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122dc: 00200293 li t0,2 - 122e0: fe5212e3 bne tp,t0,122c4 - -000122e4 : - 122e4: 0eb00193 li gp,235 - 122e8: 00000213 li tp,0 - 122ec: 00000317 auipc t1,0x0 - 122f0: 01830313 addi t1,t1,24 # 12304 - 122f4: 00000013 nop - 122f8: 00000013 nop - 122fc: 000309e7 jalr s3,t1 - 12300: 04301463 bne zero,gp,12348 - 12304: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12308: 00200293 li t0,2 - 1230c: fe5210e3 bne tp,t0,122ec - -00012310 : - 12310: 00100293 li t0,1 - 12314: 00000317 auipc t1,0x0 - 12318: 01c30313 addi t1,t1,28 # 12330 - 1231c: ffc30067 jr -4(t1) - 12320: 00128293 addi t0,t0,1 - 12324: 00128293 addi t0,t0,1 - 12328: 00128293 addi t0,t0,1 - 1232c: 00128293 addi t0,t0,1 - 12330: 00128293 addi t0,t0,1 - 12334: 00128293 addi t0,t0,1 - 12338: 00400e93 li t4,4 - 1233c: 0ec00193 li gp,236 - 12340: 01d29463 bne t0,t4,12348 - 12344: 00301463 bne zero,gp,1234c - -00012348 : - 12348: 00000a6f jal s4,12348 - -0001234c : - 1234c: 00100193 li gp,1 - -00012350 : - 12350: 00000a6f jal s4,12350 - 12354: c0001073 unimp - ... +00010084 : + 10084: 00000093 li ra,0 + 10088: 00000113 li sp,0 + 1008c: 00208f33 add t5,ra,sp + 10090: 00000e93 li t4,0 + 10094: 00200193 li gp,2 + 10098: 01df0463 beq t5,t4,100a0 + 1009c: 2ac0206f j 12348 + +000100a0 : + 100a0: 00100093 li ra,1 + 100a4: 00100113 li sp,1 + 100a8: 00208f33 add t5,ra,sp + 100ac: 00200e93 li t4,2 + 100b0: 00300193 li gp,3 + 100b4: 01df0463 beq t5,t4,100bc + 100b8: 2900206f j 12348 + +000100bc : + 100bc: 00300093 li ra,3 + 100c0: 00700113 li sp,7 + 100c4: 00208f33 add t5,ra,sp + 100c8: 00a00e93 li t4,10 + 100cc: 00400193 li gp,4 + 100d0: 01df0463 beq t5,t4,100d8 + 100d4: 2740206f j 12348 + +000100d8 : + 100d8: 00000093 li ra,0 + 100dc: ffff8137 lui sp,0xffff8 + 100e0: 00208f33 add t5,ra,sp + 100e4: ffff8eb7 lui t4,0xffff8 + 100e8: 00500193 li gp,5 + 100ec: 01df0463 beq t5,t4,100f4 + 100f0: 2580206f j 12348 + +000100f4 : + 100f4: 800000b7 lui ra,0x80000 + 100f8: 00000113 li sp,0 + 100fc: 00208f33 add t5,ra,sp + 10100: 80000eb7 lui t4,0x80000 + 10104: 00600193 li gp,6 + 10108: 01df0463 beq t5,t4,10110 + 1010c: 23c0206f j 12348 + +00010110 : + 10110: 800000b7 lui ra,0x80000 + 10114: ffff8137 lui sp,0xffff8 + 10118: 00208f33 add t5,ra,sp + 1011c: 7fff8eb7 lui t4,0x7fff8 + 10120: 00700193 li gp,7 + 10124: 01df0463 beq t5,t4,1012c + 10128: 2200206f j 12348 + +0001012c : + 1012c: 00000093 li ra,0 + 10130: 00008137 lui sp,0x8 + 10134: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10138: 00208f33 add t5,ra,sp + 1013c: 00008eb7 lui t4,0x8 + 10140: fffe8e93 addi t4,t4,-1 # 7fff <_start-0x8081> + 10144: 00800193 li gp,8 + 10148: 01df0463 beq t5,t4,10150 + 1014c: 1fc0206f j 12348 + +00010150 : + 10150: 800000b7 lui ra,0x80000 + 10154: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10158: 00000113 li sp,0 + 1015c: 00208f33 add t5,ra,sp + 10160: 80000eb7 lui t4,0x80000 + 10164: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10168: 00900193 li gp,9 + 1016c: 01df0463 beq t5,t4,10174 + 10170: 1d80206f j 12348 + +00010174 : + 10174: 800000b7 lui ra,0x80000 + 10178: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 1017c: 00008137 lui sp,0x8 + 10180: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10184: 00208f33 add t5,ra,sp + 10188: 80008eb7 lui t4,0x80008 + 1018c: ffee8e93 addi t4,t4,-2 # 80007ffe <__global_pointer$+0x7fff4376> + 10190: 00a00193 li gp,10 + 10194: 01df0463 beq t5,t4,1019c + 10198: 1b00206f j 12348 + +0001019c : + 1019c: 800000b7 lui ra,0x80000 + 101a0: 00008137 lui sp,0x8 + 101a4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 101a8: 00208f33 add t5,ra,sp + 101ac: 80008eb7 lui t4,0x80008 + 101b0: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff4377> + 101b4: 00b00193 li gp,11 + 101b8: 01df0463 beq t5,t4,101c0 + 101bc: 18c0206f j 12348 + +000101c0 : + 101c0: 800000b7 lui ra,0x80000 + 101c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 101c8: ffff8137 lui sp,0xffff8 + 101cc: 00208f33 add t5,ra,sp + 101d0: 7fff8eb7 lui t4,0x7fff8 + 101d4: fffe8e93 addi t4,t4,-1 # 7fff7fff <__global_pointer$+0x7ffe4377> + 101d8: 00c00193 li gp,12 + 101dc: 01df0463 beq t5,t4,101e4 + 101e0: 1680206f j 12348 + +000101e4 : + 101e4: 00000093 li ra,0 + 101e8: fff00113 li sp,-1 + 101ec: 00208f33 add t5,ra,sp + 101f0: fff00e93 li t4,-1 + 101f4: 00d00193 li gp,13 + 101f8: 01df0463 beq t5,t4,10200 + 101fc: 14c0206f j 12348 + +00010200 : + 10200: fff00093 li ra,-1 + 10204: 00100113 li sp,1 + 10208: 00208f33 add t5,ra,sp + 1020c: 00000e93 li t4,0 + 10210: 00e00193 li gp,14 + 10214: 01df0463 beq t5,t4,1021c + 10218: 1300206f j 12348 + +0001021c : + 1021c: fff00093 li ra,-1 + 10220: fff00113 li sp,-1 + 10224: 00208f33 add t5,ra,sp + 10228: ffe00e93 li t4,-2 + 1022c: 00f00193 li gp,15 + 10230: 01df0463 beq t5,t4,10238 + 10234: 1140206f j 12348 + +00010238 : + 10238: 00100093 li ra,1 + 1023c: 80000137 lui sp,0x80000 + 10240: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10244: 00208f33 add t5,ra,sp + 10248: 80000eb7 lui t4,0x80000 + 1024c: 01000193 li gp,16 + 10250: 01df0463 beq t5,t4,10258 + 10254: 0f40206f j 12348 + +00010258 : + 10258: 00d00093 li ra,13 + 1025c: 00b00113 li sp,11 + 10260: 002080b3 add ra,ra,sp + 10264: 01800e93 li t4,24 + 10268: 01100193 li gp,17 + 1026c: 01d08463 beq ra,t4,10274 + 10270: 0d80206f j 12348 + +00010274 : + 10274: 00e00093 li ra,14 + 10278: 00b00113 li sp,11 + 1027c: 00208133 add sp,ra,sp + 10280: 01900e93 li t4,25 + 10284: 01200193 li gp,18 + 10288: 01d10463 beq sp,t4,10290 + 1028c: 0bc0206f j 12348 + +00010290 : + 10290: 00d00093 li ra,13 + 10294: 001080b3 add ra,ra,ra + 10298: 01a00e93 li t4,26 + 1029c: 01300193 li gp,19 + 102a0: 01d08463 beq ra,t4,102a8 + 102a4: 0a40206f j 12348 + +000102a8 : + 102a8: 00000213 li tp,0 + 102ac: 00d00093 li ra,13 + 102b0: 00b00113 li sp,11 + 102b4: 00208f33 add t5,ra,sp + 102b8: 000f0313 mv t1,t5 + 102bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102c0: 00200293 li t0,2 + 102c4: fe5214e3 bne tp,t0,102ac + 102c8: 01800e93 li t4,24 + 102cc: 01400193 li gp,20 + 102d0: 01d30463 beq t1,t4,102d8 + 102d4: 0740206f j 12348 + +000102d8 : + 102d8: 00000213 li tp,0 + 102dc: 00e00093 li ra,14 + 102e0: 00b00113 li sp,11 + 102e4: 00208f33 add t5,ra,sp + 102e8: 00000013 nop + 102ec: 000f0313 mv t1,t5 + 102f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102f4: 00200293 li t0,2 + 102f8: fe5212e3 bne tp,t0,102dc + 102fc: 01900e93 li t4,25 + 10300: 01500193 li gp,21 + 10304: 01d30463 beq t1,t4,1030c + 10308: 0400206f j 12348 + +0001030c : + 1030c: 00000213 li tp,0 + 10310: 00f00093 li ra,15 + 10314: 00b00113 li sp,11 + 10318: 00208f33 add t5,ra,sp + 1031c: 00000013 nop + 10320: 00000013 nop + 10324: 000f0313 mv t1,t5 + 10328: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1032c: 00200293 li t0,2 + 10330: fe5210e3 bne tp,t0,10310 + 10334: 01a00e93 li t4,26 + 10338: 01600193 li gp,22 + 1033c: 01d30463 beq t1,t4,10344 + 10340: 0080206f j 12348 + +00010344 : + 10344: 00000213 li tp,0 + 10348: 00d00093 li ra,13 + 1034c: 00b00113 li sp,11 + 10350: 00208f33 add t5,ra,sp + 10354: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10358: 00200293 li t0,2 + 1035c: fe5216e3 bne tp,t0,10348 + 10360: 01800e93 li t4,24 + 10364: 01700193 li gp,23 + 10368: 01df0463 beq t5,t4,10370 + 1036c: 7dd0106f j 12348 + +00010370 : + 10370: 00000213 li tp,0 + 10374: 00e00093 li ra,14 + 10378: 00b00113 li sp,11 + 1037c: 00000013 nop + 10380: 00208f33 add t5,ra,sp + 10384: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10388: 00200293 li t0,2 + 1038c: fe5214e3 bne tp,t0,10374 + 10390: 01900e93 li t4,25 + 10394: 01800193 li gp,24 + 10398: 01df0463 beq t5,t4,103a0 + 1039c: 7ad0106f j 12348 + +000103a0 : + 103a0: 00000213 li tp,0 + 103a4: 00f00093 li ra,15 + 103a8: 00b00113 li sp,11 + 103ac: 00000013 nop + 103b0: 00000013 nop + 103b4: 00208f33 add t5,ra,sp + 103b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 103bc: 00200293 li t0,2 + 103c0: fe5212e3 bne tp,t0,103a4 + 103c4: 01a00e93 li t4,26 + 103c8: 01900193 li gp,25 + 103cc: 01df0463 beq t5,t4,103d4 + 103d0: 7790106f j 12348 + +000103d4 : + 103d4: 00000213 li tp,0 + 103d8: 00d00093 li ra,13 + 103dc: 00000013 nop + 103e0: 00b00113 li sp,11 + 103e4: 00208f33 add t5,ra,sp + 103e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 103ec: 00200293 li t0,2 + 103f0: fe5214e3 bne tp,t0,103d8 + 103f4: 01800e93 li t4,24 + 103f8: 01a00193 li gp,26 + 103fc: 01df0463 beq t5,t4,10404 + 10400: 7490106f j 12348 + +00010404 : + 10404: 00000213 li tp,0 + 10408: 00e00093 li ra,14 + 1040c: 00000013 nop + 10410: 00b00113 li sp,11 + 10414: 00000013 nop + 10418: 00208f33 add t5,ra,sp + 1041c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10420: 00200293 li t0,2 + 10424: fe5212e3 bne tp,t0,10408 + 10428: 01900e93 li t4,25 + 1042c: 01b00193 li gp,27 + 10430: 01df0463 beq t5,t4,10438 + 10434: 7150106f j 12348 + +00010438 : + 10438: 00000213 li tp,0 + 1043c: 00f00093 li ra,15 + 10440: 00000013 nop + 10444: 00000013 nop + 10448: 00b00113 li sp,11 + 1044c: 00208f33 add t5,ra,sp + 10450: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10454: 00200293 li t0,2 + 10458: fe5212e3 bne tp,t0,1043c + 1045c: 01a00e93 li t4,26 + 10460: 01c00193 li gp,28 + 10464: 01df0463 beq t5,t4,1046c + 10468: 6e10106f j 12348 + +0001046c : + 1046c: 00000213 li tp,0 + 10470: 00b00113 li sp,11 + 10474: 00d00093 li ra,13 + 10478: 00208f33 add t5,ra,sp + 1047c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10480: 00200293 li t0,2 + 10484: fe5216e3 bne tp,t0,10470 + 10488: 01800e93 li t4,24 + 1048c: 01d00193 li gp,29 + 10490: 01df0463 beq t5,t4,10498 + 10494: 6b50106f j 12348 + +00010498 : + 10498: 00000213 li tp,0 + 1049c: 00b00113 li sp,11 + 104a0: 00e00093 li ra,14 + 104a4: 00000013 nop + 104a8: 00208f33 add t5,ra,sp + 104ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104b0: 00200293 li t0,2 + 104b4: fe5214e3 bne tp,t0,1049c + 104b8: 01900e93 li t4,25 + 104bc: 01e00193 li gp,30 + 104c0: 01df0463 beq t5,t4,104c8 + 104c4: 6850106f j 12348 + +000104c8 : + 104c8: 00000213 li tp,0 + 104cc: 00b00113 li sp,11 + 104d0: 00f00093 li ra,15 + 104d4: 00000013 nop + 104d8: 00000013 nop + 104dc: 00208f33 add t5,ra,sp + 104e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104e4: 00200293 li t0,2 + 104e8: fe5212e3 bne tp,t0,104cc + 104ec: 01a00e93 li t4,26 + 104f0: 01f00193 li gp,31 + 104f4: 01df0463 beq t5,t4,104fc + 104f8: 6510106f j 12348 + +000104fc : + 104fc: 00000213 li tp,0 + 10500: 00b00113 li sp,11 + 10504: 00000013 nop + 10508: 00d00093 li ra,13 + 1050c: 00208f33 add t5,ra,sp + 10510: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10514: 00200293 li t0,2 + 10518: fe5214e3 bne tp,t0,10500 + 1051c: 01800e93 li t4,24 + 10520: 02000193 li gp,32 + 10524: 01df0463 beq t5,t4,1052c + 10528: 6210106f j 12348 + +0001052c : + 1052c: 00000213 li tp,0 + 10530: 00b00113 li sp,11 + 10534: 00000013 nop + 10538: 00e00093 li ra,14 + 1053c: 00000013 nop + 10540: 00208f33 add t5,ra,sp + 10544: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10548: 00200293 li t0,2 + 1054c: fe5212e3 bne tp,t0,10530 + 10550: 01900e93 li t4,25 + 10554: 02100193 li gp,33 + 10558: 01df0463 beq t5,t4,10560 + 1055c: 5ed0106f j 12348 + +00010560 : + 10560: 00000213 li tp,0 + 10564: 00b00113 li sp,11 + 10568: 00000013 nop + 1056c: 00000013 nop + 10570: 00f00093 li ra,15 + 10574: 00208f33 add t5,ra,sp + 10578: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1057c: 00200293 li t0,2 + 10580: fe5212e3 bne tp,t0,10564 + 10584: 01a00e93 li t4,26 + 10588: 02200193 li gp,34 + 1058c: 01df0463 beq t5,t4,10594 + 10590: 5b90106f j 12348 + +00010594 : + 10594: 00f00093 li ra,15 + 10598: 00100133 add sp,zero,ra + 1059c: 00f00e93 li t4,15 + 105a0: 02300193 li gp,35 + 105a4: 01d10463 beq sp,t4,105ac + 105a8: 5a10106f j 12348 + +000105ac : + 105ac: 02000093 li ra,32 + 105b0: 00008133 add sp,ra,zero + 105b4: 02000e93 li t4,32 + 105b8: 02400193 li gp,36 + 105bc: 01d10463 beq sp,t4,105c4 + 105c0: 5890106f j 12348 + +000105c4 : + 105c4: 000000b3 add ra,zero,zero + 105c8: 00000e93 li t4,0 + 105cc: 02500193 li gp,37 + 105d0: 01d08463 beq ra,t4,105d8 + 105d4: 5750106f j 12348 + +000105d8 : + 105d8: 01000093 li ra,16 + 105dc: 01e00113 li sp,30 + 105e0: 00208033 add zero,ra,sp + 105e4: 00000e93 li t4,0 + 105e8: 02600193 li gp,38 + 105ec: 01d00463 beq zero,t4,105f4 + 105f0: 5590106f j 12348 + +000105f4 : + 105f4: 00000093 li ra,0 + 105f8: 00008f13 mv t5,ra + 105fc: 00000e93 li t4,0 + 10600: 02700193 li gp,39 + 10604: 01df0463 beq t5,t4,1060c + 10608: 5410106f j 12348 + +0001060c : + 1060c: 00100093 li ra,1 + 10610: 00108f13 addi t5,ra,1 + 10614: 00200e93 li t4,2 + 10618: 02800193 li gp,40 + 1061c: 01df0463 beq t5,t4,10624 + 10620: 5290106f j 12348 + +00010624 : + 10624: 00300093 li ra,3 + 10628: 00708f13 addi t5,ra,7 + 1062c: 00a00e93 li t4,10 + 10630: 02900193 li gp,41 + 10634: 01df0463 beq t5,t4,1063c + 10638: 5110106f j 12348 + +0001063c : + 1063c: 00000093 li ra,0 + 10640: 80008f13 addi t5,ra,-2048 + 10644: 80000e93 li t4,-2048 + 10648: 02a00193 li gp,42 + 1064c: 01df0463 beq t5,t4,10654 + 10650: 4f90106f j 12348 + +00010654 : + 10654: 800000b7 lui ra,0x80000 + 10658: 00008f13 mv t5,ra + 1065c: 80000eb7 lui t4,0x80000 + 10660: 02b00193 li gp,43 + 10664: 01df0463 beq t5,t4,1066c + 10668: 4e10106f j 12348 + +0001066c : + 1066c: 800000b7 lui ra,0x80000 + 10670: 80008f13 addi t5,ra,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> + 10674: 80000eb7 lui t4,0x80000 + 10678: 800e8e93 addi t4,t4,-2048 # 7ffff800 <__global_pointer$+0x7ffebb78> + 1067c: 02c00193 li gp,44 + 10680: 01df0463 beq t5,t4,10688 + 10684: 4c50106f j 12348 + +00010688 : + 10688: 00000093 li ra,0 + 1068c: 7ff08f13 addi t5,ra,2047 + 10690: 7ff00e93 li t4,2047 + 10694: 02d00193 li gp,45 + 10698: 01df0463 beq t5,t4,106a0 + 1069c: 4ad0106f j 12348 + +000106a0 : + 106a0: 800000b7 lui ra,0x80000 + 106a4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106a8: 00008f13 mv t5,ra + 106ac: 80000eb7 lui t4,0x80000 + 106b0: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106b4: 02e00193 li gp,46 + 106b8: 01df0463 beq t5,t4,106c0 + 106bc: 48d0106f j 12348 + +000106c0 : + 106c0: 800000b7 lui ra,0x80000 + 106c4: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 106c8: 7ff08f13 addi t5,ra,2047 + 106cc: 80000eb7 lui t4,0x80000 + 106d0: 7fee8e93 addi t4,t4,2046 # 800007fe <__global_pointer$+0x7ffecb76> + 106d4: 02f00193 li gp,47 + 106d8: 01df0463 beq t5,t4,106e0 + 106dc: 46d0106f j 12348 + +000106e0 : + 106e0: 800000b7 lui ra,0x80000 + 106e4: 7ff08f13 addi t5,ra,2047 # 800007ff <__global_pointer$+0x7ffecb77> + 106e8: 80000eb7 lui t4,0x80000 + 106ec: 7ffe8e93 addi t4,t4,2047 # 800007ff <__global_pointer$+0x7ffecb77> + 106f0: 03000193 li gp,48 + 106f4: 01df0463 beq t5,t4,106fc + 106f8: 4510106f j 12348 + +000106fc : + 106fc: 800000b7 lui ra,0x80000 + 10700: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 10704: 80008f13 addi t5,ra,-2048 + 10708: 7ffffeb7 lui t4,0x7ffff + 1070c: 7ffe8e93 addi t4,t4,2047 # 7ffff7ff <__global_pointer$+0x7ffebb77> + 10710: 03100193 li gp,49 + 10714: 01df0463 beq t5,t4,1071c + 10718: 4310106f j 12348 + +0001071c : + 1071c: 00000093 li ra,0 + 10720: fff08f13 addi t5,ra,-1 + 10724: fff00e93 li t4,-1 + 10728: 03200193 li gp,50 + 1072c: 01df0463 beq t5,t4,10734 + 10730: 4190106f j 12348 + +00010734 : + 10734: fff00093 li ra,-1 + 10738: 00108f13 addi t5,ra,1 + 1073c: 00000e93 li t4,0 + 10740: 03300193 li gp,51 + 10744: 01df0463 beq t5,t4,1074c + 10748: 4010106f j 12348 + +0001074c : + 1074c: fff00093 li ra,-1 + 10750: fff08f13 addi t5,ra,-1 + 10754: ffe00e93 li t4,-2 + 10758: 03400193 li gp,52 + 1075c: 01df0463 beq t5,t4,10764 + 10760: 3e90106f j 12348 + +00010764 : + 10764: 800000b7 lui ra,0x80000 + 10768: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 1076c: 00108f13 addi t5,ra,1 + 10770: 80000eb7 lui t4,0x80000 + 10774: 03500193 li gp,53 + 10778: 01df0463 beq t5,t4,10780 + 1077c: 3cd0106f j 12348 + +00010780 : + 10780: 00d00093 li ra,13 + 10784: 00b08093 addi ra,ra,11 + 10788: 01800e93 li t4,24 + 1078c: 03600193 li gp,54 + 10790: 01d08463 beq ra,t4,10798 + 10794: 3b50106f j 12348 + +00010798 : + 10798: 00000213 li tp,0 + 1079c: 00d00093 li ra,13 + 107a0: 00b08f13 addi t5,ra,11 + 107a4: 000f0313 mv t1,t5 + 107a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107ac: 00200293 li t0,2 + 107b0: fe5216e3 bne tp,t0,1079c + 107b4: 01800e93 li t4,24 + 107b8: 03700193 li gp,55 + 107bc: 01d30463 beq t1,t4,107c4 + 107c0: 3890106f j 12348 + +000107c4 : + 107c4: 00000213 li tp,0 + 107c8: 00d00093 li ra,13 + 107cc: 00a08f13 addi t5,ra,10 + 107d0: 00000013 nop + 107d4: 000f0313 mv t1,t5 + 107d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107dc: 00200293 li t0,2 + 107e0: fe5214e3 bne tp,t0,107c8 + 107e4: 01700e93 li t4,23 + 107e8: 03800193 li gp,56 + 107ec: 01d30463 beq t1,t4,107f4 + 107f0: 3590106f j 12348 + +000107f4 : + 107f4: 00000213 li tp,0 + 107f8: 00d00093 li ra,13 + 107fc: 00908f13 addi t5,ra,9 + 10800: 00000013 nop + 10804: 00000013 nop + 10808: 000f0313 mv t1,t5 + 1080c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10810: 00200293 li t0,2 + 10814: fe5212e3 bne tp,t0,107f8 + 10818: 01600e93 li t4,22 + 1081c: 03900193 li gp,57 + 10820: 01d30463 beq t1,t4,10828 + 10824: 3250106f j 12348 + +00010828 : + 10828: 00000213 li tp,0 + 1082c: 00d00093 li ra,13 + 10830: 00b08f13 addi t5,ra,11 + 10834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10838: 00200293 li t0,2 + 1083c: fe5218e3 bne tp,t0,1082c + 10840: 01800e93 li t4,24 + 10844: 03a00193 li gp,58 + 10848: 01df0463 beq t5,t4,10850 + 1084c: 2fd0106f j 12348 + +00010850 : + 10850: 00000213 li tp,0 + 10854: 00d00093 li ra,13 + 10858: 00000013 nop + 1085c: 00a08f13 addi t5,ra,10 + 10860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10864: 00200293 li t0,2 + 10868: fe5216e3 bne tp,t0,10854 + 1086c: 01700e93 li t4,23 + 10870: 03b00193 li gp,59 + 10874: 01df0463 beq t5,t4,1087c + 10878: 2d10106f j 12348 + +0001087c : + 1087c: 00000213 li tp,0 + 10880: 00d00093 li ra,13 + 10884: 00000013 nop + 10888: 00000013 nop + 1088c: 00908f13 addi t5,ra,9 + 10890: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10894: 00200293 li t0,2 + 10898: fe5214e3 bne tp,t0,10880 + 1089c: 01600e93 li t4,22 + 108a0: 03c00193 li gp,60 + 108a4: 01df0463 beq t5,t4,108ac + 108a8: 2a10106f j 12348 + +000108ac : + 108ac: 02000093 li ra,32 + 108b0: 02000e93 li t4,32 + 108b4: 03d00193 li gp,61 + 108b8: 01d08463 beq ra,t4,108c0 + 108bc: 28d0106f j 12348 + +000108c0 : + 108c0: 02100093 li ra,33 + 108c4: 03208013 addi zero,ra,50 + 108c8: 00000e93 li t4,0 + 108cc: 03e00193 li gp,62 + 108d0: 01d00463 beq zero,t4,108d8 + 108d4: 2750106f j 12348 + +000108d8 : + 108d8: ff0100b7 lui ra,0xff010 + 108dc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 108e0: 0f0f1137 lui sp,0xf0f1 + 108e4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 108e8: 0020ff33 and t5,ra,sp + 108ec: 0f001eb7 lui t4,0xf001 + 108f0: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 108f4: 03f00193 li gp,63 + 108f8: 01df0463 beq t5,t4,10900 + 108fc: 24d0106f j 12348 + +00010900 : + 10900: 0ff010b7 lui ra,0xff01 + 10904: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10908: f0f0f137 lui sp,0xf0f0f + 1090c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10910: 0020ff33 and t5,ra,sp + 10914: 00f00eb7 lui t4,0xf00 + 10918: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 1091c: 04000193 li gp,64 + 10920: 01df0463 beq t5,t4,10928 + 10924: 2250106f j 12348 + +00010928 : + 10928: 00ff00b7 lui ra,0xff0 + 1092c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10930: 0f0f1137 lui sp,0xf0f1 + 10934: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10938: 0020ff33 and t5,ra,sp + 1093c: 000f0eb7 lui t4,0xf0 + 10940: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10944: 04100193 li gp,65 + 10948: 01df0463 beq t5,t4,10950 + 1094c: 1fd0106f j 12348 + +00010950 : + 10950: f00ff0b7 lui ra,0xf00ff + 10954: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10958: f0f0f137 lui sp,0xf0f0f + 1095c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10960: 0020ff33 and t5,ra,sp + 10964: f000feb7 lui t4,0xf000f + 10968: 04200193 li gp,66 + 1096c: 01df0463 beq t5,t4,10974 + 10970: 1d90106f j 12348 + +00010974 : + 10974: ff0100b7 lui ra,0xff010 + 10978: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 1097c: 0f0f1137 lui sp,0xf0f1 + 10980: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10984: 0020f0b3 and ra,ra,sp + 10988: 0f001eb7 lui t4,0xf001 + 1098c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10990: 04300193 li gp,67 + 10994: 01d08463 beq ra,t4,1099c + 10998: 1b10106f j 12348 + +0001099c : + 1099c: 0ff010b7 lui ra,0xff01 + 109a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 109a4: f0f0f137 lui sp,0xf0f0f + 109a8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 109ac: 0020f133 and sp,ra,sp + 109b0: 00f00eb7 lui t4,0xf00 + 109b4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 109b8: 04400193 li gp,68 + 109bc: 01d10463 beq sp,t4,109c4 + 109c0: 1890106f j 12348 + +000109c4 : + 109c4: ff0100b7 lui ra,0xff010 + 109c8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109cc: 0010f0b3 and ra,ra,ra + 109d0: ff010eb7 lui t4,0xff010 + 109d4: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109d8: 04500193 li gp,69 + 109dc: 01d08463 beq ra,t4,109e4 + 109e0: 1690106f j 12348 + +000109e4 : + 109e4: 00000213 li tp,0 + 109e8: ff0100b7 lui ra,0xff010 + 109ec: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 109f0: 0f0f1137 lui sp,0xf0f1 + 109f4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 109f8: 0020ff33 and t5,ra,sp + 109fc: 000f0313 mv t1,t5 + 10a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a04: 00200293 li t0,2 + 10a08: fe5210e3 bne tp,t0,109e8 + 10a0c: 0f001eb7 lui t4,0xf001 + 10a10: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10a14: 04600193 li gp,70 + 10a18: 01d30463 beq t1,t4,10a20 + 10a1c: 12d0106f j 12348 + +00010a20 : + 10a20: 00000213 li tp,0 + 10a24: 0ff010b7 lui ra,0xff01 + 10a28: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10a2c: f0f0f137 lui sp,0xf0f0f + 10a30: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10a34: 0020ff33 and t5,ra,sp + 10a38: 00000013 nop + 10a3c: 000f0313 mv t1,t5 + 10a40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a44: 00200293 li t0,2 + 10a48: fc521ee3 bne tp,t0,10a24 + 10a4c: 00f00eb7 lui t4,0xf00 + 10a50: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10a54: 04700193 li gp,71 + 10a58: 01d30463 beq t1,t4,10a60 + 10a5c: 0ed0106f j 12348 + +00010a60 : + 10a60: 00000213 li tp,0 + 10a64: 00ff00b7 lui ra,0xff0 + 10a68: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10a6c: 0f0f1137 lui sp,0xf0f1 + 10a70: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10a74: 0020ff33 and t5,ra,sp + 10a78: 00000013 nop + 10a7c: 00000013 nop + 10a80: 000f0313 mv t1,t5 + 10a84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a88: 00200293 li t0,2 + 10a8c: fc521ce3 bne tp,t0,10a64 + 10a90: 000f0eb7 lui t4,0xf0 + 10a94: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10a98: 04800193 li gp,72 + 10a9c: 01d30463 beq t1,t4,10aa4 + 10aa0: 0a90106f j 12348 + +00010aa4 : + 10aa4: 00000213 li tp,0 + 10aa8: ff0100b7 lui ra,0xff010 + 10aac: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10ab0: 0f0f1137 lui sp,0xf0f1 + 10ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10ab8: 0020ff33 and t5,ra,sp + 10abc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ac0: 00200293 li t0,2 + 10ac4: fe5212e3 bne tp,t0,10aa8 + 10ac8: 0f001eb7 lui t4,0xf001 + 10acc: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10ad0: 04900193 li gp,73 + 10ad4: 01df0463 beq t5,t4,10adc + 10ad8: 0710106f j 12348 + +00010adc : + 10adc: 00000213 li tp,0 + 10ae0: 0ff010b7 lui ra,0xff01 + 10ae4: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10ae8: f0f0f137 lui sp,0xf0f0f + 10aec: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10af0: 00000013 nop + 10af4: 0020ff33 and t5,ra,sp + 10af8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10afc: 00200293 li t0,2 + 10b00: fe5210e3 bne tp,t0,10ae0 + 10b04: 00f00eb7 lui t4,0xf00 + 10b08: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10b0c: 04a00193 li gp,74 + 10b10: 01df0463 beq t5,t4,10b18 + 10b14: 0350106f j 12348 + +00010b18 : + 10b18: 00000213 li tp,0 + 10b1c: 00ff00b7 lui ra,0xff0 + 10b20: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10b24: 0f0f1137 lui sp,0xf0f1 + 10b28: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10b2c: 00000013 nop + 10b30: 00000013 nop + 10b34: 0020ff33 and t5,ra,sp + 10b38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b3c: 00200293 li t0,2 + 10b40: fc521ee3 bne tp,t0,10b1c + 10b44: 000f0eb7 lui t4,0xf0 + 10b48: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10b4c: 04b00193 li gp,75 + 10b50: 01df0463 beq t5,t4,10b58 + 10b54: 7f40106f j 12348 + +00010b58 : + 10b58: 00000213 li tp,0 + 10b5c: ff0100b7 lui ra,0xff010 + 10b60: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10b64: 00000013 nop + 10b68: 0f0f1137 lui sp,0xf0f1 + 10b6c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10b70: 0020ff33 and t5,ra,sp + 10b74: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b78: 00200293 li t0,2 + 10b7c: fe5210e3 bne tp,t0,10b5c + 10b80: 0f001eb7 lui t4,0xf001 + 10b84: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10b88: 04c00193 li gp,76 + 10b8c: 01df0463 beq t5,t4,10b94 + 10b90: 7b80106f j 12348 + +00010b94 : + 10b94: 00000213 li tp,0 + 10b98: 0ff010b7 lui ra,0xff01 + 10b9c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10ba0: 00000013 nop + 10ba4: f0f0f137 lui sp,0xf0f0f + 10ba8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10bac: 00000013 nop + 10bb0: 0020ff33 and t5,ra,sp + 10bb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10bb8: 00200293 li t0,2 + 10bbc: fc521ee3 bne tp,t0,10b98 + 10bc0: 00f00eb7 lui t4,0xf00 + 10bc4: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10bc8: 04d00193 li gp,77 + 10bcc: 01df0463 beq t5,t4,10bd4 + 10bd0: 7780106f j 12348 + +00010bd4 : + 10bd4: 00000213 li tp,0 + 10bd8: 00ff00b7 lui ra,0xff0 + 10bdc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10be0: 00000013 nop + 10be4: 00000013 nop + 10be8: 0f0f1137 lui sp,0xf0f1 + 10bec: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10bf0: 0020ff33 and t5,ra,sp + 10bf4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10bf8: 00200293 li t0,2 + 10bfc: fc521ee3 bne tp,t0,10bd8 + 10c00: 000f0eb7 lui t4,0xf0 + 10c04: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10c08: 04e00193 li gp,78 + 10c0c: 01df0463 beq t5,t4,10c14 + 10c10: 7380106f j 12348 + +00010c14 : + 10c14: 00000213 li tp,0 + 10c18: 0f0f1137 lui sp,0xf0f1 + 10c1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10c20: ff0100b7 lui ra,0xff010 + 10c24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10c28: 0020ff33 and t5,ra,sp + 10c2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10c30: 00200293 li t0,2 + 10c34: fe5212e3 bne tp,t0,10c18 + 10c38: 0f001eb7 lui t4,0xf001 + 10c3c: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10c40: 04f00193 li gp,79 + 10c44: 01df0463 beq t5,t4,10c4c + 10c48: 7000106f j 12348 + +00010c4c : + 10c4c: 00000213 li tp,0 + 10c50: f0f0f137 lui sp,0xf0f0f + 10c54: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10c58: 0ff010b7 lui ra,0xff01 + 10c5c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10c60: 00000013 nop + 10c64: 0020ff33 and t5,ra,sp + 10c68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10c6c: 00200293 li t0,2 + 10c70: fe5210e3 bne tp,t0,10c50 + 10c74: 00f00eb7 lui t4,0xf00 + 10c78: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10c7c: 05000193 li gp,80 + 10c80: 01df0463 beq t5,t4,10c88 + 10c84: 6c40106f j 12348 + +00010c88 : + 10c88: 00000213 li tp,0 + 10c8c: 0f0f1137 lui sp,0xf0f1 + 10c90: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10c94: 00ff00b7 lui ra,0xff0 + 10c98: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10c9c: 00000013 nop + 10ca0: 00000013 nop + 10ca4: 0020ff33 and t5,ra,sp + 10ca8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10cac: 00200293 li t0,2 + 10cb0: fc521ee3 bne tp,t0,10c8c + 10cb4: 000f0eb7 lui t4,0xf0 + 10cb8: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10cbc: 05100193 li gp,81 + 10cc0: 01df0463 beq t5,t4,10cc8 + 10cc4: 6840106f j 12348 + +00010cc8 : + 10cc8: 00000213 li tp,0 + 10ccc: 0f0f1137 lui sp,0xf0f1 + 10cd0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10cd4: 00000013 nop + 10cd8: ff0100b7 lui ra,0xff010 + 10cdc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10ce0: 0020ff33 and t5,ra,sp + 10ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ce8: 00200293 li t0,2 + 10cec: fe5210e3 bne tp,t0,10ccc + 10cf0: 0f001eb7 lui t4,0xf001 + 10cf4: f00e8e93 addi t4,t4,-256 # f000f00 <__global_pointer$+0xefed278> + 10cf8: 05200193 li gp,82 + 10cfc: 01df0463 beq t5,t4,10d04 + 10d00: 6480106f j 12348 + +00010d04 : + 10d04: 00000213 li tp,0 + 10d08: f0f0f137 lui sp,0xf0f0f + 10d0c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efb468> + 10d10: 00000013 nop + 10d14: 0ff010b7 lui ra,0xff01 + 10d18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10d1c: 00000013 nop + 10d20: 0020ff33 and t5,ra,sp + 10d24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d28: 00200293 li t0,2 + 10d2c: fc521ee3 bne tp,t0,10d08 + 10d30: 00f00eb7 lui t4,0xf00 + 10d34: 0f0e8e93 addi t4,t4,240 # f000f0 <__global_pointer$+0xeec468> + 10d38: 05300193 li gp,83 + 10d3c: 01df0463 beq t5,t4,10d44 + 10d40: 6080106f j 12348 + +00010d44 : + 10d44: 00000213 li tp,0 + 10d48: 0f0f1137 lui sp,0xf0f1 + 10d4c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dd287> + 10d50: 00000013 nop + 10d54: 00000013 nop + 10d58: 00ff00b7 lui ra,0xff0 + 10d5c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10d60: 0020ff33 and t5,ra,sp + 10d64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d68: 00200293 li t0,2 + 10d6c: fc521ee3 bne tp,t0,10d48 + 10d70: 000f0eb7 lui t4,0xf0 + 10d74: 00fe8e93 addi t4,t4,15 # f000f <__global_pointer$+0xdc387> + 10d78: 05400193 li gp,84 + 10d7c: 01df0463 beq t5,t4,10d84 + 10d80: 5c80106f j 12348 + +00010d84 : + 10d84: ff0100b7 lui ra,0xff010 + 10d88: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10d8c: 00107133 and sp,zero,ra + 10d90: 00000e93 li t4,0 + 10d94: 05500193 li gp,85 + 10d98: 01d10463 beq sp,t4,10da0 + 10d9c: 5ac0106f j 12348 + +00010da0 : + 10da0: 00ff00b7 lui ra,0xff0 + 10da4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10da8: 0000f133 and sp,ra,zero + 10dac: 00000e93 li t4,0 + 10db0: 05600193 li gp,86 + 10db4: 01d10463 beq sp,t4,10dbc + 10db8: 5900106f j 12348 + +00010dbc : + 10dbc: 000070b3 and ra,zero,zero + 10dc0: 00000e93 li t4,0 + 10dc4: 05700193 li gp,87 + 10dc8: 01d08463 beq ra,t4,10dd0 + 10dcc: 57c0106f j 12348 + +00010dd0 : + 10dd0: 111110b7 lui ra,0x11111 + 10dd4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fd489> + 10dd8: 22222137 lui sp,0x22222 + 10ddc: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220e59a> + 10de0: 0020f033 and zero,ra,sp + 10de4: 00000e93 li t4,0 + 10de8: 05800193 li gp,88 + 10dec: 01d00463 beq zero,t4,10df4 + 10df0: 5580106f j 12348 + +00010df4 : + 10df4: ff0100b7 lui ra,0xff010 + 10df8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10dfc: f0f0ff13 andi t5,ra,-241 + 10e00: ff010eb7 lui t4,0xff010 + 10e04: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10e08: 05900193 li gp,89 + 10e0c: 01df0463 beq t5,t4,10e14 + 10e10: 5380106f j 12348 + +00010e14 : + 10e14: 0ff010b7 lui ra,0xff01 + 10e18: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10e1c: 0f00ff13 andi t5,ra,240 + 10e20: 0f000e93 li t4,240 + 10e24: 05a00193 li gp,90 + 10e28: 01df0463 beq t5,t4,10e30 + 10e2c: 51c0106f j 12348 + +00010e30 : + 10e30: 00ff00b7 lui ra,0xff0 + 10e34: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10e38: 70f0ff13 andi t5,ra,1807 + 10e3c: 00f00e93 li t4,15 + 10e40: 05b00193 li gp,91 + 10e44: 01df0463 beq t5,t4,10e4c + 10e48: 5000106f j 12348 + +00010e4c : + 10e4c: f00ff0b7 lui ra,0xf00ff + 10e50: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10e54: 0f00ff13 andi t5,ra,240 + 10e58: 00000e93 li t4,0 + 10e5c: 05c00193 li gp,92 + 10e60: 01df0463 beq t5,t4,10e68 + 10e64: 4e40106f j 12348 + +00010e68 : + 10e68: ff0100b7 lui ra,0xff010 + 10e6c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffc278> + 10e70: 0f00f093 andi ra,ra,240 + 10e74: 00000e93 li t4,0 + 10e78: 05d00193 li gp,93 + 10e7c: 01d08463 beq ra,t4,10e84 + 10e80: 4c80106f j 12348 + +00010e84 : + 10e84: 00000213 li tp,0 + 10e88: 0ff010b7 lui ra,0xff01 + 10e8c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10e90: 70f0ff13 andi t5,ra,1807 + 10e94: 000f0313 mv t1,t5 + 10e98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e9c: 00200293 li t0,2 + 10ea0: fe5214e3 bne tp,t0,10e88 + 10ea4: 70000e93 li t4,1792 + 10ea8: 05e00193 li gp,94 + 10eac: 01d30463 beq t1,t4,10eb4 + 10eb0: 4980106f j 12348 + +00010eb4 : + 10eb4: 00000213 li tp,0 + 10eb8: 00ff00b7 lui ra,0xff0 + 10ebc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10ec0: 0f00ff13 andi t5,ra,240 + 10ec4: 00000013 nop + 10ec8: 000f0313 mv t1,t5 + 10ecc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ed0: 00200293 li t0,2 + 10ed4: fe5212e3 bne tp,t0,10eb8 + 10ed8: 0f000e93 li t4,240 + 10edc: 05f00193 li gp,95 + 10ee0: 01d30463 beq t1,t4,10ee8 + 10ee4: 4640106f j 12348 + +00010ee8 : + 10ee8: 00000213 li tp,0 + 10eec: f00ff0b7 lui ra,0xf00ff + 10ef0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10ef4: f0f0ff13 andi t5,ra,-241 + 10ef8: 00000013 nop + 10efc: 00000013 nop + 10f00: 000f0313 mv t1,t5 + 10f04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f08: 00200293 li t0,2 + 10f0c: fe5210e3 bne tp,t0,10eec + 10f10: f00ffeb7 lui t4,0xf00ff + 10f14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10f18: 06000193 li gp,96 + 10f1c: 01d30463 beq t1,t4,10f24 + 10f20: 4280106f j 12348 + +00010f24 : + 10f24: 00000213 li tp,0 + 10f28: 0ff010b7 lui ra,0xff01 + 10f2c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeed368> + 10f30: 70f0ff13 andi t5,ra,1807 + 10f34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f38: 00200293 li t0,2 + 10f3c: fe5216e3 bne tp,t0,10f28 + 10f40: 70000e93 li t4,1792 + 10f44: 06100193 li gp,97 + 10f48: 01df0463 beq t5,t4,10f50 + 10f4c: 3fc0106f j 12348 + +00010f50 : + 10f50: 00000213 li tp,0 + 10f54: 00ff00b7 lui ra,0xff0 + 10f58: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10f5c: 00000013 nop + 10f60: 0f00ff13 andi t5,ra,240 + 10f64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f68: 00200293 li t0,2 + 10f6c: fe5214e3 bne tp,t0,10f54 + 10f70: 0f000e93 li t4,240 + 10f74: 06200193 li gp,98 + 10f78: 01df0463 beq t5,t4,10f80 + 10f7c: 3cc0106f j 12348 + +00010f80 : + 10f80: 00000213 li tp,0 + 10f84: f00ff0b7 lui ra,0xf00ff + 10f88: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eb387> + 10f8c: 00000013 nop + 10f90: 00000013 nop + 10f94: 70f0ff13 andi t5,ra,1807 + 10f98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f9c: 00200293 li t0,2 + 10fa0: fe5212e3 bne tp,t0,10f84 + 10fa4: 00f00e93 li t4,15 + 10fa8: 06300193 li gp,99 + 10fac: 01df0463 beq t5,t4,10fb4 + 10fb0: 3980106f j 12348 + +00010fb4 : + 10fb4: 0f007093 andi ra,zero,240 + 10fb8: 00000e93 li t4,0 + 10fbc: 06400193 li gp,100 + 10fc0: 01d08463 beq ra,t4,10fc8 + 10fc4: 3840106f j 12348 + +00010fc8 : + 10fc8: 00ff00b7 lui ra,0xff0 + 10fcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdc477> + 10fd0: 70f0f013 andi zero,ra,1807 + 10fd4: 00000e93 li t4,0 + 10fd8: 06500193 li gp,101 + 10fdc: 01d00463 beq zero,t4,10fe4 + 10fe0: 3680106f j 12348 + +00010fe4 : + 10fe4: 00000013 nop + 10fe8: 00002517 auipc a0,0x2 + 10fec: 71c50513 addi a0,a0,1820 # 13704 <__bss_start+0x27c> + 10ff0: 004005ef jal a1,10ff4 + 10ff4: 40b50533 sub a0,a0,a1 + 10ff8: 00002eb7 lui t4,0x2 + 10ffc: 710e8e93 addi t4,t4,1808 # 2710 <_start-0xd970> + 11000: 06600193 li gp,102 + 11004: 01d50463 beq a0,t4,1100c + 11008: 3400106f j 12348 + +0001100c : + 1100c: 00000013 nop + 11010: ffffe517 auipc a0,0xffffe + 11014: 8fc50513 addi a0,a0,-1796 # e90c <_start-0x1774> + 11018: 004005ef jal a1,1101c + 1101c: 40b50533 sub a0,a0,a1 + 11020: ffffeeb7 lui t4,0xffffe + 11024: 8f0e8e93 addi t4,t4,-1808 # ffffd8f0 <__global_pointer$+0xfffe9c68> + 11028: 06700193 li gp,103 + 1102c: 01d50463 beq a0,t4,11034 + 11030: 3180106f j 12348 + +00011034 : + 11034: 06800193 li gp,104 + 11038: 00000093 li ra,0 + 1103c: 00000113 li sp,0 + 11040: 00208863 beq ra,sp,11050 #1 + 11044: 00300463 beq zero,gp,1104c + 11048: 3000106f j 12348 + 1104c: 00301863 bne zero,gp,1105c #3 + 11050: fe208ee3 beq ra,sp,1104c #2 + 11054: 00300463 beq zero,gp,1105c + 11058: 2f00106f j 12348 + +0001105c : + 1105c: 06900193 li gp,105 #ra = x1 gp = x3 sp =x2 + 11060: 00100093 li ra,1 + 11064: 00100113 li sp,1 + 11068: 00208863 beq ra,sp,11078 # 1 + 1106c: 00300463 beq zero,gp,11074 + 11070: 2d80106f j 12348 + 11074: 00301863 bne zero,gp,11084 # 3 + 11078: fe208ee3 beq ra,sp,11074 # 2 + 1107c: 00300463 beq zero,gp,11084 + 11080: 2c80106f j 12348 + +00011084 : + 11084: 06a00193 li gp,106 + 11088: fff00093 li ra,-1 + 1108c: fff00113 li sp,-1 + 11090: 00208863 beq ra,sp,110a0 + 11094: 00300463 beq zero,gp,1109c + 11098: 2b00106f j 12348 + 1109c: 00301863 bne zero,gp,110ac + 110a0: fe208ee3 beq ra,sp,1109c + 110a4: 00300463 beq zero,gp,110ac + 110a8: 2a00106f j 12348 + +000110ac : + 110ac: 06b00193 li gp,107 + 110b0: 00000093 li ra,0 + 110b4: 00100113 li sp,1 + 110b8: 00208463 beq ra,sp,110c0 + 110bc: 00301663 bne zero,gp,110c8 + 110c0: 00300463 beq zero,gp,110c8 + 110c4: 2840106f j 12348 + 110c8: fe208ce3 beq ra,sp,110c0 + +000110cc : + 110cc: 06c00193 li gp,108 + 110d0: 00100093 li ra,1 + 110d4: 00000113 li sp,0 + 110d8: 00208463 beq ra,sp,110e0 + 110dc: 00301663 bne zero,gp,110e8 + 110e0: 00300463 beq zero,gp,110e8 + 110e4: 2640106f j 12348 + 110e8: fe208ce3 beq ra,sp,110e0 + +000110ec : + 110ec: 06d00193 li gp,109 + 110f0: fff00093 li ra,-1 + 110f4: 00100113 li sp,1 + 110f8: 00208463 beq ra,sp,11100 + 110fc: 00301663 bne zero,gp,11108 + 11100: 00300463 beq zero,gp,11108 + 11104: 2440106f j 12348 + 11108: fe208ce3 beq ra,sp,11100 + +0001110c : + 1110c: 06e00193 li gp,110 + 11110: 00100093 li ra,1 + 11114: fff00113 li sp,-1 + 11118: 00208463 beq ra,sp,11120 + 1111c: 00301663 bne zero,gp,11128 + 11120: 00300463 beq zero,gp,11128 + 11124: 2240106f j 12348 + 11128: fe208ce3 beq ra,sp,11120 + +0001112c : + 1112c: 06f00193 li gp,111 + 11130: 00000213 li tp,0 + 11134: 00000093 li ra,0 + 11138: fff00113 li sp,-1 + 1113c: 00209463 bne ra,sp,11144 + 11140: 2080106f j 12348 + 11144: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11148: 00200293 li t0,2 + 1114c: fe5214e3 bne tp,t0,11134 + +00011150 : + 11150: 07000193 li gp,112 + 11154: 00000213 li tp,0 + 11158: 00000093 li ra,0 + 1115c: fff00113 li sp,-1 + 11160: 00000013 nop + 11164: 00209463 bne ra,sp,1116c + 11168: 1e00106f j 12348 + 1116c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11170: 00200293 li t0,2 + 11174: fe5212e3 bne tp,t0,11158 + +00011178 : + 11178: 07100193 li gp,113 + 1117c: 00000213 li tp,0 + 11180: 00000093 li ra,0 + 11184: fff00113 li sp,-1 + 11188: 00000013 nop + 1118c: 00000013 nop + 11190: 00209463 bne ra,sp,11198 + 11194: 1b40106f j 12348 + 11198: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1119c: 00200293 li t0,2 + 111a0: fe5210e3 bne tp,t0,11180 + +000111a4 : + 111a4: 07200193 li gp,114 + 111a8: 00000213 li tp,0 + 111ac: 00000093 li ra,0 + 111b0: 00000013 nop + 111b4: fff00113 li sp,-1 + 111b8: 00209463 bne ra,sp,111c0 + 111bc: 18c0106f j 12348 + 111c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111c4: 00200293 li t0,2 + 111c8: fe5212e3 bne tp,t0,111ac + +000111cc : + 111cc: 07300193 li gp,115 + 111d0: 00000213 li tp,0 + 111d4: 00000093 li ra,0 + 111d8: 00000013 nop + 111dc: fff00113 li sp,-1 + 111e0: 00000013 nop + 111e4: 00209463 bne ra,sp,111ec + 111e8: 1600106f j 12348 + 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111f0: 00200293 li t0,2 + 111f4: fe5210e3 bne tp,t0,111d4 + +000111f8 : + 111f8: 07400193 li gp,116 + 111fc: 00000213 li tp,0 + 11200: 00000093 li ra,0 + 11204: 00000013 nop + 11208: 00000013 nop + 1120c: fff00113 li sp,-1 + 11210: 00209463 bne ra,sp,11218 + 11214: 1340106f j 12348 + 11218: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1121c: 00200293 li t0,2 + 11220: fe5210e3 bne tp,t0,11200 + +00011224 : + 11224: 07500193 li gp,117 + 11228: 00000213 li tp,0 + 1122c: 00000093 li ra,0 + 11230: fff00113 li sp,-1 + 11234: 00209463 bne ra,sp,1123c + 11238: 1100106f j 12348 + 1123c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11240: 00200293 li t0,2 + 11244: fe5214e3 bne tp,t0,1122c + +00011248 : + 11248: 07600193 li gp,118 + 1124c: 00000213 li tp,0 + 11250: 00000093 li ra,0 + 11254: fff00113 li sp,-1 + 11258: 00000013 nop + 1125c: 00209463 bne ra,sp,11264 + 11260: 0e80106f j 12348 + 11264: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11268: 00200293 li t0,2 + 1126c: fe5212e3 bne tp,t0,11250 + +00011270 : + 11270: 07700193 li gp,119 + 11274: 00000213 li tp,0 + 11278: 00000093 li ra,0 + 1127c: fff00113 li sp,-1 + 11280: 00000013 nop + 11284: 00000013 nop + 11288: 00209463 bne ra,sp,11290 + 1128c: 0bc0106f j 12348 + 11290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11294: 00200293 li t0,2 + 11298: fe5210e3 bne tp,t0,11278 + +0001129c : + 1129c: 07800193 li gp,120 + 112a0: 00000213 li tp,0 + 112a4: 00000093 li ra,0 + 112a8: 00000013 nop + 112ac: fff00113 li sp,-1 + 112b0: 00209463 bne ra,sp,112b8 + 112b4: 0940106f j 12348 + 112b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112bc: 00200293 li t0,2 + 112c0: fe5212e3 bne tp,t0,112a4 + +000112c4 : + 112c4: 07900193 li gp,121 + 112c8: 00000213 li tp,0 + 112cc: 00000093 li ra,0 + 112d0: 00000013 nop + 112d4: fff00113 li sp,-1 + 112d8: 00000013 nop + 112dc: 00209463 bne ra,sp,112e4 + 112e0: 0680106f j 12348 + 112e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112e8: 00200293 li t0,2 + 112ec: fe5210e3 bne tp,t0,112cc + +000112f0 : + 112f0: 07a00193 li gp,122 + 112f4: 00000213 li tp,0 + 112f8: 00000093 li ra,0 + 112fc: 00000013 nop + 11300: 00000013 nop + 11304: fff00113 li sp,-1 + 11308: 00209463 bne ra,sp,11310 + 1130c: 03c0106f j 12348 + 11310: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11314: 00200293 li t0,2 + 11318: fe5210e3 bne tp,t0,112f8 + +0001131c : + 1131c: 00100093 li ra,1 + 11320: 00000a63 beqz zero,11334 + 11324: 00108093 addi ra,ra,1 + 11328: 00108093 addi ra,ra,1 + 1132c: 00108093 addi ra,ra,1 + 11330: 00108093 addi ra,ra,1 + 11334: 00108093 addi ra,ra,1 + 11338: 00108093 addi ra,ra,1 + 1133c: 00300e93 li t4,3 + 11340: 07b00193 li gp,123 + 11344: 01d08463 beq ra,t4,1134c + 11348: 0000106f j 12348 + +0001134c : + 1134c: 07c00193 li gp,124 + 11350: 00000093 li ra,0 + 11354: 00000113 li sp,0 + 11358: 0020d663 ble sp,ra,11364 + 1135c: 7e3016e3 bne zero,gp,12348 + 11360: 00301663 bne zero,gp,1136c + 11364: fe20dee3 ble sp,ra,11360 + 11368: 7e3010e3 bne zero,gp,12348 + +0001136c : + 1136c: 07d00193 li gp,125 + 11370: 00100093 li ra,1 + 11374: 00100113 li sp,1 + 11378: 0020d663 ble sp,ra,11384 + 1137c: 7c3016e3 bne zero,gp,12348 + 11380: 00301663 bne zero,gp,1138c + 11384: fe20dee3 ble sp,ra,11380 + 11388: 7c3010e3 bne zero,gp,12348 + +0001138c : + 1138c: 07e00193 li gp,126 + 11390: fff00093 li ra,-1 + 11394: fff00113 li sp,-1 + 11398: 0020d663 ble sp,ra,113a4 + 1139c: 7a3016e3 bne zero,gp,12348 + 113a0: 00301663 bne zero,gp,113ac + 113a4: fe20dee3 ble sp,ra,113a0 + 113a8: 7a3010e3 bne zero,gp,12348 + +000113ac : + 113ac: 07f00193 li gp,127 + 113b0: 00100093 li ra,1 + 113b4: 00000113 li sp,0 + 113b8: 0020d663 ble sp,ra,113c4 + 113bc: 783016e3 bne zero,gp,12348 + 113c0: 00301663 bne zero,gp,113cc + 113c4: fe20dee3 ble sp,ra,113c0 + 113c8: 783010e3 bne zero,gp,12348 + +000113cc : + 113cc: 08000193 li gp,128 + 113d0: 00100093 li ra,1 + 113d4: fff00113 li sp,-1 + 113d8: 0020d663 ble sp,ra,113e4 + 113dc: 763016e3 bne zero,gp,12348 + 113e0: 00301663 bne zero,gp,113ec #3 + 113e4: fe20dee3 ble sp,ra,113e0 #2 + 113e8: 763010e3 bne zero,gp,12348 + +000113ec : + 113ec: 08100193 li gp,129 + 113f0: fff00093 li ra,-1 + 113f4: ffe00113 li sp,-2 + 113f8: 0020d663 ble sp,ra,11404 + 113fc: 743016e3 bne zero,gp,12348 + 11400: 00301663 bne zero,gp,1140c + 11404: fe20dee3 ble sp,ra,11400 + 11408: 743010e3 bne zero,gp,12348 + +0001140c : + 1140c: 08200193 li gp,130 + 11410: 00000093 li ra,0 + 11414: 00100113 li sp,1 + 11418: 0020d463 ble sp,ra,11420 + 1141c: 00301463 bne zero,gp,11424 + 11420: 723014e3 bne zero,gp,12348 + 11424: fe20dee3 ble sp,ra,11420 + +00011428 : + 11428: 08300193 li gp,131 + 1142c: fff00093 li ra,-1 + 11430: 00100113 li sp,1 + 11434: 0020d463 ble sp,ra,1143c + 11438: 00301463 bne zero,gp,11440 + 1143c: 703016e3 bne zero,gp,12348 + 11440: fe20dee3 ble sp,ra,1143c + +00011444 : + 11444: 08400193 li gp,132 + 11448: ffe00093 li ra,-2 + 1144c: fff00113 li sp,-1 + 11450: 0020d463 ble sp,ra,11458 + 11454: 00301463 bne zero,gp,1145c + 11458: 6e3018e3 bne zero,gp,12348 + 1145c: fe20dee3 ble sp,ra,11458 + +00011460 : + 11460: 08500193 li gp,133 + 11464: ffe00093 li ra,-2 + 11468: 00100113 li sp,1 + 1146c: 0020d463 ble sp,ra,11474 + 11470: 00301463 bne zero,gp,11478 + 11474: 6c301ae3 bne zero,gp,12348 + 11478: fe20dee3 ble sp,ra,11474 + +0001147c : + 1147c: 08600193 li gp,134 + 11480: 00000213 li tp,0 + 11484: fff00093 li ra,-1 + 11488: 00000113 li sp,0 + 1148c: 6a20dee3 ble sp,ra,12348 + 11490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11494: 00200293 li t0,2 + 11498: fe5216e3 bne tp,t0,11484 + +0001149c : + 1149c: 08700193 li gp,135 + 114a0: 00000213 li tp,0 + 114a4: fff00093 li ra,-1 + 114a8: 00000113 li sp,0 + 114ac: 00000013 nop + 114b0: 6820dce3 ble sp,ra,12348 + 114b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114b8: 00200293 li t0,2 + 114bc: fe5214e3 bne tp,t0,114a4 + +000114c0 : + 114c0: 08800193 li gp,136 + 114c4: 00000213 li tp,0 + 114c8: fff00093 li ra,-1 + 114cc: 00000113 li sp,0 + 114d0: 00000013 nop + 114d4: 00000013 nop + 114d8: 6620d8e3 ble sp,ra,12348 + 114dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114e0: 00200293 li t0,2 + 114e4: fe5212e3 bne tp,t0,114c8 + +000114e8 : + 114e8: 08900193 li gp,137 + 114ec: 00000213 li tp,0 + 114f0: fff00093 li ra,-1 + 114f4: 00000013 nop + 114f8: 00000113 li sp,0 + 114fc: 6420d6e3 ble sp,ra,12348 + 11500: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11504: 00200293 li t0,2 + 11508: fe5214e3 bne tp,t0,114f0 + +0001150c : + 1150c: 08a00193 li gp,138 + 11510: 00000213 li tp,0 + 11514: fff00093 li ra,-1 + 11518: 00000013 nop + 1151c: 00000113 li sp,0 + 11520: 00000013 nop + 11524: 6220d2e3 ble sp,ra,12348 + 11528: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1152c: 00200293 li t0,2 + 11530: fe5212e3 bne tp,t0,11514 + +00011534 : + 11534: 08b00193 li gp,139 + 11538: 00000213 li tp,0 + 1153c: fff00093 li ra,-1 + 11540: 00000013 nop + 11544: 00000013 nop + 11548: 00000113 li sp,0 + 1154c: 5e20dee3 ble sp,ra,12348 + 11550: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11554: 00200293 li t0,2 + 11558: fe5212e3 bne tp,t0,1153c + +0001155c : + 1155c: 08c00193 li gp,140 + 11560: 00000213 li tp,0 + 11564: fff00093 li ra,-1 + 11568: 00000113 li sp,0 + 1156c: 5c20dee3 ble sp,ra,12348 + 11570: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11574: 00200293 li t0,2 + 11578: fe5216e3 bne tp,t0,11564 + +0001157c : + 1157c: 08d00193 li gp,141 + 11580: 00000213 li tp,0 + 11584: fff00093 li ra,-1 + 11588: 00000113 li sp,0 + 1158c: 00000013 nop + 11590: 5a20dce3 ble sp,ra,12348 + 11594: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11598: 00200293 li t0,2 + 1159c: fe5214e3 bne tp,t0,11584 + +000115a0 : + 115a0: 08e00193 li gp,142 + 115a4: 00000213 li tp,0 + 115a8: fff00093 li ra,-1 + 115ac: 00000113 li sp,0 + 115b0: 00000013 nop + 115b4: 00000013 nop + 115b8: 5820d8e3 ble sp,ra,12348 + 115bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 115c0: 00200293 li t0,2 + 115c4: fe5212e3 bne tp,t0,115a8 + +000115c8 : + 115c8: 08f00193 li gp,143 + 115cc: 00000213 li tp,0 + 115d0: fff00093 li ra,-1 + 115d4: 00000013 nop + 115d8: 00000113 li sp,0 + 115dc: 5620d6e3 ble sp,ra,12348 + 115e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 115e4: 00200293 li t0,2 + 115e8: fe5214e3 bne tp,t0,115d0 + +000115ec : + 115ec: 09000193 li gp,144 + 115f0: 00000213 li tp,0 + 115f4: fff00093 li ra,-1 + 115f8: 00000013 nop + 115fc: 00000113 li sp,0 + 11600: 00000013 nop + 11604: 5420d2e3 ble sp,ra,12348 + 11608: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1160c: 00200293 li t0,2 + 11610: fe5212e3 bne tp,t0,115f4 + +00011614 : + 11614: 09100193 li gp,145 + 11618: 00000213 li tp,0 + 1161c: fff00093 li ra,-1 + 11620: 00000013 nop + 11624: 00000013 nop + 11628: 00000113 li sp,0 + 1162c: 5020dee3 ble sp,ra,12348 + 11630: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11634: 00200293 li t0,2 + 11638: fe5212e3 bne tp,t0,1161c + +0001163c : + 1163c: 00100093 li ra,1 + 11640: 0000da63 bgez ra,11654 + 11644: 00108093 addi ra,ra,1 + 11648: 00108093 addi ra,ra,1 + 1164c: 00108093 addi ra,ra,1 + 11650: 00108093 addi ra,ra,1 + 11654: 00108093 addi ra,ra,1 + 11658: 00108093 addi ra,ra,1 + 1165c: 00300e93 li t4,3 + 11660: 09200193 li gp,146 + 11664: 4fd092e3 bne ra,t4,12348 + +00011668 : + 11668: 09300193 li gp,147 + 1166c: 00000093 li ra,0 + 11670: 00000113 li sp,0 + 11674: 0020f663 bleu sp,ra,11680 + 11678: 4c3018e3 bne zero,gp,12348 + 1167c: 00301663 bne zero,gp,11688 + 11680: fe20fee3 bleu sp,ra,1167c + 11684: 4c3012e3 bne zero,gp,12348 + +00011688 : + 11688: 09400193 li gp,148 + 1168c: 00100093 li ra,1 + 11690: 00100113 li sp,1 + 11694: 0020f663 bleu sp,ra,116a0 + 11698: 4a3018e3 bne zero,gp,12348 + 1169c: 00301663 bne zero,gp,116a8 + 116a0: fe20fee3 bleu sp,ra,1169c + 116a4: 4a3012e3 bne zero,gp,12348 + +000116a8 : + 116a8: 09500193 li gp,149 + 116ac: fff00093 li ra,-1 + 116b0: fff00113 li sp,-1 + 116b4: 0020f663 bleu sp,ra,116c0 + 116b8: 483018e3 bne zero,gp,12348 + 116bc: 00301663 bne zero,gp,116c8 + 116c0: fe20fee3 bleu sp,ra,116bc + 116c4: 483012e3 bne zero,gp,12348 + +000116c8 : + 116c8: 09600193 li gp,150 + 116cc: 00100093 li ra,1 + 116d0: 00000113 li sp,0 + 116d4: 0020f663 bleu sp,ra,116e0 + 116d8: 463018e3 bne zero,gp,12348 + 116dc: 00301663 bne zero,gp,116e8 + 116e0: fe20fee3 bleu sp,ra,116dc + 116e4: 463012e3 bne zero,gp,12348 + +000116e8 : + 116e8: 09700193 li gp,151 + 116ec: fff00093 li ra,-1 + 116f0: ffe00113 li sp,-2 + 116f4: 0020f663 bleu sp,ra,11700 + 116f8: 443018e3 bne zero,gp,12348 + 116fc: 00301663 bne zero,gp,11708 + 11700: fe20fee3 bleu sp,ra,116fc + 11704: 443012e3 bne zero,gp,12348 + +00011708 : + 11708: 09800193 li gp,152 + 1170c: fff00093 li ra,-1 + 11710: 00000113 li sp,0 + 11714: 0020f663 bleu sp,ra,11720 + 11718: 423018e3 bne zero,gp,12348 + 1171c: 00301663 bne zero,gp,11728 + 11720: fe20fee3 bleu sp,ra,1171c + 11724: 423012e3 bne zero,gp,12348 + +00011728 : + 11728: 09900193 li gp,153 + 1172c: 00000093 li ra,0 + 11730: 00100113 li sp,1 + 11734: 0020f463 bleu sp,ra,1173c + 11738: 00301463 bne zero,gp,11740 + 1173c: 403016e3 bne zero,gp,12348 + 11740: fe20fee3 bleu sp,ra,1173c + +00011744 : + 11744: 09a00193 li gp,154 + 11748: ffe00093 li ra,-2 + 1174c: fff00113 li sp,-1 + 11750: 0020f463 bleu sp,ra,11758 + 11754: 00301463 bne zero,gp,1175c + 11758: 3e3018e3 bne zero,gp,12348 + 1175c: fe20fee3 bleu sp,ra,11758 + +00011760 : + 11760: 09b00193 li gp,155 + 11764: 00000093 li ra,0 + 11768: fff00113 li sp,-1 + 1176c: 0020f463 bleu sp,ra,11774 + 11770: 00301463 bne zero,gp,11778 + 11774: 3c301ae3 bne zero,gp,12348 + 11778: fe20fee3 bleu sp,ra,11774 + +0001177c : + 1177c: 09c00193 li gp,156 + 11780: 800000b7 lui ra,0x80000 + 11784: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 11788: 80000137 lui sp,0x80000 + 1178c: 0020f463 bleu sp,ra,11794 + 11790: 00301463 bne zero,gp,11798 + 11794: 3a301ae3 bne zero,gp,12348 + 11798: fe20fee3 bleu sp,ra,11794 + +0001179c : + 1179c: 09d00193 li gp,157 + 117a0: 00000213 li tp,0 + 117a4: f00000b7 lui ra,0xf0000 + 117a8: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117ac: f0000137 lui sp,0xf0000 + 117b0: 3820fce3 bleu sp,ra,12348 + 117b4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117b8: 00200293 li t0,2 + 117bc: fe5214e3 bne tp,t0,117a4 + +000117c0 : + 117c0: 09e00193 li gp,158 + 117c4: 00000213 li tp,0 + 117c8: f00000b7 lui ra,0xf0000 + 117cc: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117d0: f0000137 lui sp,0xf0000 + 117d4: 00000013 nop + 117d8: 3620f8e3 bleu sp,ra,12348 + 117dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117e0: 00200293 li t0,2 + 117e4: fe5212e3 bne tp,t0,117c8 + +000117e8 : + 117e8: 09f00193 li gp,159 + 117ec: 00000213 li tp,0 + 117f0: f00000b7 lui ra,0xf0000 + 117f4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 117f8: f0000137 lui sp,0xf0000 + 117fc: 00000013 nop + 11800: 00000013 nop + 11804: 3420f2e3 bleu sp,ra,12348 + 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1180c: 00200293 li t0,2 + 11810: fe5210e3 bne tp,t0,117f0 + +00011814 : + 11814: 0a000193 li gp,160 + 11818: 00000213 li tp,0 + 1181c: f00000b7 lui ra,0xf0000 + 11820: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11824: 00000013 nop + 11828: f0000137 lui sp,0xf0000 + 1182c: 3020fee3 bleu sp,ra,12348 + 11830: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11834: 00200293 li t0,2 + 11838: fe5212e3 bne tp,t0,1181c + +0001183c : + 1183c: 0a100193 li gp,161 + 11840: 00000213 li tp,0 + 11844: f00000b7 lui ra,0xf0000 + 11848: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 1184c: 00000013 nop + 11850: f0000137 lui sp,0xf0000 + 11854: 00000013 nop + 11858: 2e20f8e3 bleu sp,ra,12348 + 1185c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11860: 00200293 li t0,2 + 11864: fe5210e3 bne tp,t0,11844 + +00011868 : + 11868: 0a200193 li gp,162 + 1186c: 00000213 li tp,0 + 11870: f00000b7 lui ra,0xf0000 + 11874: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11878: 00000013 nop + 1187c: 00000013 nop + 11880: f0000137 lui sp,0xf0000 + 11884: 2c20f2e3 bleu sp,ra,12348 + 11888: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1188c: 00200293 li t0,2 + 11890: fe5210e3 bne tp,t0,11870 + +00011894 : + 11894: 0a300193 li gp,163 + 11898: 00000213 li tp,0 + 1189c: f00000b7 lui ra,0xf0000 + 118a0: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118a4: f0000137 lui sp,0xf0000 + 118a8: 2a20f0e3 bleu sp,ra,12348 + 118ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118b0: 00200293 li t0,2 + 118b4: fe5214e3 bne tp,t0,1189c + +000118b8 : + 118b8: 0a400193 li gp,164 + 118bc: 00000213 li tp,0 + 118c0: f00000b7 lui ra,0xf0000 + 118c4: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118c8: f0000137 lui sp,0xf0000 + 118cc: 00000013 nop + 118d0: 2620fce3 bleu sp,ra,12348 + 118d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118d8: 00200293 li t0,2 + 118dc: fe5212e3 bne tp,t0,118c0 + +000118e0 : + 118e0: 0a500193 li gp,165 + 118e4: 00000213 li tp,0 + 118e8: f00000b7 lui ra,0xf0000 + 118ec: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 118f0: f0000137 lui sp,0xf0000 + 118f4: 00000013 nop + 118f8: 00000013 nop + 118fc: 2420f6e3 bleu sp,ra,12348 + 11900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11904: 00200293 li t0,2 + 11908: fe5210e3 bne tp,t0,118e8 + +0001190c : + 1190c: 0a600193 li gp,166 + 11910: 00000213 li tp,0 + 11914: f00000b7 lui ra,0xf0000 + 11918: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 1191c: 00000013 nop + 11920: f0000137 lui sp,0xf0000 + 11924: 2220f2e3 bleu sp,ra,12348 + 11928: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1192c: 00200293 li t0,2 + 11930: fe5212e3 bne tp,t0,11914 + +00011934 : + 11934: 0a700193 li gp,167 + 11938: 00000213 li tp,0 + 1193c: f00000b7 lui ra,0xf0000 + 11940: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11944: 00000013 nop + 11948: f0000137 lui sp,0xf0000 + 1194c: 00000013 nop + 11950: 1e20fce3 bleu sp,ra,12348 + 11954: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11958: 00200293 li t0,2 + 1195c: fe5210e3 bne tp,t0,1193c + +00011960 : + 11960: 0a800193 li gp,168 + 11964: 00000213 li tp,0 + 11968: f00000b7 lui ra,0xf0000 + 1196c: fff08093 addi ra,ra,-1 # efffffff <__global_pointer$+0xeffec377> + 11970: 00000013 nop + 11974: 00000013 nop + 11978: f0000137 lui sp,0xf0000 + 1197c: 1c20f6e3 bleu sp,ra,12348 + 11980: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11984: 00200293 li t0,2 + 11988: fe5210e3 bne tp,t0,11968 + +0001198c : + 1198c: 00100093 li ra,1 + 11990: 0000fa63 bleu zero,ra,119a4 + 11994: 00108093 addi ra,ra,1 + 11998: 00108093 addi ra,ra,1 + 1199c: 00108093 addi ra,ra,1 + 119a0: 00108093 addi ra,ra,1 + 119a4: 00108093 addi ra,ra,1 + 119a8: 00108093 addi ra,ra,1 + 119ac: 00300e93 li t4,3 + 119b0: 0a900193 li gp,169 + 119b4: 19d09ae3 bne ra,t4,12348 + +000119b8 : + 119b8: 0aa00193 li gp,170 + 119bc: 00000093 li ra,0 + 119c0: 00100113 li sp,1 + 119c4: 0020c663 blt ra,sp,119d0 + 119c8: 183010e3 bne zero,gp,12348 + 119cc: 00301663 bne zero,gp,119d8 + 119d0: fe20cee3 blt ra,sp,119cc + 119d4: 16301ae3 bne zero,gp,12348 + +000119d8 : + 119d8: 0ab00193 li gp,171 + 119dc: fff00093 li ra,-1 + 119e0: 00100113 li sp,1 + 119e4: 0020c663 blt ra,sp,119f0 + 119e8: 163010e3 bne zero,gp,12348 + 119ec: 00301663 bne zero,gp,119f8 + 119f0: fe20cee3 blt ra,sp,119ec + 119f4: 14301ae3 bne zero,gp,12348 + +000119f8 : + 119f8: 0ac00193 li gp,172 + 119fc: ffe00093 li ra,-2 + 11a00: fff00113 li sp,-1 + 11a04: 0020c663 blt ra,sp,11a10 + 11a08: 143010e3 bne zero,gp,12348 + 11a0c: 00301663 bne zero,gp,11a18 + 11a10: fe20cee3 blt ra,sp,11a0c + 11a14: 12301ae3 bne zero,gp,12348 + +00011a18 : + 11a18: 0ad00193 li gp,173 + 11a1c: 00100093 li ra,1 + 11a20: 00000113 li sp,0 + 11a24: 0020c463 blt ra,sp,11a2c + 11a28: 00301463 bne zero,gp,11a30 + 11a2c: 10301ee3 bne zero,gp,12348 + 11a30: fe20cee3 blt ra,sp,11a2c + +00011a34 : + 11a34: 0ae00193 li gp,174 + 11a38: 00100093 li ra,1 + 11a3c: fff00113 li sp,-1 + 11a40: 0020c463 blt ra,sp,11a48 + 11a44: 00301463 bne zero,gp,11a4c + 11a48: 103010e3 bne zero,gp,12348 + 11a4c: fe20cee3 blt ra,sp,11a48 + +00011a50 : + 11a50: 0af00193 li gp,175 + 11a54: fff00093 li ra,-1 + 11a58: ffe00113 li sp,-2 + 11a5c: 0020c463 blt ra,sp,11a64 + 11a60: 00301463 bne zero,gp,11a68 + 11a64: 0e3012e3 bne zero,gp,12348 + 11a68: fe20cee3 blt ra,sp,11a64 + +00011a6c : + 11a6c: 0b000193 li gp,176 + 11a70: 00100093 li ra,1 + 11a74: ffe00113 li sp,-2 + 11a78: 0020c463 blt ra,sp,11a80 + 11a7c: 00301463 bne zero,gp,11a84 + 11a80: 0c3014e3 bne zero,gp,12348 + 11a84: fe20cee3 blt ra,sp,11a80 + +00011a88 : + 11a88: 0b100193 li gp,177 + 11a8c: 00000213 li tp,0 + 11a90: 00000093 li ra,0 + 11a94: fff00113 li sp,-1 + 11a98: 0a20c8e3 blt ra,sp,12348 + 11a9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11aa0: 00200293 li t0,2 + 11aa4: fe5216e3 bne tp,t0,11a90 + +00011aa8 : + 11aa8: 0b200193 li gp,178 + 11aac: 00000213 li tp,0 + 11ab0: 00000093 li ra,0 + 11ab4: fff00113 li sp,-1 + 11ab8: 00000013 nop + 11abc: 0820c6e3 blt ra,sp,12348 + 11ac0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ac4: 00200293 li t0,2 + 11ac8: fe5214e3 bne tp,t0,11ab0 + +00011acc : + 11acc: 0b300193 li gp,179 + 11ad0: 00000213 li tp,0 + 11ad4: 00000093 li ra,0 + 11ad8: fff00113 li sp,-1 + 11adc: 00000013 nop + 11ae0: 00000013 nop + 11ae4: 0620c2e3 blt ra,sp,12348 + 11ae8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11aec: 00200293 li t0,2 + 11af0: fe5212e3 bne tp,t0,11ad4 + +00011af4 : + 11af4: 0b400193 li gp,180 + 11af8: 00000213 li tp,0 + 11afc: 00000093 li ra,0 + 11b00: 00000013 nop + 11b04: fff00113 li sp,-1 + 11b08: 0420c0e3 blt ra,sp,12348 + 11b0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b10: 00200293 li t0,2 + 11b14: fe5214e3 bne tp,t0,11afc + +00011b18 : + 11b18: 0b500193 li gp,181 + 11b1c: 00000213 li tp,0 + 11b20: 00000093 li ra,0 + 11b24: 00000013 nop + 11b28: fff00113 li sp,-1 + 11b2c: 00000013 nop + 11b30: 0020cce3 blt ra,sp,12348 + 11b34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b38: 00200293 li t0,2 + 11b3c: fe5212e3 bne tp,t0,11b20 + +00011b40 : + 11b40: 0b600193 li gp,182 + 11b44: 00000213 li tp,0 + 11b48: 00000093 li ra,0 + 11b4c: 00000013 nop + 11b50: 00000013 nop + 11b54: fff00113 li sp,-1 + 11b58: 7e20c863 blt ra,sp,12348 + 11b5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b60: 00200293 li t0,2 + 11b64: fe5212e3 bne tp,t0,11b48 + +00011b68 : + 11b68: 0b700193 li gp,183 + 11b6c: 00000213 li tp,0 + 11b70: 00000093 li ra,0 + 11b74: fff00113 li sp,-1 + 11b78: 7c20c863 blt ra,sp,12348 + 11b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11b80: 00200293 li t0,2 + 11b84: fe5216e3 bne tp,t0,11b70 + +00011b88 : + 11b88: 0b800193 li gp,184 + 11b8c: 00000213 li tp,0 + 11b90: 00000093 li ra,0 + 11b94: fff00113 li sp,-1 + 11b98: 00000013 nop + 11b9c: 7a20c663 blt ra,sp,12348 + 11ba0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ba4: 00200293 li t0,2 + 11ba8: fe5214e3 bne tp,t0,11b90 + +00011bac : + 11bac: 0b900193 li gp,185 + 11bb0: 00000213 li tp,0 + 11bb4: 00000093 li ra,0 + 11bb8: fff00113 li sp,-1 + 11bbc: 00000013 nop + 11bc0: 00000013 nop + 11bc4: 7820c263 blt ra,sp,12348 + 11bc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bcc: 00200293 li t0,2 + 11bd0: fe5212e3 bne tp,t0,11bb4 + +00011bd4 : + 11bd4: 0ba00193 li gp,186 + 11bd8: 00000213 li tp,0 + 11bdc: 00000093 li ra,0 + 11be0: 00000013 nop + 11be4: fff00113 li sp,-1 + 11be8: 7620c063 blt ra,sp,12348 + 11bec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bf0: 00200293 li t0,2 + 11bf4: fe5214e3 bne tp,t0,11bdc + +00011bf8 : + 11bf8: 0bb00193 li gp,187 + 11bfc: 00000213 li tp,0 + 11c00: 00000093 li ra,0 + 11c04: 00000013 nop + 11c08: fff00113 li sp,-1 + 11c0c: 00000013 nop + 11c10: 7220cc63 blt ra,sp,12348 + 11c14: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c18: 00200293 li t0,2 + 11c1c: fe5212e3 bne tp,t0,11c00 + +00011c20 : + 11c20: 0bc00193 li gp,188 + 11c24: 00000213 li tp,0 + 11c28: 00000093 li ra,0 + 11c2c: 00000013 nop + 11c30: 00000013 nop + 11c34: fff00113 li sp,-1 + 11c38: 7020c863 blt ra,sp,12348 + 11c3c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c40: 00200293 li t0,2 + 11c44: fe5212e3 bne tp,t0,11c28 + +00011c48 : + 11c48: 00100093 li ra,1 + 11c4c: 00104a63 bgtz ra,11c60 + 11c50: 00108093 addi ra,ra,1 + 11c54: 00108093 addi ra,ra,1 + 11c58: 00108093 addi ra,ra,1 + 11c5c: 00108093 addi ra,ra,1 + 11c60: 00108093 addi ra,ra,1 + 11c64: 00108093 addi ra,ra,1 + 11c68: 00300e93 li t4,3 + 11c6c: 0bd00193 li gp,189 + 11c70: 6dd09c63 bne ra,t4,12348 + +00011c74 : + 11c74: 0be00193 li gp,190 + 11c78: 00000093 li ra,0 + 11c7c: 00100113 li sp,1 + 11c80: 0020e663 bltu ra,sp,11c8c + 11c84: 6c301263 bne zero,gp,12348 + 11c88: 00301663 bne zero,gp,11c94 + 11c8c: fe20eee3 bltu ra,sp,11c88 + 11c90: 6a301c63 bne zero,gp,12348 + +00011c94 : + 11c94: 0bf00193 li gp,191 + 11c98: ffe00093 li ra,-2 + 11c9c: fff00113 li sp,-1 + 11ca0: 0020e663 bltu ra,sp,11cac + 11ca4: 6a301263 bne zero,gp,12348 + 11ca8: 00301663 bne zero,gp,11cb4 + 11cac: fe20eee3 bltu ra,sp,11ca8 + 11cb0: 68301c63 bne zero,gp,12348 + +00011cb4 : + 11cb4: 0c000193 li gp,192 + 11cb8: 00000093 li ra,0 + 11cbc: fff00113 li sp,-1 + 11cc0: 0020e663 bltu ra,sp,11ccc + 11cc4: 68301263 bne zero,gp,12348 + 11cc8: 00301663 bne zero,gp,11cd4 + 11ccc: fe20eee3 bltu ra,sp,11cc8 + 11cd0: 66301c63 bne zero,gp,12348 + +00011cd4 : + 11cd4: 0c100193 li gp,193 + 11cd8: 00100093 li ra,1 + 11cdc: 00000113 li sp,0 + 11ce0: 0020e463 bltu ra,sp,11ce8 + 11ce4: 00301463 bne zero,gp,11cec + 11ce8: 66301063 bne zero,gp,12348 + 11cec: fe20eee3 bltu ra,sp,11ce8 + +00011cf0 : + 11cf0: 0c200193 li gp,194 + 11cf4: fff00093 li ra,-1 + 11cf8: ffe00113 li sp,-2 + 11cfc: 0020e463 bltu ra,sp,11d04 + 11d00: 00301463 bne zero,gp,11d08 + 11d04: 64301263 bne zero,gp,12348 + 11d08: fe20eee3 bltu ra,sp,11d04 + +00011d0c : + 11d0c: 0c300193 li gp,195 + 11d10: fff00093 li ra,-1 + 11d14: 00000113 li sp,0 + 11d18: 0020e463 bltu ra,sp,11d20 + 11d1c: 00301463 bne zero,gp,11d24 + 11d20: 62301463 bne zero,gp,12348 + 11d24: fe20eee3 bltu ra,sp,11d20 + +00011d28 : + 11d28: 0c400193 li gp,196 + 11d2c: 800000b7 lui ra,0x80000 + 11d30: 80000137 lui sp,0x80000 + 11d34: fff10113 addi sp,sp,-1 # 7fffffff <__global_pointer$+0x7ffec377> + 11d38: 0020e463 bltu ra,sp,11d40 + 11d3c: 00301463 bne zero,gp,11d44 + 11d40: 60301463 bne zero,gp,12348 + 11d44: fe20eee3 bltu ra,sp,11d40 + +00011d48 : + 11d48: 0c500193 li gp,197 + 11d4c: 00000213 li tp,0 + 11d50: f00000b7 lui ra,0xf0000 + 11d54: f0000137 lui sp,0xf0000 + 11d58: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11d5c: 5e20e663 bltu ra,sp,12348 + 11d60: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d64: 00200293 li t0,2 + 11d68: fe5214e3 bne tp,t0,11d50 + +00011d6c : + 11d6c: 0c600193 li gp,198 + 11d70: 00000213 li tp,0 + 11d74: f00000b7 lui ra,0xf0000 + 11d78: f0000137 lui sp,0xf0000 + 11d7c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11d80: 00000013 nop + 11d84: 5c20e263 bltu ra,sp,12348 + 11d88: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d8c: 00200293 li t0,2 + 11d90: fe5212e3 bne tp,t0,11d74 + +00011d94 : + 11d94: 0c700193 li gp,199 + 11d98: 00000213 li tp,0 + 11d9c: f00000b7 lui ra,0xf0000 + 11da0: f0000137 lui sp,0xf0000 + 11da4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11da8: 00000013 nop + 11dac: 00000013 nop + 11db0: 5820ec63 bltu ra,sp,12348 + 11db4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11db8: 00200293 li t0,2 + 11dbc: fe5210e3 bne tp,t0,11d9c + +00011dc0 : + 11dc0: 0c800193 li gp,200 + 11dc4: 00000213 li tp,0 + 11dc8: f00000b7 lui ra,0xf0000 + 11dcc: 00000013 nop + 11dd0: f0000137 lui sp,0xf0000 + 11dd4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11dd8: 5620e863 bltu ra,sp,12348 + 11ddc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11de0: 00200293 li t0,2 + 11de4: fe5212e3 bne tp,t0,11dc8 + +00011de8 : + 11de8: 0c900193 li gp,201 + 11dec: 00000213 li tp,0 + 11df0: f00000b7 lui ra,0xf0000 + 11df4: 00000013 nop + 11df8: f0000137 lui sp,0xf0000 + 11dfc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e00: 00000013 nop + 11e04: 5420e263 bltu ra,sp,12348 + 11e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e0c: 00200293 li t0,2 + 11e10: fe5210e3 bne tp,t0,11df0 + +00011e14 : + 11e14: 0ca00193 li gp,202 + 11e18: 00000213 li tp,0 + 11e1c: f00000b7 lui ra,0xf0000 + 11e20: 00000013 nop + 11e24: 00000013 nop + 11e28: f0000137 lui sp,0xf0000 + 11e2c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e30: 5020ec63 bltu ra,sp,12348 + 11e34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e38: 00200293 li t0,2 + 11e3c: fe5210e3 bne tp,t0,11e1c + +00011e40 : + 11e40: 0cb00193 li gp,203 + 11e44: 00000213 li tp,0 + 11e48: f00000b7 lui ra,0xf0000 + 11e4c: f0000137 lui sp,0xf0000 + 11e50: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e54: 4e20ea63 bltu ra,sp,12348 + 11e58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e5c: 00200293 li t0,2 + 11e60: fe5214e3 bne tp,t0,11e48 + +00011e64 : + 11e64: 0cc00193 li gp,204 + 11e68: 00000213 li tp,0 + 11e6c: f00000b7 lui ra,0xf0000 + 11e70: f0000137 lui sp,0xf0000 + 11e74: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11e78: 00000013 nop + 11e7c: 4c20e663 bltu ra,sp,12348 + 11e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e84: 00200293 li t0,2 + 11e88: fe5212e3 bne tp,t0,11e6c + +00011e8c : + 11e8c: 0cd00193 li gp,205 + 11e90: 00000213 li tp,0 + 11e94: f00000b7 lui ra,0xf0000 + 11e98: f0000137 lui sp,0xf0000 + 11e9c: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ea0: 00000013 nop + 11ea4: 00000013 nop + 11ea8: 4a20e063 bltu ra,sp,12348 + 11eac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11eb0: 00200293 li t0,2 + 11eb4: fe5210e3 bne tp,t0,11e94 + +00011eb8 : + 11eb8: 0ce00193 li gp,206 + 11ebc: 00000213 li tp,0 + 11ec0: f00000b7 lui ra,0xf0000 + 11ec4: 00000013 nop + 11ec8: f0000137 lui sp,0xf0000 + 11ecc: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ed0: 4620ec63 bltu ra,sp,12348 + 11ed4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ed8: 00200293 li t0,2 + 11edc: fe5212e3 bne tp,t0,11ec0 + +00011ee0 : + 11ee0: 0cf00193 li gp,207 + 11ee4: 00000213 li tp,0 + 11ee8: f00000b7 lui ra,0xf0000 + 11eec: 00000013 nop + 11ef0: f0000137 lui sp,0xf0000 + 11ef4: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11ef8: 00000013 nop + 11efc: 4420e663 bltu ra,sp,12348 + 11f00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11f04: 00200293 li t0,2 + 11f08: fe5210e3 bne tp,t0,11ee8 + +00011f0c : + 11f0c: 0d000193 li gp,208 + 11f10: 00000213 li tp,0 + 11f14: f00000b7 lui ra,0xf0000 + 11f18: 00000013 nop + 11f1c: 00000013 nop + 11f20: f0000137 lui sp,0xf0000 + 11f24: fff10113 addi sp,sp,-1 # efffffff <__global_pointer$+0xeffec377> + 11f28: 4220e063 bltu ra,sp,12348 + 11f2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11f30: 00200293 li t0,2 + 11f34: fe5210e3 bne tp,t0,11f14 + +00011f38 : + 11f38: 00100093 li ra,1 + 11f3c: 00106a63 bltu zero,ra,11f50 + 11f40: 00108093 addi ra,ra,1 # f0000001 <__global_pointer$+0xeffec379> + 11f44: 00108093 addi ra,ra,1 + 11f48: 00108093 addi ra,ra,1 + 11f4c: 00108093 addi ra,ra,1 + 11f50: 00108093 addi ra,ra,1 + 11f54: 00108093 addi ra,ra,1 + 11f58: 00300e93 li t4,3 + 11f5c: 0d100193 li gp,209 + 11f60: 3fd09463 bne ra,t4,12348 + +00011f64 : + 11f64: 0d200193 li gp,210 + 11f68: 00000093 li ra,0 + 11f6c: 00100113 li sp,1 + 11f70: 00209663 bne ra,sp,11f7c + 11f74: 3c301a63 bne zero,gp,12348 + 11f78: 00301663 bne zero,gp,11f84 + 11f7c: fe209ee3 bne ra,sp,11f78 + 11f80: 3c301463 bne zero,gp,12348 + +00011f84 : + 11f84: 0d300193 li gp,211 + 11f88: 00100093 li ra,1 + 11f8c: 00000113 li sp,0 + 11f90: 00209663 bne ra,sp,11f9c + 11f94: 3a301a63 bne zero,gp,12348 + 11f98: 00301663 bne zero,gp,11fa4 + 11f9c: fe209ee3 bne ra,sp,11f98 + 11fa0: 3a301463 bne zero,gp,12348 + +00011fa4 : + 11fa4: 0d400193 li gp,212 + 11fa8: fff00093 li ra,-1 + 11fac: 00100113 li sp,1 + 11fb0: 00209663 bne ra,sp,11fbc + 11fb4: 38301a63 bne zero,gp,12348 + 11fb8: 00301663 bne zero,gp,11fc4 + 11fbc: fe209ee3 bne ra,sp,11fb8 + 11fc0: 38301463 bne zero,gp,12348 + +00011fc4 : + 11fc4: 0d500193 li gp,213 + 11fc8: 00100093 li ra,1 + 11fcc: fff00113 li sp,-1 + 11fd0: 00209663 bne ra,sp,11fdc + 11fd4: 36301a63 bne zero,gp,12348 + 11fd8: 00301663 bne zero,gp,11fe4 + 11fdc: fe209ee3 bne ra,sp,11fd8 + 11fe0: 36301463 bne zero,gp,12348 + +00011fe4 : + 11fe4: 0d600193 li gp,214 + 11fe8: 00000093 li ra,0 + 11fec: 00000113 li sp,0 + 11ff0: 00209463 bne ra,sp,11ff8 + 11ff4: 00301463 bne zero,gp,11ffc + 11ff8: 34301863 bne zero,gp,12348 + 11ffc: fe209ee3 bne ra,sp,11ff8 + +00012000 : + 12000: 0d700193 li gp,215 + 12004: 00100093 li ra,1 + 12008: 00100113 li sp,1 + 1200c: 00209463 bne ra,sp,12014 + 12010: 00301463 bne zero,gp,12018 + 12014: 32301a63 bne zero,gp,12348 + 12018: fe209ee3 bne ra,sp,12014 + +0001201c : + 1201c: 0d800193 li gp,216 + 12020: fff00093 li ra,-1 + 12024: fff00113 li sp,-1 + 12028: 00209463 bne ra,sp,12030 + 1202c: 00301463 bne zero,gp,12034 + 12030: 30301c63 bne zero,gp,12348 + 12034: fe209ee3 bne ra,sp,12030 + +00012038 : + 12038: 0d900193 li gp,217 + 1203c: 00000213 li tp,0 + 12040: 00000093 li ra,0 + 12044: 00000113 li sp,0 + 12048: 30209063 bne ra,sp,12348 + 1204c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12050: 00200293 li t0,2 + 12054: fe5216e3 bne tp,t0,12040 + +00012058 : + 12058: 0da00193 li gp,218 + 1205c: 00000213 li tp,0 + 12060: 00000093 li ra,0 + 12064: 00000113 li sp,0 + 12068: 00000013 nop + 1206c: 2c209e63 bne ra,sp,12348 + 12070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12074: 00200293 li t0,2 + 12078: fe5214e3 bne tp,t0,12060 + +0001207c : + 1207c: 0db00193 li gp,219 + 12080: 00000213 li tp,0 + 12084: 00000093 li ra,0 + 12088: 00000113 li sp,0 + 1208c: 00000013 nop + 12090: 00000013 nop + 12094: 2a209a63 bne ra,sp,12348 + 12098: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1209c: 00200293 li t0,2 + 120a0: fe5212e3 bne tp,t0,12084 + +000120a4 : + 120a4: 0dc00193 li gp,220 + 120a8: 00000213 li tp,0 + 120ac: 00000093 li ra,0 + 120b0: 00000013 nop + 120b4: 00000113 li sp,0 + 120b8: 28209863 bne ra,sp,12348 + 120bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c0: 00200293 li t0,2 + 120c4: fe5214e3 bne tp,t0,120ac + +000120c8 : + 120c8: 0dd00193 li gp,221 + 120cc: 00000213 li tp,0 + 120d0: 00000093 li ra,0 + 120d4: 00000013 nop + 120d8: 00000113 li sp,0 + 120dc: 00000013 nop + 120e0: 26209463 bne ra,sp,12348 + 120e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120e8: 00200293 li t0,2 + 120ec: fe5212e3 bne tp,t0,120d0 + +000120f0 : + 120f0: 0de00193 li gp,222 + 120f4: 00000213 li tp,0 + 120f8: 00000093 li ra,0 + 120fc: 00000013 nop + 12100: 00000013 nop + 12104: 00000113 li sp,0 + 12108: 24209063 bne ra,sp,12348 + 1210c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12110: 00200293 li t0,2 + 12114: fe5212e3 bne tp,t0,120f8 + +00012118 : + 12118: 0df00193 li gp,223 + 1211c: 00000213 li tp,0 + 12120: 00000093 li ra,0 + 12124: 00000113 li sp,0 + 12128: 22209063 bne ra,sp,12348 + 1212c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12130: 00200293 li t0,2 + 12134: fe5216e3 bne tp,t0,12120 + +00012138 : + 12138: 0e000193 li gp,224 + 1213c: 00000213 li tp,0 + 12140: 00000093 li ra,0 + 12144: 00000113 li sp,0 + 12148: 00000013 nop + 1214c: 1e209e63 bne ra,sp,12348 + 12150: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12154: 00200293 li t0,2 + 12158: fe5214e3 bne tp,t0,12140 + +0001215c : + 1215c: 0e100193 li gp,225 + 12160: 00000213 li tp,0 + 12164: 00000093 li ra,0 + 12168: 00000113 li sp,0 + 1216c: 00000013 nop + 12170: 00000013 nop + 12174: 1c209a63 bne ra,sp,12348 + 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1217c: 00200293 li t0,2 + 12180: fe5212e3 bne tp,t0,12164 + +00012184 : + 12184: 0e200193 li gp,226 + 12188: 00000213 li tp,0 + 1218c: 00000093 li ra,0 + 12190: 00000013 nop + 12194: 00000113 li sp,0 + 12198: 1a209863 bne ra,sp,12348 + 1219c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121a0: 00200293 li t0,2 + 121a4: fe5214e3 bne tp,t0,1218c + +000121a8 : + 121a8: 0e300193 li gp,227 + 121ac: 00000213 li tp,0 + 121b0: 00000093 li ra,0 + 121b4: 00000013 nop + 121b8: 00000113 li sp,0 + 121bc: 00000013 nop + 121c0: 18209463 bne ra,sp,12348 + 121c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121c8: 00200293 li t0,2 + 121cc: fe5212e3 bne tp,t0,121b0 + +000121d0 : + 121d0: 0e400193 li gp,228 + 121d4: 00000213 li tp,0 + 121d8: 00000093 li ra,0 + 121dc: 00000013 nop + 121e0: 00000013 nop + 121e4: 00000113 li sp,0 + 121e8: 16209063 bne ra,sp,12348 + 121ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121f0: 00200293 li t0,2 + 121f4: fe5212e3 bne tp,t0,121d8 + +000121f8 : + 121f8: 00100093 li ra,1 + 121fc: 00009a63 bnez ra,12210 + 12200: 00108093 addi ra,ra,1 + 12204: 00108093 addi ra,ra,1 + 12208: 00108093 addi ra,ra,1 + 1220c: 00108093 addi ra,ra,1 + 12210: 00108093 addi ra,ra,1 + 12214: 00108093 addi ra,ra,1 + 12218: 00300e93 li t4,3 + 1221c: 0e500193 li gp,229 + 12220: 13d09463 bne ra,t4,12348 + +00012224 : + 12224: 00200193 li gp,2 + 12228: 00000093 li ra,0 + 1222c: 0100026f jal tp,1223c + +00012230 : + 12230: 00000013 nop + 12234: 00000013 nop + 12238: 1100006f j 12348 + +0001223c : + 1223c: 00000317 auipc t1,0x0 + 12240: ff430313 addi t1,t1,-12 # 12230 + 12244: 10431263 bne t1,tp,12348 + +00012248 : + 12248: 00100093 li ra,1 + 1224c: 0140006f j 12260 + 12250: 00108093 addi ra,ra,1 + 12254: 00108093 addi ra,ra,1 + 12258: 00108093 addi ra,ra,1 + 1225c: 00108093 addi ra,ra,1 + 12260: 00108093 addi ra,ra,1 + 12264: 00108093 addi ra,ra,1 + 12268: 00300e93 li t4,3 + 1226c: 0e800193 li gp,232 + 12270: 0dd09c63 bne ra,t4,12348 + +00012274 : + 12274: 00200193 li gp,2 + 12278: 00000293 li t0,0 + 1227c: 00000317 auipc t1,0x0 + 12280: 01030313 addi t1,t1,16 # 1228c + 12284: 000302e7 jalr t0,t1 + +00012288 : + 12288: 0c00006f j 12348 + +0001228c : + 1228c: 00000317 auipc t1,0x0 + 12290: ffc30313 addi t1,t1,-4 # 12288 + 12294: 0a629a63 bne t0,t1,12348 + +00012298 : + 12298: 0e900193 li gp,233 + 1229c: 00000213 li tp,0 + 122a0: 00000317 auipc t1,0x0 + 122a4: 01030313 addi t1,t1,16 # 122b0 + 122a8: 000309e7 jalr s3,t1 + 122ac: 08301e63 bne zero,gp,12348 + 122b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122b4: 00200293 li t0,2 + 122b8: fe5214e3 bne tp,t0,122a0 + +000122bc : + 122bc: 0ea00193 li gp,234 + 122c0: 00000213 li tp,0 + 122c4: 00000317 auipc t1,0x0 + 122c8: 01430313 addi t1,t1,20 # 122d8 + 122cc: 00000013 nop + 122d0: 000309e7 jalr s3,t1 + 122d4: 06301a63 bne zero,gp,12348 + 122d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122dc: 00200293 li t0,2 + 122e0: fe5212e3 bne tp,t0,122c4 + +000122e4 : + 122e4: 0eb00193 li gp,235 + 122e8: 00000213 li tp,0 + 122ec: 00000317 auipc t1,0x0 + 122f0: 01830313 addi t1,t1,24 # 12304 + 122f4: 00000013 nop + 122f8: 00000013 nop + 122fc: 000309e7 jalr s3,t1 + 12300: 04301463 bne zero,gp,12348 + 12304: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12308: 00200293 li t0,2 + 1230c: fe5210e3 bne tp,t0,122ec + +00012310 : + 12310: 00100293 li t0,1 + 12314: 00000317 auipc t1,0x0 + 12318: 01c30313 addi t1,t1,28 # 12330 + 1231c: ffc30067 jr -4(t1) + 12320: 00128293 addi t0,t0,1 + 12324: 00128293 addi t0,t0,1 + 12328: 00128293 addi t0,t0,1 + 1232c: 00128293 addi t0,t0,1 + 12330: 00128293 addi t0,t0,1 + 12334: 00128293 addi t0,t0,1 + 12338: 00400e93 li t4,4 + 1233c: 0ec00193 li gp,236 + 12340: 01d29463 bne t0,t4,12348 + 12344: 00301463 bne zero,gp,1234c + +00012348 : + 12348: 00000a6f jal s4,12348 + +0001234c : + 1234c: 00100193 li gp,1 + +00012350 : + 12350: 00000a6f jal s4,12350 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt b/SIM-CPU/rv32i_test/a_instr_stream.txt similarity index 66% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt rename to SIM-CPU/rv32i_test/a_instr_stream.txt index 30285d1..2122ab2 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testA_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/a_instr_stream.txt @@ -1,3330 +1,2228 @@ -00000013 -00000093 -00000113 -00208f33 -00000e93 -00200193 -01df0463 -2ac0206f -00100093 -00100113 -00208f33 -00200e93 -00300193 -01df0463 -2900206f -00300093 -00700113 -00208f33 -00a00e93 -00400193 -01df0463 -2740206f -00000093 -ffff8137 -00208f33 -ffff8eb7 -00500193 -01df0463 -2580206f -800000b7 -00000113 -00208f33 -80000eb7 -00600193 -01df0463 -23c0206f -800000b7 -ffff8137 -00208f33 -7fff8eb7 -00700193 -01df0463 -2200206f -00000093 -00008137 -fff10113 -00208f33 -00008eb7 -fffe8e93 -00800193 -01df0463 -1fc0206f -800000b7 -fff08093 -00000113 -00208f33 -80000eb7 -fffe8e93 -00900193 -01df0463 -1d80206f -800000b7 -fff08093 -00008137 -fff10113 -00208f33 -80008eb7 -ffee8e93 -00a00193 -01df0463 -1b00206f -800000b7 -00008137 -fff10113 -00208f33 -80008eb7 -fffe8e93 -00b00193 -01df0463 -18c0206f -800000b7 -fff08093 -ffff8137 -00208f33 -7fff8eb7 -fffe8e93 -00c00193 -01df0463 -1680206f -00000093 -fff00113 -00208f33 -fff00e93 -00d00193 -01df0463 -14c0206f -fff00093 -00100113 -00208f33 -00000e93 -00e00193 -01df0463 -1300206f -fff00093 -fff00113 -00208f33 -ffe00e93 -00f00193 -01df0463 -1140206f -00100093 -80000137 -fff10113 -00208f33 -80000eb7 -01000193 -01df0463 -0f40206f -00d00093 -00b00113 -002080b3 -01800e93 -01100193 -01d08463 -0d80206f -00e00093 -00b00113 -00208133 -01900e93 -01200193 -01d10463 -0bc0206f -00d00093 -001080b3 -01a00e93 -01300193 -01d08463 -0a40206f -00000213 -00d00093 -00b00113 -00208f33 -000f0313 -00120213 -00200293 -fe5214e3 -01800e93 -01400193 -01d30463 -0740206f -00000213 -00e00093 -00b00113 -00208f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -01900e93 -01500193 -01d30463 -0400206f -00000213 -00f00093 -00b00113 -00208f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -01a00e93 -01600193 -01d30463 -0080206f -00000213 -00d00093 -00b00113 -00208f33 -00120213 -00200293 -fe5216e3 -01800e93 -01700193 -01df0463 -7dd0106f -00000213 -00e00093 -00b00113 -00000013 -00208f33 -00120213 -00200293 -fe5214e3 -01900e93 -01800193 -01df0463 -7ad0106f -00000213 -00f00093 -00b00113 -00000013 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01900193 -01df0463 -7790106f -00000213 -00d00093 -00000013 -00b00113 -00208f33 -00120213 -00200293 -fe5214e3 -01800e93 -01a00193 -01df0463 -7490106f -00000213 -00e00093 -00000013 -00b00113 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01900e93 -01b00193 -01df0463 -7150106f -00000213 -00f00093 -00000013 -00000013 -00b00113 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01c00193 -01df0463 -6e10106f -00000213 -00b00113 -00d00093 -00208f33 -00120213 -00200293 -fe5216e3 -01800e93 -01d00193 -01df0463 -6b50106f -00000213 -00b00113 -00e00093 -00000013 -00208f33 -00120213 -00200293 -fe5214e3 -01900e93 -01e00193 -01df0463 -6850106f -00000213 -00b00113 -00f00093 -00000013 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -01f00193 -01df0463 -6510106f -00000213 -00b00113 -00000013 -00d00093 -00208f33 -00120213 -00200293 -fe5214e3 -01800e93 -02000193 -01df0463 -6210106f -00000213 -00b00113 -00000013 -00e00093 -00000013 -00208f33 -00120213 -00200293 -fe5212e3 -01900e93 -02100193 -01df0463 -5ed0106f -00000213 -00b00113 -00000013 -00000013 -00f00093 -00208f33 -00120213 -00200293 -fe5212e3 -01a00e93 -02200193 -01df0463 -5b90106f -00f00093 -00100133 -00f00e93 -02300193 -01d10463 -5a10106f -02000093 -00008133 -02000e93 -02400193 -01d10463 -5890106f -000000b3 -00000e93 -02500193 -01d08463 -5750106f -01000093 -01e00113 -00208033 -00000e93 -02600193 -01d00463 -5590106f -00000093 -00008f13 -00000e93 -02700193 -01df0463 -5410106f -00100093 -00108f13 -00200e93 -02800193 -01df0463 -5290106f -00300093 -00708f13 -00a00e93 -02900193 -01df0463 -5110106f -00000093 -80008f13 -80000e93 -02a00193 -01df0463 -4f90106f -800000b7 -00008f13 -80000eb7 -02b00193 -01df0463 -4e10106f -800000b7 -80008f13 -80000eb7 -800e8e93 -02c00193 -01df0463 -4c50106f -00000093 -7ff08f13 -7ff00e93 -02d00193 -01df0463 -4ad0106f -800000b7 -fff08093 -00008f13 -80000eb7 -fffe8e93 -02e00193 -01df0463 -48d0106f -800000b7 -fff08093 -7ff08f13 -80000eb7 -7fee8e93 -02f00193 -01df0463 -46d0106f -800000b7 -7ff08f13 -80000eb7 -7ffe8e93 -03000193 -01df0463 -4510106f -800000b7 -fff08093 -80008f13 -7ffffeb7 -7ffe8e93 -03100193 -01df0463 -4310106f -00000093 -fff08f13 -fff00e93 -03200193 -01df0463 -4190106f -fff00093 -00108f13 -00000e93 -03300193 -01df0463 -4010106f -fff00093 -fff08f13 -ffe00e93 -03400193 -01df0463 -3e90106f -800000b7 -fff08093 -00108f13 -80000eb7 -03500193 -01df0463 -3cd0106f -00d00093 -00b08093 -01800e93 -03600193 -01d08463 -3b50106f -00000213 -00d00093 -00b08f13 -000f0313 -00120213 -00200293 -fe5216e3 -01800e93 -03700193 -01d30463 -3890106f -00000213 -00d00093 -00a08f13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -01700e93 -03800193 -01d30463 -3590106f -00000213 -00d00093 -00908f13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -01600e93 -03900193 -01d30463 -3250106f -00000213 -00d00093 -00b08f13 -00120213 -00200293 -fe5218e3 -01800e93 -03a00193 -01df0463 -2fd0106f -00000213 -00d00093 -00000013 -00a08f13 -00120213 -00200293 -fe5216e3 -01700e93 -03b00193 -01df0463 -2d10106f -00000213 -00d00093 -00000013 -00000013 -00908f13 -00120213 -00200293 -fe5214e3 -01600e93 -03c00193 -01df0463 -2a10106f -02000093 -02000e93 -03d00193 -01d08463 -28d0106f -02100093 -03208013 -00000e93 -03e00193 -01d00463 -2750106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -0f001eb7 -f00e8e93 -03f00193 -01df0463 -24d0106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ff33 -00f00eb7 -0f0e8e93 -04000193 -01df0463 -2250106f -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ff33 -000f0eb7 -00fe8e93 -04100193 -01df0463 -1fd0106f -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020ff33 -f000feb7 -04200193 -01df0463 -1d90106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020f0b3 -0f001eb7 -f00e8e93 -04300193 -01d08463 -1b10106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020f133 -00f00eb7 -0f0e8e93 -04400193 -01d10463 -1890106f -ff0100b7 -f0008093 -0010f0b3 -ff010eb7 -f00e8e93 -04500193 -01d08463 -1690106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -000f0313 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -04600193 -01d30463 -12d0106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ff33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -04700193 -01d30463 -0ed0106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ff33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -000f0eb7 -00fe8e93 -04800193 -01d30463 -0a90106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -04900193 -01df0463 -0710106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020ff33 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -04a00193 -01df0463 -0350106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -04b00193 -01df0463 -7f40106f -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -04c00193 -01df0463 -7b80106f -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -04d00193 -01df0463 -7780106f -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -04e00193 -01df0463 -7380106f -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020ff33 -00120213 -00200293 -fe5212e3 -0f001eb7 -f00e8e93 -04f00193 -01df0463 -7000106f -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020ff33 -00120213 -00200293 -fe5210e3 -00f00eb7 -0f0e8e93 -05000193 -01df0463 -6c40106f -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -05100193 -01df0463 -6840106f -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020ff33 -00120213 -00200293 -fe5210e3 -0f001eb7 -f00e8e93 -05200193 -01df0463 -6480106f -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020ff33 -00120213 -00200293 -fc521ee3 -00f00eb7 -0f0e8e93 -05300193 -01df0463 -6080106f -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020ff33 -00120213 -00200293 -fc521ee3 -000f0eb7 -00fe8e93 -05400193 -01df0463 -5c80106f -ff0100b7 -f0008093 -00107133 -00000e93 -05500193 -01d10463 -5ac0106f -00ff00b7 -0ff08093 -0000f133 -00000e93 -05600193 -01d10463 -5900106f -000070b3 -00000e93 -05700193 -01d08463 -57c0106f -111110b7 -11108093 -22222137 -22210113 -0020f033 -00000e93 -05800193 -01d00463 -5580106f -ff0100b7 -f0008093 -f0f0ff13 -ff010eb7 -f00e8e93 -05900193 -01df0463 -5380106f -0ff010b7 -ff008093 -0f00ff13 -0f000e93 -05a00193 -01df0463 -51c0106f -00ff00b7 -0ff08093 -70f0ff13 -00f00e93 -05b00193 -01df0463 -5000106f -f00ff0b7 -00f08093 -0f00ff13 -00000e93 -05c00193 -01df0463 -4e40106f -ff0100b7 -f0008093 -0f00f093 -00000e93 -05d00193 -01d08463 -4c80106f -00000213 -0ff010b7 -ff008093 -70f0ff13 -000f0313 -00120213 -00200293 -fe5214e3 -70000e93 -05e00193 -01d30463 -4980106f -00000213 -00ff00b7 -0ff08093 -0f00ff13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -0f000e93 -05f00193 -01d30463 -4640106f -00000213 -f00ff0b7 -00f08093 -f0f0ff13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -06000193 -01d30463 -4280106f -00000213 -0ff010b7 -ff008093 -70f0ff13 -00120213 -00200293 -fe5216e3 -70000e93 -06100193 -01df0463 -3fc0106f -00000213 -00ff00b7 -0ff08093 -00000013 -0f00ff13 -00120213 -00200293 -fe5214e3 -0f000e93 -06200193 -01df0463 -3cc0106f -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -70f0ff13 -00120213 -00200293 -fe5212e3 -00f00e93 -06300193 -01df0463 -3980106f -0f007093 -00000e93 -06400193 -01d08463 -3840106f -00ff00b7 -0ff08093 -70f0f013 -00000e93 -06500193 -01d00463 -3680106f -00000013 -00002517 -71c50513 -004005ef -40b50533 -00002eb7 -710e8e93 -06600193 -01d50463 -3400106f -00000013 -ffffe517 -8fc50513 -004005ef -40b50533 -ffffeeb7 -8f0e8e93 -06700193 -01d50463 -3180106f -06800193 -00000093 -00000113 -00208863 -00300463 -3000106f -00301863 -fe208ee3 -00300463 -2f00106f -06900193 -00100093 -00100113 -00208863 -00300463 -2d80106f -00301863 -fe208ee3 -00300463 -2c80106f -06a00193 -fff00093 -fff00113 -00208863 -00300463 -2b00106f -00301863 -fe208ee3 -00300463 -2a00106f -06b00193 -00000093 -00100113 -00208463 -00301663 -00300463 -2840106f -fe208ce3 -06c00193 -00100093 -00000113 -00208463 -00301663 -00300463 -2640106f -fe208ce3 -06d00193 -fff00093 -00100113 -00208463 -00301663 -00300463 -2440106f -fe208ce3 -06e00193 -00100093 -fff00113 -00208463 -00301663 -00300463 -2240106f -fe208ce3 -06f00193 -00000213 -00000093 -fff00113 -00209463 -2080106f -00120213 -00200293 -fe5214e3 -07000193 -00000213 -00000093 -fff00113 -00000013 -00209463 -1e00106f -00120213 -00200293 -fe5212e3 -07100193 -00000213 -00000093 -fff00113 -00000013 -00000013 -00209463 -1b40106f -00120213 -00200293 -fe5210e3 -07200193 -00000213 -00000093 -00000013 -fff00113 -00209463 -18c0106f -00120213 -00200293 -fe5212e3 -07300193 -00000213 -00000093 -00000013 -fff00113 -00000013 -00209463 -1600106f -00120213 -00200293 -fe5210e3 -07400193 -00000213 -00000093 -00000013 -00000013 -fff00113 -00209463 -1340106f -00120213 -00200293 -fe5210e3 -07500193 -00000213 -00000093 -fff00113 -00209463 -1100106f -00120213 -00200293 -fe5214e3 -07600193 -00000213 -00000093 -fff00113 -00000013 -00209463 -0e80106f -00120213 -00200293 -fe5212e3 -07700193 -00000213 -00000093 -fff00113 -00000013 -00000013 -00209463 -0bc0106f -00120213 -00200293 -fe5210e3 -07800193 -00000213 -00000093 -00000013 -fff00113 -00209463 -0940106f -00120213 -00200293 -fe5212e3 -07900193 -00000213 -00000093 -00000013 -fff00113 -00000013 -00209463 -0680106f -00120213 -00200293 -fe5210e3 -07a00193 -00000213 -00000093 -00000013 -00000013 -fff00113 -00209463 -03c0106f -00120213 -00200293 -fe5210e3 -00100093 -00000a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -07b00193 -01d08463 -0000106f -07c00193 -00000093 -00000113 -0020d663 -7e3016e3 -00301663 -fe20dee3 -7e3010e3 -07d00193 -00100093 -00100113 -0020d663 -7c3016e3 -00301663 -fe20dee3 -7c3010e3 -07e00193 -fff00093 -fff00113 -0020d663 -7a3016e3 -00301663 -fe20dee3 -7a3010e3 -07f00193 -00100093 -00000113 -0020d663 -783016e3 -00301663 -fe20dee3 -783010e3 -08000193 -00100093 -fff00113 -0020d663 -763016e3 -00301663 -fe20dee3 -763010e3 -08100193 -fff00093 -ffe00113 -0020d663 -743016e3 -00301663 -fe20dee3 -743010e3 -08200193 -00000093 -00100113 -0020d463 -00301463 -723014e3 -fe20dee3 -08300193 -fff00093 -00100113 -0020d463 -00301463 -703016e3 -fe20dee3 -08400193 -ffe00093 -fff00113 -0020d463 -00301463 -6e3018e3 -fe20dee3 -08500193 -ffe00093 -00100113 -0020d463 -00301463 -6c301ae3 -fe20dee3 -08600193 -00000213 -fff00093 -00000113 -6a20dee3 -00120213 -00200293 -fe5216e3 -08700193 -00000213 -fff00093 -00000113 -00000013 -6820dce3 -00120213 -00200293 -fe5214e3 -08800193 -00000213 -fff00093 -00000113 -00000013 -00000013 -6620d8e3 -00120213 -00200293 -fe5212e3 -08900193 -00000213 -fff00093 -00000013 -00000113 -6420d6e3 -00120213 -00200293 -fe5214e3 -08a00193 -00000213 -fff00093 -00000013 -00000113 -00000013 -6220d2e3 -00120213 -00200293 -fe5212e3 -08b00193 -00000213 -fff00093 -00000013 -00000013 -00000113 -5e20dee3 -00120213 -00200293 -fe5212e3 -08c00193 -00000213 -fff00093 -00000113 -5c20dee3 -00120213 -00200293 -fe5216e3 -08d00193 -00000213 -fff00093 -00000113 -00000013 -5a20dce3 -00120213 -00200293 -fe5214e3 -08e00193 -00000213 -fff00093 -00000113 -00000013 -00000013 -5820d8e3 -00120213 -00200293 -fe5212e3 -08f00193 -00000213 -fff00093 -00000013 -00000113 -5620d6e3 -00120213 -00200293 -fe5214e3 -09000193 -00000213 -fff00093 -00000013 -00000113 -00000013 -5420d2e3 -00120213 -00200293 -fe5212e3 -09100193 -00000213 -fff00093 -00000013 -00000013 -00000113 -5020dee3 -00120213 -00200293 -fe5212e3 -00100093 -0000da63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -09200193 -4fd092e3 -09300193 -00000093 -00000113 -0020f663 -4c3018e3 -00301663 -fe20fee3 -4c3012e3 -09400193 -00100093 -00100113 -0020f663 -4a3018e3 -00301663 -fe20fee3 -4a3012e3 -09500193 -fff00093 -fff00113 -0020f663 -483018e3 -00301663 -fe20fee3 -483012e3 -09600193 -00100093 -00000113 -0020f663 -463018e3 -00301663 -fe20fee3 -463012e3 -09700193 -fff00093 -ffe00113 -0020f663 -443018e3 -00301663 -fe20fee3 -443012e3 -09800193 -fff00093 -00000113 -0020f663 -423018e3 -00301663 -fe20fee3 -423012e3 -09900193 -00000093 -00100113 -0020f463 -00301463 -403016e3 -fe20fee3 -09a00193 -ffe00093 -fff00113 -0020f463 -00301463 -3e3018e3 -fe20fee3 -09b00193 -00000093 -fff00113 -0020f463 -00301463 -3c301ae3 -fe20fee3 -09c00193 -800000b7 -fff08093 -80000137 -0020f463 -00301463 -3a301ae3 -fe20fee3 -09d00193 -00000213 -f00000b7 -fff08093 -f0000137 -3820fce3 -00120213 -00200293 -fe5214e3 -09e00193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -3620f8e3 -00120213 -00200293 -fe5212e3 -09f00193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -3420f2e3 -00120213 -00200293 -fe5210e3 -0a000193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -3020fee3 -00120213 -00200293 -fe5212e3 -0a100193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -2e20f8e3 -00120213 -00200293 -fe5210e3 -0a200193 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -2c20f2e3 -00120213 -00200293 -fe5210e3 -0a300193 -00000213 -f00000b7 -fff08093 -f0000137 -2a20f0e3 -00120213 -00200293 -fe5214e3 -0a400193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -2620fce3 -00120213 -00200293 -fe5212e3 -0a500193 -00000213 -f00000b7 -fff08093 -f0000137 -00000013 -00000013 -2420f6e3 -00120213 -00200293 -fe5210e3 -0a600193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -2220f2e3 -00120213 -00200293 -fe5212e3 -0a700193 -00000213 -f00000b7 -fff08093 -00000013 -f0000137 -00000013 -1e20fce3 -00120213 -00200293 -fe5210e3 -0a800193 -00000213 -f00000b7 -fff08093 -00000013 -00000013 -f0000137 -1c20f6e3 -00120213 -00200293 -fe5210e3 -00100093 -0000fa63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0a900193 -19d09ae3 -0aa00193 -00000093 -00100113 -0020c663 -183010e3 -00301663 -fe20cee3 -16301ae3 -0ab00193 -fff00093 -00100113 -0020c663 -163010e3 -00301663 -fe20cee3 -14301ae3 -0ac00193 -ffe00093 -fff00113 -0020c663 -143010e3 -00301663 -fe20cee3 -12301ae3 -0ad00193 -00100093 -00000113 -0020c463 -00301463 -10301ee3 -fe20cee3 -0ae00193 -00100093 -fff00113 -0020c463 -00301463 -103010e3 -fe20cee3 -0af00193 -fff00093 -ffe00113 -0020c463 -00301463 -0e3012e3 -fe20cee3 -0b000193 -00100093 -ffe00113 -0020c463 -00301463 -0c3014e3 -fe20cee3 -0b100193 -00000213 -00000093 -fff00113 -0a20c8e3 -00120213 -00200293 -fe5216e3 -0b200193 -00000213 -00000093 -fff00113 -00000013 -0820c6e3 -00120213 -00200293 -fe5214e3 -0b300193 -00000213 -00000093 -fff00113 -00000013 -00000013 -0620c2e3 -00120213 -00200293 -fe5212e3 -0b400193 -00000213 -00000093 -00000013 -fff00113 -0420c0e3 -00120213 -00200293 -fe5214e3 -0b500193 -00000213 -00000093 -00000013 -fff00113 -00000013 -0020cce3 -00120213 -00200293 -fe5212e3 -0b600193 -00000213 -00000093 -00000013 -00000013 -fff00113 -7e20c863 -00120213 -00200293 -fe5212e3 -0b700193 -00000213 -00000093 -fff00113 -7c20c863 -00120213 -00200293 -fe5216e3 -0b800193 -00000213 -00000093 -fff00113 -00000013 -7a20c663 -00120213 -00200293 -fe5214e3 -0b900193 -00000213 -00000093 -fff00113 -00000013 -00000013 -7820c263 -00120213 -00200293 -fe5212e3 -0ba00193 -00000213 -00000093 -00000013 -fff00113 -7620c063 -00120213 -00200293 -fe5214e3 -0bb00193 -00000213 -00000093 -00000013 -fff00113 -00000013 -7220cc63 -00120213 -00200293 -fe5212e3 -0bc00193 -00000213 -00000093 -00000013 -00000013 -fff00113 -7020c863 -00120213 -00200293 -fe5212e3 -00100093 -00104a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0bd00193 -6dd09c63 -0be00193 -00000093 -00100113 -0020e663 -6c301263 -00301663 -fe20eee3 -6a301c63 -0bf00193 -ffe00093 -fff00113 -0020e663 -6a301263 -00301663 -fe20eee3 -68301c63 -0c000193 -00000093 -fff00113 -0020e663 -68301263 -00301663 -fe20eee3 -66301c63 -0c100193 -00100093 -00000113 -0020e463 -00301463 -66301063 -fe20eee3 -0c200193 -fff00093 -ffe00113 -0020e463 -00301463 -64301263 -fe20eee3 -0c300193 -fff00093 -00000113 -0020e463 -00301463 -62301463 -fe20eee3 -0c400193 -800000b7 -80000137 -fff10113 -0020e463 -00301463 -60301463 -fe20eee3 -0c500193 -00000213 -f00000b7 -f0000137 -fff10113 -5e20e663 -00120213 -00200293 -fe5214e3 -0c600193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -5c20e263 -00120213 -00200293 -fe5212e3 -0c700193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -5820ec63 -00120213 -00200293 -fe5210e3 -0c800193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -5620e863 -00120213 -00200293 -fe5212e3 -0c900193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -5420e263 -00120213 -00200293 -fe5210e3 -0ca00193 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -5020ec63 -00120213 -00200293 -fe5210e3 -0cb00193 -00000213 -f00000b7 -f0000137 -fff10113 -4e20ea63 -00120213 -00200293 -fe5214e3 -0cc00193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -4c20e663 -00120213 -00200293 -fe5212e3 -0cd00193 -00000213 -f00000b7 -f0000137 -fff10113 -00000013 -00000013 -4a20e063 -00120213 -00200293 -fe5210e3 -0ce00193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -4620ec63 -00120213 -00200293 -fe5212e3 -0cf00193 -00000213 -f00000b7 -00000013 -f0000137 -fff10113 -00000013 -4420e663 -00120213 -00200293 -fe5210e3 -0d000193 -00000213 -f00000b7 -00000013 -00000013 -f0000137 -fff10113 -4220e063 -00120213 -00200293 -fe5210e3 -00100093 -00106a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0d100193 -3fd09463 -0d200193 -00000093 -00100113 -00209663 -3c301a63 -00301663 -fe209ee3 -3c301463 -0d300193 -00100093 -00000113 -00209663 -3a301a63 -00301663 -fe209ee3 -3a301463 -0d400193 -fff00093 -00100113 -00209663 -38301a63 -00301663 -fe209ee3 -38301463 -0d500193 -00100093 -fff00113 -00209663 -36301a63 -00301663 -fe209ee3 -36301463 -0d600193 -00000093 -00000113 -00209463 -00301463 -34301863 -fe209ee3 -0d700193 -00100093 -00100113 -00209463 -00301463 -32301a63 -fe209ee3 -0d800193 -fff00093 -fff00113 -00209463 -00301463 -30301c63 -fe209ee3 -0d900193 -00000213 -00000093 -00000113 -30209063 -00120213 -00200293 -fe5216e3 -0da00193 -00000213 -00000093 -00000113 -00000013 -2c209e63 -00120213 -00200293 -fe5214e3 -0db00193 -00000213 -00000093 -00000113 -00000013 -00000013 -2a209a63 -00120213 -00200293 -fe5212e3 -0dc00193 -00000213 -00000093 -00000013 -00000113 -28209863 -00120213 -00200293 -fe5214e3 -0dd00193 -00000213 -00000093 -00000013 -00000113 -00000013 -26209463 -00120213 -00200293 -fe5212e3 -0de00193 -00000213 -00000093 -00000013 -00000013 -00000113 -24209063 -00120213 -00200293 -fe5212e3 -0df00193 -00000213 -00000093 -00000113 -22209063 -00120213 -00200293 -fe5216e3 -0e000193 -00000213 -00000093 -00000113 -00000013 -1e209e63 -00120213 -00200293 -fe5214e3 -0e100193 -00000213 -00000093 -00000113 -00000013 -00000013 -1c209a63 -00120213 -00200293 -fe5212e3 -0e200193 -00000213 -00000093 -00000013 -00000113 -1a209863 -00120213 -00200293 -fe5214e3 -0e300193 -00000213 -00000093 -00000013 -00000113 -00000013 -18209463 -00120213 -00200293 -fe5212e3 -0e400193 -00000213 -00000093 -00000013 -00000013 -00000113 -16209063 -00120213 -00200293 -fe5212e3 -00100093 -00009a63 -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0e500193 -13d09463 -00200193 -00000093 -0100026f -00000013 -00000013 -1100006f -00000317 -ff430313 -10431263 -00100093 -0140006f -00108093 -00108093 -00108093 -00108093 -00108093 -00108093 -00300e93 -0e800193 -0dd09c63 -00200193 -00000293 -00000317 -01030313 -000302e7 -0c00006f -00000317 -ffc30313 -0a629a63 -0e900193 -00000213 -00000317 -01030313 -000309e7 -08301e63 -00120213 -00200293 -fe5214e3 -0ea00193 -00000213 -00000317 -01430313 -00000013 -000309e7 -06301a63 -00120213 -00200293 -fe5212e3 -0eb00193 -00000213 -00000317 -01830313 -00000013 -00000013 -000309e7 -04301463 -00120213 -00200293 -fe5210e3 -00100293 -00000317 -01c30313 -ffc30067 -00128293 -00128293 -00128293 -00128293 -00128293 -00128293 -00400e93 -0ec00193 -01d29463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00000093 +00000113 +00208f33 +00000e93 +00200193 +01df0463 +2ac0206f +00100093 +00100113 +00208f33 +00200e93 +00300193 +01df0463 +2900206f +00300093 +00700113 +00208f33 +00a00e93 +00400193 +01df0463 +2740206f +00000093 +ffff8137 +00208f33 +ffff8eb7 +00500193 +01df0463 +2580206f +800000b7 +00000113 +00208f33 +80000eb7 +00600193 +01df0463 +23c0206f +800000b7 +ffff8137 +00208f33 +7fff8eb7 +00700193 +01df0463 +2200206f +00000093 +00008137 +fff10113 +00208f33 +00008eb7 +fffe8e93 +00800193 +01df0463 +1fc0206f +800000b7 +fff08093 +00000113 +00208f33 +80000eb7 +fffe8e93 +00900193 +01df0463 +1d80206f +800000b7 +fff08093 +00008137 +fff10113 +00208f33 +80008eb7 +ffee8e93 +00a00193 +01df0463 +1b00206f +800000b7 +00008137 +fff10113 +00208f33 +80008eb7 +fffe8e93 +00b00193 +01df0463 +18c0206f +800000b7 +fff08093 +ffff8137 +00208f33 +7fff8eb7 +fffe8e93 +00c00193 +01df0463 +1680206f +00000093 +fff00113 +00208f33 +fff00e93 +00d00193 +01df0463 +14c0206f +fff00093 +00100113 +00208f33 +00000e93 +00e00193 +01df0463 +1300206f +fff00093 +fff00113 +00208f33 +ffe00e93 +00f00193 +01df0463 +1140206f +00100093 +80000137 +fff10113 +00208f33 +80000eb7 +01000193 +01df0463 +0f40206f +00d00093 +00b00113 +002080b3 +01800e93 +01100193 +01d08463 +0d80206f +00e00093 +00b00113 +00208133 +01900e93 +01200193 +01d10463 +0bc0206f +00d00093 +001080b3 +01a00e93 +01300193 +01d08463 +0a40206f +00000213 +00d00093 +00b00113 +00208f33 +000f0313 +00120213 +00200293 +fe5214e3 +01800e93 +01400193 +01d30463 +0740206f +00000213 +00e00093 +00b00113 +00208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01900e93 +01500193 +01d30463 +0400206f +00000213 +00f00093 +00b00113 +00208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +01a00e93 +01600193 +01d30463 +0080206f +00000213 +00d00093 +00b00113 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01700193 +01df0463 +7dd0106f +00000213 +00e00093 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01800193 +01df0463 +7ad0106f +00000213 +00f00093 +00b00113 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01900193 +01df0463 +7790106f +00000213 +00d00093 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +01a00193 +01df0463 +7490106f +00000213 +00e00093 +00000013 +00b00113 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +01b00193 +01df0463 +7150106f +00000213 +00f00093 +00000013 +00000013 +00b00113 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01c00193 +01df0463 +6e10106f +00000213 +00b00113 +00d00093 +00208f33 +00120213 +00200293 +fe5216e3 +01800e93 +01d00193 +01df0463 +6b50106f +00000213 +00b00113 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5214e3 +01900e93 +01e00193 +01df0463 +6850106f +00000213 +00b00113 +00f00093 +00000013 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +01f00193 +01df0463 +6510106f +00000213 +00b00113 +00000013 +00d00093 +00208f33 +00120213 +00200293 +fe5214e3 +01800e93 +02000193 +01df0463 +6210106f +00000213 +00b00113 +00000013 +00e00093 +00000013 +00208f33 +00120213 +00200293 +fe5212e3 +01900e93 +02100193 +01df0463 +5ed0106f +00000213 +00b00113 +00000013 +00000013 +00f00093 +00208f33 +00120213 +00200293 +fe5212e3 +01a00e93 +02200193 +01df0463 +5b90106f +00f00093 +00100133 +00f00e93 +02300193 +01d10463 +5a10106f +02000093 +00008133 +02000e93 +02400193 +01d10463 +5890106f +000000b3 +00000e93 +02500193 +01d08463 +5750106f +01000093 +01e00113 +00208033 +00000e93 +02600193 +01d00463 +5590106f +00000093 +00008f13 +00000e93 +02700193 +01df0463 +5410106f +00100093 +00108f13 +00200e93 +02800193 +01df0463 +5290106f +00300093 +00708f13 +00a00e93 +02900193 +01df0463 +5110106f +00000093 +80008f13 +80000e93 +02a00193 +01df0463 +4f90106f +800000b7 +00008f13 +80000eb7 +02b00193 +01df0463 +4e10106f +800000b7 +80008f13 +80000eb7 +800e8e93 +02c00193 +01df0463 +4c50106f +00000093 +7ff08f13 +7ff00e93 +02d00193 +01df0463 +4ad0106f +800000b7 +fff08093 +00008f13 +80000eb7 +fffe8e93 +02e00193 +01df0463 +48d0106f +800000b7 +fff08093 +7ff08f13 +80000eb7 +7fee8e93 +02f00193 +01df0463 +46d0106f +800000b7 +7ff08f13 +80000eb7 +7ffe8e93 +03000193 +01df0463 +4510106f +800000b7 +fff08093 +80008f13 +7ffffeb7 +7ffe8e93 +03100193 +01df0463 +4310106f +00000093 +fff08f13 +fff00e93 +03200193 +01df0463 +4190106f +fff00093 +00108f13 +00000e93 +03300193 +01df0463 +4010106f +fff00093 +fff08f13 +ffe00e93 +03400193 +01df0463 +3e90106f +800000b7 +fff08093 +00108f13 +80000eb7 +03500193 +01df0463 +3cd0106f +00d00093 +00b08093 +01800e93 +03600193 +01d08463 +3b50106f +00000213 +00d00093 +00b08f13 +000f0313 +00120213 +00200293 +fe5216e3 +01800e93 +03700193 +01d30463 +3890106f +00000213 +00d00093 +00a08f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +01700e93 +03800193 +01d30463 +3590106f +00000213 +00d00093 +00908f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +01600e93 +03900193 +01d30463 +3250106f +00000213 +00d00093 +00b08f13 +00120213 +00200293 +fe5218e3 +01800e93 +03a00193 +01df0463 +2fd0106f +00000213 +00d00093 +00000013 +00a08f13 +00120213 +00200293 +fe5216e3 +01700e93 +03b00193 +01df0463 +2d10106f +00000213 +00d00093 +00000013 +00000013 +00908f13 +00120213 +00200293 +fe5214e3 +01600e93 +03c00193 +01df0463 +2a10106f +02000093 +02000e93 +03d00193 +01d08463 +28d0106f +02100093 +03208013 +00000e93 +03e00193 +01d00463 +2750106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +0f001eb7 +f00e8e93 +03f00193 +01df0463 +24d0106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00f00eb7 +0f0e8e93 +04000193 +01df0463 +2250106f +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +000f0eb7 +00fe8e93 +04100193 +01df0463 +1fd0106f +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ff33 +f000feb7 +04200193 +01df0463 +1d90106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020f0b3 +0f001eb7 +f00e8e93 +04300193 +01d08463 +1b10106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020f133 +00f00eb7 +0f0e8e93 +04400193 +01d10463 +1890106f +ff0100b7 +f0008093 +0010f0b3 +ff010eb7 +f00e8e93 +04500193 +01d08463 +1690106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +000f0313 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +04600193 +01d30463 +12d0106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ff33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +04700193 +01d30463 +0ed0106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ff33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +000f0eb7 +00fe8e93 +04800193 +01d30463 +0a90106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +04900193 +01df0463 +0710106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +04a00193 +01df0463 +0350106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +04b00193 +01df0463 +7f40106f +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +04c00193 +01df0463 +7b80106f +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +04d00193 +01df0463 +7780106f +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +04e00193 +01df0463 +7380106f +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5212e3 +0f001eb7 +f00e8e93 +04f00193 +01df0463 +7000106f +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fe5210e3 +00f00eb7 +0f0e8e93 +05000193 +01df0463 +6c40106f +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +05100193 +01df0463 +6840106f +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ff33 +00120213 +00200293 +fe5210e3 +0f001eb7 +f00e8e93 +05200193 +01df0463 +6480106f +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ff33 +00120213 +00200293 +fc521ee3 +00f00eb7 +0f0e8e93 +05300193 +01df0463 +6080106f +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ff33 +00120213 +00200293 +fc521ee3 +000f0eb7 +00fe8e93 +05400193 +01df0463 +5c80106f +ff0100b7 +f0008093 +00107133 +00000e93 +05500193 +01d10463 +5ac0106f +00ff00b7 +0ff08093 +0000f133 +00000e93 +05600193 +01d10463 +5900106f +000070b3 +00000e93 +05700193 +01d08463 +57c0106f +111110b7 +11108093 +22222137 +22210113 +0020f033 +00000e93 +05800193 +01d00463 +5580106f +ff0100b7 +f0008093 +f0f0ff13 +ff010eb7 +f00e8e93 +05900193 +01df0463 +5380106f +0ff010b7 +ff008093 +0f00ff13 +0f000e93 +05a00193 +01df0463 +51c0106f +00ff00b7 +0ff08093 +70f0ff13 +00f00e93 +05b00193 +01df0463 +5000106f +f00ff0b7 +00f08093 +0f00ff13 +00000e93 +05c00193 +01df0463 +4e40106f +ff0100b7 +f0008093 +0f00f093 +00000e93 +05d00193 +01d08463 +4c80106f +00000213 +0ff010b7 +ff008093 +70f0ff13 +000f0313 +00120213 +00200293 +fe5214e3 +70000e93 +05e00193 +01d30463 +4980106f +00000213 +00ff00b7 +0ff08093 +0f00ff13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +0f000e93 +05f00193 +01d30463 +4640106f +00000213 +f00ff0b7 +00f08093 +f0f0ff13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +06000193 +01d30463 +4280106f +00000213 +0ff010b7 +ff008093 +70f0ff13 +00120213 +00200293 +fe5216e3 +70000e93 +06100193 +01df0463 +3fc0106f +00000213 +00ff00b7 +0ff08093 +00000013 +0f00ff13 +00120213 +00200293 +fe5214e3 +0f000e93 +06200193 +01df0463 +3cc0106f +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +70f0ff13 +00120213 +00200293 +fe5212e3 +00f00e93 +06300193 +01df0463 +3980106f +0f007093 +00000e93 +06400193 +01d08463 +3840106f +00ff00b7 +0ff08093 +70f0f013 +00000e93 +06500193 +01d00463 +3680106f +00000013 +00002517 +71c50513 +004005ef +40b50533 +00002eb7 +710e8e93 +06600193 +01d50463 +3400106f +00000013 +ffffe517 +8fc50513 +004005ef +40b50533 +ffffeeb7 +8f0e8e93 +06700193 +01d50463 +3180106f +06800193 +00000093 +00000113 +00208863 +00300463 +3000106f +00301863 +fe208ee3 +00300463 +2f00106f +06900193 +00100093 +00100113 +00208863 +00300463 +2d80106f +00301863 +fe208ee3 +00300463 +2c80106f +06a00193 +fff00093 +fff00113 +00208863 +00300463 +2b00106f +00301863 +fe208ee3 +00300463 +2a00106f +06b00193 +00000093 +00100113 +00208463 +00301663 +00300463 +2840106f +fe208ce3 +06c00193 +00100093 +00000113 +00208463 +00301663 +00300463 +2640106f +fe208ce3 +06d00193 +fff00093 +00100113 +00208463 +00301663 +00300463 +2440106f +fe208ce3 +06e00193 +00100093 +fff00113 +00208463 +00301663 +00300463 +2240106f +fe208ce3 +06f00193 +00000213 +00000093 +fff00113 +00209463 +2080106f +00120213 +00200293 +fe5214e3 +07000193 +00000213 +00000093 +fff00113 +00000013 +00209463 +1e00106f +00120213 +00200293 +fe5212e3 +07100193 +00000213 +00000093 +fff00113 +00000013 +00000013 +00209463 +1b40106f +00120213 +00200293 +fe5210e3 +07200193 +00000213 +00000093 +00000013 +fff00113 +00209463 +18c0106f +00120213 +00200293 +fe5212e3 +07300193 +00000213 +00000093 +00000013 +fff00113 +00000013 +00209463 +1600106f +00120213 +00200293 +fe5210e3 +07400193 +00000213 +00000093 +00000013 +00000013 +fff00113 +00209463 +1340106f +00120213 +00200293 +fe5210e3 +07500193 +00000213 +00000093 +fff00113 +00209463 +1100106f +00120213 +00200293 +fe5214e3 +07600193 +00000213 +00000093 +fff00113 +00000013 +00209463 +0e80106f +00120213 +00200293 +fe5212e3 +07700193 +00000213 +00000093 +fff00113 +00000013 +00000013 +00209463 +0bc0106f +00120213 +00200293 +fe5210e3 +07800193 +00000213 +00000093 +00000013 +fff00113 +00209463 +0940106f +00120213 +00200293 +fe5212e3 +07900193 +00000213 +00000093 +00000013 +fff00113 +00000013 +00209463 +0680106f +00120213 +00200293 +fe5210e3 +07a00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +00209463 +03c0106f +00120213 +00200293 +fe5210e3 +00100093 +00000a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +07b00193 +01d08463 +0000106f +07c00193 +00000093 +00000113 +0020d663 +7e3016e3 +00301663 +fe20dee3 +7e3010e3 +07d00193 +00100093 +00100113 +0020d663 +7c3016e3 +00301663 +fe20dee3 +7c3010e3 +07e00193 +fff00093 +fff00113 +0020d663 +7a3016e3 +00301663 +fe20dee3 +7a3010e3 +07f00193 +00100093 +00000113 +0020d663 +783016e3 +00301663 +fe20dee3 +783010e3 +08000193 +00100093 +fff00113 +0020d663 +763016e3 +00301663 +fe20dee3 +763010e3 +08100193 +fff00093 +ffe00113 +0020d663 +743016e3 +00301663 +fe20dee3 +743010e3 +08200193 +00000093 +00100113 +0020d463 +00301463 +723014e3 +fe20dee3 +08300193 +fff00093 +00100113 +0020d463 +00301463 +703016e3 +fe20dee3 +08400193 +ffe00093 +fff00113 +0020d463 +00301463 +6e3018e3 +fe20dee3 +08500193 +ffe00093 +00100113 +0020d463 +00301463 +6c301ae3 +fe20dee3 +08600193 +00000213 +fff00093 +00000113 +6a20dee3 +00120213 +00200293 +fe5216e3 +08700193 +00000213 +fff00093 +00000113 +00000013 +6820dce3 +00120213 +00200293 +fe5214e3 +08800193 +00000213 +fff00093 +00000113 +00000013 +00000013 +6620d8e3 +00120213 +00200293 +fe5212e3 +08900193 +00000213 +fff00093 +00000013 +00000113 +6420d6e3 +00120213 +00200293 +fe5214e3 +08a00193 +00000213 +fff00093 +00000013 +00000113 +00000013 +6220d2e3 +00120213 +00200293 +fe5212e3 +08b00193 +00000213 +fff00093 +00000013 +00000013 +00000113 +5e20dee3 +00120213 +00200293 +fe5212e3 +08c00193 +00000213 +fff00093 +00000113 +5c20dee3 +00120213 +00200293 +fe5216e3 +08d00193 +00000213 +fff00093 +00000113 +00000013 +5a20dce3 +00120213 +00200293 +fe5214e3 +08e00193 +00000213 +fff00093 +00000113 +00000013 +00000013 +5820d8e3 +00120213 +00200293 +fe5212e3 +08f00193 +00000213 +fff00093 +00000013 +00000113 +5620d6e3 +00120213 +00200293 +fe5214e3 +09000193 +00000213 +fff00093 +00000013 +00000113 +00000013 +5420d2e3 +00120213 +00200293 +fe5212e3 +09100193 +00000213 +fff00093 +00000013 +00000013 +00000113 +5020dee3 +00120213 +00200293 +fe5212e3 +00100093 +0000da63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +09200193 +4fd092e3 +09300193 +00000093 +00000113 +0020f663 +4c3018e3 +00301663 +fe20fee3 +4c3012e3 +09400193 +00100093 +00100113 +0020f663 +4a3018e3 +00301663 +fe20fee3 +4a3012e3 +09500193 +fff00093 +fff00113 +0020f663 +483018e3 +00301663 +fe20fee3 +483012e3 +09600193 +00100093 +00000113 +0020f663 +463018e3 +00301663 +fe20fee3 +463012e3 +09700193 +fff00093 +ffe00113 +0020f663 +443018e3 +00301663 +fe20fee3 +443012e3 +09800193 +fff00093 +00000113 +0020f663 +423018e3 +00301663 +fe20fee3 +423012e3 +09900193 +00000093 +00100113 +0020f463 +00301463 +403016e3 +fe20fee3 +09a00193 +ffe00093 +fff00113 +0020f463 +00301463 +3e3018e3 +fe20fee3 +09b00193 +00000093 +fff00113 +0020f463 +00301463 +3c301ae3 +fe20fee3 +09c00193 +800000b7 +fff08093 +80000137 +0020f463 +00301463 +3a301ae3 +fe20fee3 +09d00193 +00000213 +f00000b7 +fff08093 +f0000137 +3820fce3 +00120213 +00200293 +fe5214e3 +09e00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +3620f8e3 +00120213 +00200293 +fe5212e3 +09f00193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +3420f2e3 +00120213 +00200293 +fe5210e3 +0a000193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +3020fee3 +00120213 +00200293 +fe5212e3 +0a100193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +2e20f8e3 +00120213 +00200293 +fe5210e3 +0a200193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +2c20f2e3 +00120213 +00200293 +fe5210e3 +0a300193 +00000213 +f00000b7 +fff08093 +f0000137 +2a20f0e3 +00120213 +00200293 +fe5214e3 +0a400193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +2620fce3 +00120213 +00200293 +fe5212e3 +0a500193 +00000213 +f00000b7 +fff08093 +f0000137 +00000013 +00000013 +2420f6e3 +00120213 +00200293 +fe5210e3 +0a600193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +2220f2e3 +00120213 +00200293 +fe5212e3 +0a700193 +00000213 +f00000b7 +fff08093 +00000013 +f0000137 +00000013 +1e20fce3 +00120213 +00200293 +fe5210e3 +0a800193 +00000213 +f00000b7 +fff08093 +00000013 +00000013 +f0000137 +1c20f6e3 +00120213 +00200293 +fe5210e3 +00100093 +0000fa63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0a900193 +19d09ae3 +0aa00193 +00000093 +00100113 +0020c663 +183010e3 +00301663 +fe20cee3 +16301ae3 +0ab00193 +fff00093 +00100113 +0020c663 +163010e3 +00301663 +fe20cee3 +14301ae3 +0ac00193 +ffe00093 +fff00113 +0020c663 +143010e3 +00301663 +fe20cee3 +12301ae3 +0ad00193 +00100093 +00000113 +0020c463 +00301463 +10301ee3 +fe20cee3 +0ae00193 +00100093 +fff00113 +0020c463 +00301463 +103010e3 +fe20cee3 +0af00193 +fff00093 +ffe00113 +0020c463 +00301463 +0e3012e3 +fe20cee3 +0b000193 +00100093 +ffe00113 +0020c463 +00301463 +0c3014e3 +fe20cee3 +0b100193 +00000213 +00000093 +fff00113 +0a20c8e3 +00120213 +00200293 +fe5216e3 +0b200193 +00000213 +00000093 +fff00113 +00000013 +0820c6e3 +00120213 +00200293 +fe5214e3 +0b300193 +00000213 +00000093 +fff00113 +00000013 +00000013 +0620c2e3 +00120213 +00200293 +fe5212e3 +0b400193 +00000213 +00000093 +00000013 +fff00113 +0420c0e3 +00120213 +00200293 +fe5214e3 +0b500193 +00000213 +00000093 +00000013 +fff00113 +00000013 +0020cce3 +00120213 +00200293 +fe5212e3 +0b600193 +00000213 +00000093 +00000013 +00000013 +fff00113 +7e20c863 +00120213 +00200293 +fe5212e3 +0b700193 +00000213 +00000093 +fff00113 +7c20c863 +00120213 +00200293 +fe5216e3 +0b800193 +00000213 +00000093 +fff00113 +00000013 +7a20c663 +00120213 +00200293 +fe5214e3 +0b900193 +00000213 +00000093 +fff00113 +00000013 +00000013 +7820c263 +00120213 +00200293 +fe5212e3 +0ba00193 +00000213 +00000093 +00000013 +fff00113 +7620c063 +00120213 +00200293 +fe5214e3 +0bb00193 +00000213 +00000093 +00000013 +fff00113 +00000013 +7220cc63 +00120213 +00200293 +fe5212e3 +0bc00193 +00000213 +00000093 +00000013 +00000013 +fff00113 +7020c863 +00120213 +00200293 +fe5212e3 +00100093 +00104a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0bd00193 +6dd09c63 +0be00193 +00000093 +00100113 +0020e663 +6c301263 +00301663 +fe20eee3 +6a301c63 +0bf00193 +ffe00093 +fff00113 +0020e663 +6a301263 +00301663 +fe20eee3 +68301c63 +0c000193 +00000093 +fff00113 +0020e663 +68301263 +00301663 +fe20eee3 +66301c63 +0c100193 +00100093 +00000113 +0020e463 +00301463 +66301063 +fe20eee3 +0c200193 +fff00093 +ffe00113 +0020e463 +00301463 +64301263 +fe20eee3 +0c300193 +fff00093 +00000113 +0020e463 +00301463 +62301463 +fe20eee3 +0c400193 +800000b7 +80000137 +fff10113 +0020e463 +00301463 +60301463 +fe20eee3 +0c500193 +00000213 +f00000b7 +f0000137 +fff10113 +5e20e663 +00120213 +00200293 +fe5214e3 +0c600193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +5c20e263 +00120213 +00200293 +fe5212e3 +0c700193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +5820ec63 +00120213 +00200293 +fe5210e3 +0c800193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +5620e863 +00120213 +00200293 +fe5212e3 +0c900193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +5420e263 +00120213 +00200293 +fe5210e3 +0ca00193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +5020ec63 +00120213 +00200293 +fe5210e3 +0cb00193 +00000213 +f00000b7 +f0000137 +fff10113 +4e20ea63 +00120213 +00200293 +fe5214e3 +0cc00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +4c20e663 +00120213 +00200293 +fe5212e3 +0cd00193 +00000213 +f00000b7 +f0000137 +fff10113 +00000013 +00000013 +4a20e063 +00120213 +00200293 +fe5210e3 +0ce00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +4620ec63 +00120213 +00200293 +fe5212e3 +0cf00193 +00000213 +f00000b7 +00000013 +f0000137 +fff10113 +00000013 +4420e663 +00120213 +00200293 +fe5210e3 +0d000193 +00000213 +f00000b7 +00000013 +00000013 +f0000137 +fff10113 +4220e063 +00120213 +00200293 +fe5210e3 +00100093 +00106a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0d100193 +3fd09463 +0d200193 +00000093 +00100113 +00209663 +3c301a63 +00301663 +fe209ee3 +3c301463 +0d300193 +00100093 +00000113 +00209663 +3a301a63 +00301663 +fe209ee3 +3a301463 +0d400193 +fff00093 +00100113 +00209663 +38301a63 +00301663 +fe209ee3 +38301463 +0d500193 +00100093 +fff00113 +00209663 +36301a63 +00301663 +fe209ee3 +36301463 +0d600193 +00000093 +00000113 +00209463 +00301463 +34301863 +fe209ee3 +0d700193 +00100093 +00100113 +00209463 +00301463 +32301a63 +fe209ee3 +0d800193 +fff00093 +fff00113 +00209463 +00301463 +30301c63 +fe209ee3 +0d900193 +00000213 +00000093 +00000113 +30209063 +00120213 +00200293 +fe5216e3 +0da00193 +00000213 +00000093 +00000113 +00000013 +2c209e63 +00120213 +00200293 +fe5214e3 +0db00193 +00000213 +00000093 +00000113 +00000013 +00000013 +2a209a63 +00120213 +00200293 +fe5212e3 +0dc00193 +00000213 +00000093 +00000013 +00000113 +28209863 +00120213 +00200293 +fe5214e3 +0dd00193 +00000213 +00000093 +00000013 +00000113 +00000013 +26209463 +00120213 +00200293 +fe5212e3 +0de00193 +00000213 +00000093 +00000013 +00000013 +00000113 +24209063 +00120213 +00200293 +fe5212e3 +0df00193 +00000213 +00000093 +00000113 +22209063 +00120213 +00200293 +fe5216e3 +0e000193 +00000213 +00000093 +00000113 +00000013 +1e209e63 +00120213 +00200293 +fe5214e3 +0e100193 +00000213 +00000093 +00000113 +00000013 +00000013 +1c209a63 +00120213 +00200293 +fe5212e3 +0e200193 +00000213 +00000093 +00000013 +00000113 +1a209863 +00120213 +00200293 +fe5214e3 +0e300193 +00000213 +00000093 +00000013 +00000113 +00000013 +18209463 +00120213 +00200293 +fe5212e3 +0e400193 +00000213 +00000093 +00000013 +00000013 +00000113 +16209063 +00120213 +00200293 +fe5212e3 +00100093 +00009a63 +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0e500193 +13d09463 +00200193 +00000093 +0100026f +00000013 +00000013 +1100006f +00000317 +ff430313 +10431263 +00100093 +0140006f +00108093 +00108093 +00108093 +00108093 +00108093 +00108093 +00300e93 +0e800193 +0dd09c63 +00200193 +00000293 +00000317 +01030313 +000302e7 +0c00006f +00000317 +ffc30313 +0a629a63 +0e900193 +00000213 +00000317 +01030313 +000309e7 +08301e63 +00120213 +00200293 +fe5214e3 +0ea00193 +00000213 +00000317 +01430313 +00000013 +000309e7 +06301a63 +00120213 +00200293 +fe5212e3 +0eb00193 +00000213 +00000317 +01830313 +00000013 +00000013 +000309e7 +04301463 +00120213 +00200293 +fe5210e3 +00100293 +00000317 +01c30313 +ffc30067 +00128293 +00128293 +00128293 +00128293 +00128293 +00128293 +00400e93 +0ec00193 +01d29463 +00301463 +00000a6f +00100193 +00000a6f diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt b/SIM-CPU/rv32i_test/b_asm.txt similarity index 97% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt rename to SIM-CPU/rv32i_test/b_asm.txt index 7aee09e..6652706 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_Assembly.txt +++ b/SIM-CPU/rv32i_test/b_asm.txt @@ -1,3296 +1,3285 @@ - -2testAll.om: file format elf32-littleriscv - - -Disassembly of section .text: - -00010080 <_start>: - 10080: 00000013 nop - -00010084 : - 10084: 00004097 auipc ra,0x4 - 10088: acc08093 addi ra,ra,-1332 # 13b50 - 1008c: 00008f03 lb t5,0(ra) - 10090: fff00e93 li t4,-1 - 10094: 0ed00193 li gp,237 - 10098: 01df0463 beq t5,t4,100a0 - 1009c: 25d0206f j 12af8 - -000100a0 : - 100a0: 00004097 auipc ra,0x4 - 100a4: ab008093 addi ra,ra,-1360 # 13b50 - 100a8: 00108f03 lb t5,1(ra) - 100ac: 00000e93 li t4,0 - 100b0: 0ee00193 li gp,238 - 100b4: 01df0463 beq t5,t4,100bc - 100b8: 2410206f j 12af8 - -000100bc : - 100bc: 00004097 auipc ra,0x4 - 100c0: a9408093 addi ra,ra,-1388 # 13b50 - 100c4: 00208f03 lb t5,2(ra) - 100c8: ff000e93 li t4,-16 - 100cc: 0ef00193 li gp,239 - 100d0: 01df0463 beq t5,t4,100d8 - 100d4: 2250206f j 12af8 - -000100d8 : - 100d8: 00004097 auipc ra,0x4 - 100dc: a7808093 addi ra,ra,-1416 # 13b50 - 100e0: 00308f03 lb t5,3(ra) - 100e4: 00f00e93 li t4,15 - 100e8: 0f000193 li gp,240 - 100ec: 01df0463 beq t5,t4,100f4 - 100f0: 2090206f j 12af8 - -000100f4 : - 100f4: 00004097 auipc ra,0x4 - 100f8: a5f08093 addi ra,ra,-1441 # 13b53 - 100fc: ffd08f03 lb t5,-3(ra) - 10100: fff00e93 li t4,-1 - 10104: 0f100193 li gp,241 - 10108: 01df0463 beq t5,t4,10110 - 1010c: 1ed0206f j 12af8 - -00010110 : - 10110: 00004097 auipc ra,0x4 - 10114: a4308093 addi ra,ra,-1469 # 13b53 - 10118: ffe08f03 lb t5,-2(ra) - 1011c: 00000e93 li t4,0 - 10120: 0f200193 li gp,242 - 10124: 01df0463 beq t5,t4,1012c - 10128: 1d10206f j 12af8 - -0001012c : - 1012c: 00004097 auipc ra,0x4 - 10130: a2708093 addi ra,ra,-1497 # 13b53 - 10134: fff08f03 lb t5,-1(ra) - 10138: ff000e93 li t4,-16 - 1013c: 0f300193 li gp,243 - 10140: 01df0463 beq t5,t4,10148 - 10144: 1b50206f j 12af8 - -00010148 : - 10148: 00004097 auipc ra,0x4 - 1014c: a0b08093 addi ra,ra,-1525 # 13b53 - 10150: 00008f03 lb t5,0(ra) - 10154: 00f00e93 li t4,15 - 10158: 0f400193 li gp,244 - 1015c: 01df0463 beq t5,t4,10164 - 10160: 1990206f j 12af8 - -00010164 : - 10164: 00004097 auipc ra,0x4 - 10168: 9ec08093 addi ra,ra,-1556 # 13b50 - 1016c: fe008093 addi ra,ra,-32 - 10170: 02008283 lb t0,32(ra) - 10174: fff00e93 li t4,-1 - 10178: 0f500193 li gp,245 - 1017c: 01d28463 beq t0,t4,10184 - 10180: 1790206f j 12af8 - -00010184 : - 10184: 00004097 auipc ra,0x4 - 10188: 9cc08093 addi ra,ra,-1588 # 13b50 - 1018c: ffa08093 addi ra,ra,-6 - 10190: 00708283 lb t0,7(ra) - 10194: 00000e93 li t4,0 - 10198: 0f600193 li gp,246 - 1019c: 01d28463 beq t0,t4,101a4 - 101a0: 1590206f j 12af8 - -000101a4 : - 101a4: 0f700193 li gp,247 - 101a8: 00000213 li tp,0 - 101ac: 00004097 auipc ra,0x4 - 101b0: 9a508093 addi ra,ra,-1627 # 13b51 - 101b4: 00108f03 lb t5,1(ra) - 101b8: 000f0313 mv t1,t5 - 101bc: ff000e93 li t4,-16 - 101c0: 01d30463 beq t1,t4,101c8 - 101c4: 1350206f j 12af8 - 101c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 101cc: 00200293 li t0,2 - 101d0: fc521ee3 bne tp,t0,101ac - -000101d4 : - 101d4: 0f800193 li gp,248 - 101d8: 00000213 li tp,0 - 101dc: 00004097 auipc ra,0x4 - 101e0: 97608093 addi ra,ra,-1674 # 13b52 - 101e4: 00108f03 lb t5,1(ra) - 101e8: 00000013 nop - 101ec: 000f0313 mv t1,t5 - 101f0: 00f00e93 li t4,15 - 101f4: 01d30463 beq t1,t4,101fc - 101f8: 1010206f j 12af8 - 101fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10200: 00200293 li t0,2 - 10204: fc521ce3 bne tp,t0,101dc - -00010208 : - 10208: 0f900193 li gp,249 - 1020c: 00000213 li tp,0 - 10210: 00004097 auipc ra,0x4 - 10214: 94008093 addi ra,ra,-1728 # 13b50 - 10218: 00108f03 lb t5,1(ra) - 1021c: 00000013 nop - 10220: 00000013 nop - 10224: 000f0313 mv t1,t5 - 10228: 00000e93 li t4,0 - 1022c: 01d30463 beq t1,t4,10234 - 10230: 0c90206f j 12af8 - 10234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10238: 00200293 li t0,2 - 1023c: fc521ae3 bne tp,t0,10210 - -00010240 : - 10240: 0fa00193 li gp,250 - 10244: 00000213 li tp,0 - 10248: 00004097 auipc ra,0x4 - 1024c: 90908093 addi ra,ra,-1783 # 13b51 - 10250: 00108f03 lb t5,1(ra) - 10254: ff000e93 li t4,-16 - 10258: 01df0463 beq t5,t4,10260 - 1025c: 09d0206f j 12af8 - 10260: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10264: 00200293 li t0,2 - 10268: fe5210e3 bne tp,t0,10248 - -0001026c : - 1026c: 0fb00193 li gp,251 - 10270: 00000213 li tp,0 - 10274: 00004097 auipc ra,0x4 - 10278: 8de08093 addi ra,ra,-1826 # 13b52 - 1027c: 00000013 nop - 10280: 00108f03 lb t5,1(ra) - 10284: 00f00e93 li t4,15 - 10288: 01df0463 beq t5,t4,10290 - 1028c: 06d0206f j 12af8 - 10290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10294: 00200293 li t0,2 - 10298: fc521ee3 bne tp,t0,10274 - -0001029c : - 1029c: 0fc00193 li gp,252 - 102a0: 00000213 li tp,0 - 102a4: 00004097 auipc ra,0x4 - 102a8: 8ac08093 addi ra,ra,-1876 # 13b50 - 102ac: 00000013 nop - 102b0: 00000013 nop - 102b4: 00108f03 lb t5,1(ra) - 102b8: 00000e93 li t4,0 - 102bc: 01df0463 beq t5,t4,102c4 - 102c0: 0390206f j 12af8 - 102c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102c8: 00200293 li t0,2 - 102cc: fc521ce3 bne tp,t0,102a4 - -000102d0 : - 102d0: 00004297 auipc t0,0x4 - 102d4: 88028293 addi t0,t0,-1920 # 13b50 - 102d8: 00028103 lb sp,0(t0) - 102dc: 00200113 li sp,2 - 102e0: 00200e93 li t4,2 - 102e4: 0fd00193 li gp,253 - 102e8: 01d10463 beq sp,t4,102f0 - 102ec: 00d0206f j 12af8 - -000102f0 : - 102f0: 00004297 auipc t0,0x4 - 102f4: 86028293 addi t0,t0,-1952 # 13b50 - 102f8: 00028103 lb sp,0(t0) - 102fc: 00000013 nop - 10300: 00200113 li sp,2 - 10304: 00200e93 li t4,2 - 10308: 0fe00193 li gp,254 - 1030c: 01d10463 beq sp,t4,10314 - 10310: 7e80206f j 12af8 - -00010314 : - 10314: 00004097 auipc ra,0x4 - 10318: 83c08093 addi ra,ra,-1988 # 13b50 - 1031c: 0000cf03 lbu t5,0(ra) - 10320: 0ff00e93 li t4,255 - 10324: 0ff00193 li gp,255 - 10328: 01df0463 beq t5,t4,10330 - 1032c: 7cc0206f j 12af8 - -00010330 : - 10330: 00004097 auipc ra,0x4 - 10334: 82008093 addi ra,ra,-2016 # 13b50 - 10338: 0010cf03 lbu t5,1(ra) - 1033c: 00000e93 li t4,0 - 10340: 10000193 li gp,256 - 10344: 01df0463 beq t5,t4,1034c - 10348: 7b00206f j 12af8 - -0001034c : - 1034c: 00004097 auipc ra,0x4 - 10350: 80408093 addi ra,ra,-2044 # 13b50 - 10354: 0020cf03 lbu t5,2(ra) - 10358: 0f000e93 li t4,240 - 1035c: 10100193 li gp,257 - 10360: 01df0463 beq t5,t4,10368 - 10364: 7940206f j 12af8 - -00010368 : - 10368: 00003097 auipc ra,0x3 - 1036c: 7e808093 addi ra,ra,2024 # 13b50 - 10370: 0030cf03 lbu t5,3(ra) - 10374: 00f00e93 li t4,15 - 10378: 10200193 li gp,258 - 1037c: 01df0463 beq t5,t4,10384 - 10380: 7780206f j 12af8 - -00010384 : - 10384: 00003097 auipc ra,0x3 - 10388: 7cf08093 addi ra,ra,1999 # 13b53 - 1038c: ffd0cf03 lbu t5,-3(ra) - 10390: 0ff00e93 li t4,255 - 10394: 10300193 li gp,259 - 10398: 01df0463 beq t5,t4,103a0 - 1039c: 75c0206f j 12af8 - -000103a0 : - 103a0: 00003097 auipc ra,0x3 - 103a4: 7b308093 addi ra,ra,1971 # 13b53 - 103a8: ffe0cf03 lbu t5,-2(ra) - 103ac: 00000e93 li t4,0 - 103b0: 10400193 li gp,260 - 103b4: 01df0463 beq t5,t4,103bc - 103b8: 7400206f j 12af8 - -000103bc : - 103bc: 00003097 auipc ra,0x3 - 103c0: 79708093 addi ra,ra,1943 # 13b53 - 103c4: fff0cf03 lbu t5,-1(ra) - 103c8: 0f000e93 li t4,240 - 103cc: 10500193 li gp,261 - 103d0: 01df0463 beq t5,t4,103d8 - 103d4: 7240206f j 12af8 - -000103d8 : - 103d8: 00003097 auipc ra,0x3 - 103dc: 77b08093 addi ra,ra,1915 # 13b53 - 103e0: 0000cf03 lbu t5,0(ra) - 103e4: 00f00e93 li t4,15 - 103e8: 10600193 li gp,262 - 103ec: 01df0463 beq t5,t4,103f4 - 103f0: 7080206f j 12af8 - -000103f4 : - 103f4: 00003097 auipc ra,0x3 - 103f8: 75c08093 addi ra,ra,1884 # 13b50 - 103fc: fe008093 addi ra,ra,-32 - 10400: 0200c283 lbu t0,32(ra) - 10404: 0ff00e93 li t4,255 - 10408: 10700193 li gp,263 - 1040c: 01d28463 beq t0,t4,10414 - 10410: 6e80206f j 12af8 - -00010414 : - 10414: 00003097 auipc ra,0x3 - 10418: 73c08093 addi ra,ra,1852 # 13b50 - 1041c: ffa08093 addi ra,ra,-6 - 10420: 0070c283 lbu t0,7(ra) - 10424: 00000e93 li t4,0 - 10428: 10800193 li gp,264 - 1042c: 01d28463 beq t0,t4,10434 - 10430: 6c80206f j 12af8 - -00010434 : - 10434: 10900193 li gp,265 - 10438: 00000213 li tp,0 - 1043c: 00003097 auipc ra,0x3 - 10440: 71508093 addi ra,ra,1813 # 13b51 - 10444: 0010cf03 lbu t5,1(ra) - 10448: 000f0313 mv t1,t5 - 1044c: 0f000e93 li t4,240 - 10450: 01d30463 beq t1,t4,10458 - 10454: 6a40206f j 12af8 - 10458: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1045c: 00200293 li t0,2 - 10460: fc521ee3 bne tp,t0,1043c - -00010464 : - 10464: 10a00193 li gp,266 - 10468: 00000213 li tp,0 - 1046c: 00003097 auipc ra,0x3 - 10470: 6e608093 addi ra,ra,1766 # 13b52 - 10474: 0010cf03 lbu t5,1(ra) - 10478: 00000013 nop - 1047c: 000f0313 mv t1,t5 - 10480: 00f00e93 li t4,15 - 10484: 01d30463 beq t1,t4,1048c - 10488: 6700206f j 12af8 - 1048c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10490: 00200293 li t0,2 - 10494: fc521ce3 bne tp,t0,1046c - -00010498 : - 10498: 10b00193 li gp,267 - 1049c: 00000213 li tp,0 - 104a0: 00003097 auipc ra,0x3 - 104a4: 6b008093 addi ra,ra,1712 # 13b50 - 104a8: 0010cf03 lbu t5,1(ra) - 104ac: 00000013 nop - 104b0: 00000013 nop - 104b4: 000f0313 mv t1,t5 - 104b8: 00000e93 li t4,0 - 104bc: 01d30463 beq t1,t4,104c4 - 104c0: 6380206f j 12af8 - 104c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104c8: 00200293 li t0,2 - 104cc: fc521ae3 bne tp,t0,104a0 - -000104d0 : - 104d0: 10c00193 li gp,268 - 104d4: 00000213 li tp,0 - 104d8: 00003097 auipc ra,0x3 - 104dc: 67908093 addi ra,ra,1657 # 13b51 - 104e0: 0010cf03 lbu t5,1(ra) - 104e4: 0f000e93 li t4,240 - 104e8: 01df0463 beq t5,t4,104f0 - 104ec: 60c0206f j 12af8 - 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104f4: 00200293 li t0,2 - 104f8: fe5210e3 bne tp,t0,104d8 - -000104fc : - 104fc: 10d00193 li gp,269 - 10500: 00000213 li tp,0 - 10504: 00003097 auipc ra,0x3 - 10508: 64e08093 addi ra,ra,1614 # 13b52 - 1050c: 00000013 nop - 10510: 0010cf03 lbu t5,1(ra) - 10514: 00f00e93 li t4,15 - 10518: 01df0463 beq t5,t4,10520 - 1051c: 5dc0206f j 12af8 - 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10524: 00200293 li t0,2 - 10528: fc521ee3 bne tp,t0,10504 - -0001052c : - 1052c: 10e00193 li gp,270 - 10530: 00000213 li tp,0 - 10534: 00003097 auipc ra,0x3 - 10538: 61c08093 addi ra,ra,1564 # 13b50 - 1053c: 00000013 nop - 10540: 00000013 nop - 10544: 0010cf03 lbu t5,1(ra) - 10548: 00000e93 li t4,0 - 1054c: 01df0463 beq t5,t4,10554 - 10550: 5a80206f j 12af8 - 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10558: 00200293 li t0,2 - 1055c: fc521ce3 bne tp,t0,10534 - -00010560 : - 10560: 00003297 auipc t0,0x3 - 10564: 5f028293 addi t0,t0,1520 # 13b50 - 10568: 0002c103 lbu sp,0(t0) - 1056c: 00200113 li sp,2 - 10570: 00200e93 li t4,2 - 10574: 10f00193 li gp,271 - 10578: 01d10463 beq sp,t4,10580 - 1057c: 57c0206f j 12af8 - -00010580 : - 10580: 00003297 auipc t0,0x3 - 10584: 5d028293 addi t0,t0,1488 # 13b50 - 10588: 0002c103 lbu sp,0(t0) - 1058c: 00000013 nop - 10590: 00200113 li sp,2 - 10594: 00200e93 li t4,2 - 10598: 11000193 li gp,272 - 1059c: 01d10463 beq sp,t4,105a4 - 105a0: 5580206f j 12af8 - -000105a4 : - 105a4: 00003097 auipc ra,0x3 - 105a8: 5bc08093 addi ra,ra,1468 # 13b60 - 105ac: 00009f03 lh t5,0(ra) - 105b0: 0ff00e93 li t4,255 - 105b4: 11100193 li gp,273 - 105b8: 01df0463 beq t5,t4,105c0 - 105bc: 53c0206f j 12af8 - -000105c0 : - 105c0: 00003097 auipc ra,0x3 - 105c4: 5a008093 addi ra,ra,1440 # 13b60 - 105c8: 00209f03 lh t5,2(ra) - 105cc: f0000e93 li t4,-256 - 105d0: 11200193 li gp,274 - 105d4: 01df0463 beq t5,t4,105dc - 105d8: 5200206f j 12af8 - -000105dc : - 105dc: 00003097 auipc ra,0x3 - 105e0: 58408093 addi ra,ra,1412 # 13b60 - 105e4: 00409f03 lh t5,4(ra) - 105e8: 00001eb7 lui t4,0x1 - 105ec: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 105f0: 11300193 li gp,275 - 105f4: 01df0463 beq t5,t4,105fc - 105f8: 5000206f j 12af8 - -000105fc : - 105fc: 00003097 auipc ra,0x3 - 10600: 56408093 addi ra,ra,1380 # 13b60 - 10604: 00609f03 lh t5,6(ra) - 10608: fffffeb7 lui t4,0xfffff - 1060c: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 10610: 11400193 li gp,276 - 10614: 01df0463 beq t5,t4,1061c - 10618: 4e00206f j 12af8 - -0001061c : - 1061c: 00003097 auipc ra,0x3 - 10620: 54a08093 addi ra,ra,1354 # 13b66 - 10624: ffa09f03 lh t5,-6(ra) - 10628: 0ff00e93 li t4,255 - 1062c: 11500193 li gp,277 - 10630: 01df0463 beq t5,t4,10638 - 10634: 4c40206f j 12af8 - -00010638 : - 10638: 00003097 auipc ra,0x3 - 1063c: 52e08093 addi ra,ra,1326 # 13b66 - 10640: ffc09f03 lh t5,-4(ra) - 10644: f0000e93 li t4,-256 - 10648: 11600193 li gp,278 - 1064c: 01df0463 beq t5,t4,10654 - 10650: 4a80206f j 12af8 - -00010654 : - 10654: 00003097 auipc ra,0x3 - 10658: 51208093 addi ra,ra,1298 # 13b66 - 1065c: ffe09f03 lh t5,-2(ra) - 10660: 00001eb7 lui t4,0x1 - 10664: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10668: 11700193 li gp,279 - 1066c: 01df0463 beq t5,t4,10674 - 10670: 4880206f j 12af8 - -00010674 : - 10674: 00003097 auipc ra,0x3 - 10678: 4f208093 addi ra,ra,1266 # 13b66 - 1067c: 00009f03 lh t5,0(ra) - 10680: fffffeb7 lui t4,0xfffff - 10684: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 10688: 11800193 li gp,280 - 1068c: 01df0463 beq t5,t4,10694 - 10690: 4680206f j 12af8 - -00010694 : - 10694: 00003097 auipc ra,0x3 - 10698: 4cc08093 addi ra,ra,1228 # 13b60 - 1069c: fe008093 addi ra,ra,-32 - 106a0: 02009283 lh t0,32(ra) - 106a4: 0ff00e93 li t4,255 - 106a8: 11900193 li gp,281 - 106ac: 01d28463 beq t0,t4,106b4 - 106b0: 4480206f j 12af8 - -000106b4 : - 106b4: 00003097 auipc ra,0x3 - 106b8: 4ac08093 addi ra,ra,1196 # 13b60 - 106bc: ffb08093 addi ra,ra,-5 - 106c0: 00709283 lh t0,7(ra) - 106c4: f0000e93 li t4,-256 - 106c8: 11a00193 li gp,282 - 106cc: 01d28463 beq t0,t4,106d4 - 106d0: 4280206f j 12af8 - -000106d4 : - 106d4: 11b00193 li gp,283 - 106d8: 00000213 li tp,0 - 106dc: 00003097 auipc ra,0x3 - 106e0: 48608093 addi ra,ra,1158 # 13b62 - 106e4: 00209f03 lh t5,2(ra) - 106e8: 000f0313 mv t1,t5 - 106ec: 00001eb7 lui t4,0x1 - 106f0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 106f4: 01d30463 beq t1,t4,106fc - 106f8: 4000206f j 12af8 - 106fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10700: 00200293 li t0,2 - 10704: fc521ce3 bne tp,t0,106dc - -00010708 : - 10708: 11c00193 li gp,284 - 1070c: 00000213 li tp,0 - 10710: 00003097 auipc ra,0x3 - 10714: 45408093 addi ra,ra,1108 # 13b64 - 10718: 00209f03 lh t5,2(ra) - 1071c: 00000013 nop - 10720: 000f0313 mv t1,t5 - 10724: fffffeb7 lui t4,0xfffff - 10728: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 1072c: 01d30463 beq t1,t4,10734 - 10730: 3c80206f j 12af8 - 10734: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10738: 00200293 li t0,2 - 1073c: fc521ae3 bne tp,t0,10710 - -00010740 : - 10740: 11d00193 li gp,285 - 10744: 00000213 li tp,0 - 10748: 00003097 auipc ra,0x3 - 1074c: 41808093 addi ra,ra,1048 # 13b60 - 10750: 00209f03 lh t5,2(ra) - 10754: 00000013 nop - 10758: 00000013 nop - 1075c: 000f0313 mv t1,t5 - 10760: f0000e93 li t4,-256 - 10764: 01d30463 beq t1,t4,1076c - 10768: 3900206f j 12af8 - 1076c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10770: 00200293 li t0,2 - 10774: fc521ae3 bne tp,t0,10748 - -00010778 : - 10778: 11e00193 li gp,286 - 1077c: 00000213 li tp,0 - 10780: 00003097 auipc ra,0x3 - 10784: 3e208093 addi ra,ra,994 # 13b62 - 10788: 00209f03 lh t5,2(ra) - 1078c: 00001eb7 lui t4,0x1 - 10790: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10794: 01df0463 beq t5,t4,1079c - 10798: 3600206f j 12af8 - 1079c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107a0: 00200293 li t0,2 - 107a4: fc521ee3 bne tp,t0,10780 - -000107a8 : - 107a8: 11f00193 li gp,287 - 107ac: 00000213 li tp,0 - 107b0: 00003097 auipc ra,0x3 - 107b4: 3b408093 addi ra,ra,948 # 13b64 - 107b8: 00000013 nop - 107bc: 00209f03 lh t5,2(ra) - 107c0: fffffeb7 lui t4,0xfffff - 107c4: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> - 107c8: 01df0463 beq t5,t4,107d0 - 107cc: 32c0206f j 12af8 - 107d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 107d4: 00200293 li t0,2 - 107d8: fc521ce3 bne tp,t0,107b0 - -000107dc : - 107dc: 12000193 li gp,288 - 107e0: 00000213 li tp,0 - 107e4: 00003097 auipc ra,0x3 - 107e8: 37c08093 addi ra,ra,892 # 13b60 - 107ec: 00000013 nop - 107f0: 00000013 nop - 107f4: 00209f03 lh t5,2(ra) - 107f8: f0000e93 li t4,-256 - 107fc: 01df0463 beq t5,t4,10804 - 10800: 2f80206f j 12af8 - 10804: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10808: 00200293 li t0,2 - 1080c: fc521ce3 bne tp,t0,107e4 - -00010810 : - 10810: 00003297 auipc t0,0x3 - 10814: 35028293 addi t0,t0,848 # 13b60 - 10818: 00029103 lh sp,0(t0) - 1081c: 00200113 li sp,2 - 10820: 00200e93 li t4,2 - 10824: 12100193 li gp,289 - 10828: 01d10463 beq sp,t4,10830 - 1082c: 2cc0206f j 12af8 - -00010830 : - 10830: 00003297 auipc t0,0x3 - 10834: 33028293 addi t0,t0,816 # 13b60 - 10838: 00029103 lh sp,0(t0) - 1083c: 00000013 nop - 10840: 00200113 li sp,2 - 10844: 00200e93 li t4,2 - 10848: 12200193 li gp,290 - 1084c: 01d10463 beq sp,t4,10854 - 10850: 2a80206f j 12af8 - -00010854 : - 10854: 00003097 auipc ra,0x3 - 10858: 30c08093 addi ra,ra,780 # 13b60 - 1085c: 0000df03 lhu t5,0(ra) - 10860: 0ff00e93 li t4,255 - 10864: 12300193 li gp,291 - 10868: 01df0463 beq t5,t4,10870 - 1086c: 28c0206f j 12af8 - -00010870 : - 10870: 00003097 auipc ra,0x3 - 10874: 2f008093 addi ra,ra,752 # 13b60 - 10878: 0020df03 lhu t5,2(ra) - 1087c: 00010eb7 lui t4,0x10 - 10880: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10884: 12400193 li gp,292 - 10888: 01df0463 beq t5,t4,10890 - 1088c: 26c0206f j 12af8 - -00010890 : - 10890: 00003097 auipc ra,0x3 - 10894: 2d008093 addi ra,ra,720 # 13b60 - 10898: 0040df03 lhu t5,4(ra) - 1089c: 00001eb7 lui t4,0x1 - 108a0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 108a4: 12500193 li gp,293 - 108a8: 01df0463 beq t5,t4,108b0 - 108ac: 24c0206f j 12af8 - -000108b0 : - 108b0: 00003097 auipc ra,0x3 - 108b4: 2b008093 addi ra,ra,688 # 13b60 - 108b8: 0060df03 lhu t5,6(ra) - 108bc: 0000feb7 lui t4,0xf - 108c0: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 108c4: 12600193 li gp,294 - 108c8: 01df0463 beq t5,t4,108d0 - 108cc: 22c0206f j 12af8 - -000108d0 : - 108d0: 00003097 auipc ra,0x3 - 108d4: 29608093 addi ra,ra,662 # 13b66 - 108d8: ffa0df03 lhu t5,-6(ra) - 108dc: 0ff00e93 li t4,255 - 108e0: 12700193 li gp,295 - 108e4: 01df0463 beq t5,t4,108ec - 108e8: 2100206f j 12af8 - -000108ec : - 108ec: 00003097 auipc ra,0x3 - 108f0: 27a08093 addi ra,ra,634 # 13b66 - 108f4: ffc0df03 lhu t5,-4(ra) - 108f8: 00010eb7 lui t4,0x10 - 108fc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10900: 12800193 li gp,296 - 10904: 01df0463 beq t5,t4,1090c - 10908: 1f00206f j 12af8 - -0001090c : - 1090c: 00003097 auipc ra,0x3 - 10910: 25a08093 addi ra,ra,602 # 13b66 - 10914: ffe0df03 lhu t5,-2(ra) - 10918: 00001eb7 lui t4,0x1 - 1091c: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10920: 12900193 li gp,297 - 10924: 01df0463 beq t5,t4,1092c - 10928: 1d00206f j 12af8 - -0001092c : - 1092c: 00003097 auipc ra,0x3 - 10930: 23a08093 addi ra,ra,570 # 13b66 - 10934: 0000df03 lhu t5,0(ra) - 10938: 0000feb7 lui t4,0xf - 1093c: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 10940: 12a00193 li gp,298 - 10944: 01df0463 beq t5,t4,1094c - 10948: 1b00206f j 12af8 - -0001094c : - 1094c: 00003097 auipc ra,0x3 - 10950: 21408093 addi ra,ra,532 # 13b60 - 10954: fe008093 addi ra,ra,-32 - 10958: 0200d283 lhu t0,32(ra) - 1095c: 0ff00e93 li t4,255 - 10960: 12b00193 li gp,299 - 10964: 01d28463 beq t0,t4,1096c - 10968: 1900206f j 12af8 - -0001096c : - 1096c: 00003097 auipc ra,0x3 - 10970: 1f408093 addi ra,ra,500 # 13b60 - 10974: ffb08093 addi ra,ra,-5 - 10978: 0070d283 lhu t0,7(ra) - 1097c: 00010eb7 lui t4,0x10 - 10980: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10984: 12c00193 li gp,300 - 10988: 01d28463 beq t0,t4,10990 - 1098c: 16c0206f j 12af8 - -00010990 : - 10990: 12d00193 li gp,301 - 10994: 00000213 li tp,0 - 10998: 00003097 auipc ra,0x3 - 1099c: 1ca08093 addi ra,ra,458 # 13b62 - 109a0: 0020df03 lhu t5,2(ra) - 109a4: 000f0313 mv t1,t5 - 109a8: 00001eb7 lui t4,0x1 - 109ac: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 109b0: 01d30463 beq t1,t4,109b8 - 109b4: 1440206f j 12af8 - 109b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109bc: 00200293 li t0,2 - 109c0: fc521ce3 bne tp,t0,10998 - -000109c4 : - 109c4: 12e00193 li gp,302 - 109c8: 00000213 li tp,0 - 109cc: 00003097 auipc ra,0x3 - 109d0: 19808093 addi ra,ra,408 # 13b64 - 109d4: 0020df03 lhu t5,2(ra) - 109d8: 00000013 nop - 109dc: 000f0313 mv t1,t5 - 109e0: 0000feb7 lui t4,0xf - 109e4: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 109e8: 01d30463 beq t1,t4,109f0 - 109ec: 10c0206f j 12af8 - 109f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109f4: 00200293 li t0,2 - 109f8: fc521ae3 bne tp,t0,109cc - -000109fc : - 109fc: 12f00193 li gp,303 - 10a00: 00000213 li tp,0 - 10a04: 00003097 auipc ra,0x3 - 10a08: 15c08093 addi ra,ra,348 # 13b60 - 10a0c: 0020df03 lhu t5,2(ra) - 10a10: 00000013 nop - 10a14: 00000013 nop - 10a18: 000f0313 mv t1,t5 - 10a1c: 00010eb7 lui t4,0x10 - 10a20: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10a24: 01d30463 beq t1,t4,10a2c - 10a28: 0d00206f j 12af8 - 10a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a30: 00200293 li t0,2 - 10a34: fc5218e3 bne tp,t0,10a04 - -00010a38 : - 10a38: 13000193 li gp,304 - 10a3c: 00000213 li tp,0 - 10a40: 00003097 auipc ra,0x3 - 10a44: 12208093 addi ra,ra,290 # 13b62 - 10a48: 0020df03 lhu t5,2(ra) - 10a4c: 00001eb7 lui t4,0x1 - 10a50: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> - 10a54: 01df0463 beq t5,t4,10a5c - 10a58: 0a00206f j 12af8 - 10a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a60: 00200293 li t0,2 - 10a64: fc521ee3 bne tp,t0,10a40 - -00010a68 : - 10a68: 13100193 li gp,305 - 10a6c: 00000213 li tp,0 - 10a70: 00003097 auipc ra,0x3 - 10a74: 0f408093 addi ra,ra,244 # 13b64 - 10a78: 00000013 nop - 10a7c: 0020df03 lhu t5,2(ra) - 10a80: 0000feb7 lui t4,0xf - 10a84: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> - 10a88: 01df0463 beq t5,t4,10a90 - 10a8c: 06c0206f j 12af8 - 10a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a94: 00200293 li t0,2 - 10a98: fc521ce3 bne tp,t0,10a70 - -00010a9c : - 10a9c: 13200193 li gp,306 - 10aa0: 00000213 li tp,0 - 10aa4: 00003097 auipc ra,0x3 - 10aa8: 0bc08093 addi ra,ra,188 # 13b60 - 10aac: 00000013 nop - 10ab0: 00000013 nop - 10ab4: 0020df03 lhu t5,2(ra) - 10ab8: 00010eb7 lui t4,0x10 - 10abc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> - 10ac0: 01df0463 beq t5,t4,10ac8 - 10ac4: 0340206f j 12af8 - 10ac8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10acc: 00200293 li t0,2 - 10ad0: fc521ae3 bne tp,t0,10aa4 - -00010ad4 : - 10ad4: 00003297 auipc t0,0x3 - 10ad8: 08c28293 addi t0,t0,140 # 13b60 - 10adc: 0002d103 lhu sp,0(t0) - 10ae0: 00200113 li sp,2 - 10ae4: 00200e93 li t4,2 - 10ae8: 13300193 li gp,307 - 10aec: 01d10463 beq sp,t4,10af4 - 10af0: 0080206f j 12af8 - -00010af4 : - 10af4: 00003297 auipc t0,0x3 - 10af8: 06c28293 addi t0,t0,108 # 13b60 - 10afc: 0002d103 lhu sp,0(t0) - 10b00: 00000013 nop - 10b04: 00200113 li sp,2 - 10b08: 00200e93 li t4,2 - 10b0c: 13400193 li gp,308 - 10b10: 01d10463 beq sp,t4,10b18 - 10b14: 7e50106f j 12af8 - -00010b18 : - 10b18: 000000b7 lui ra,0x0 - 10b1c: 00000e93 li t4,0 - 10b20: 13500193 li gp,309 - 10b24: 01d08463 beq ra,t4,10b2c - 10b28: 7d10106f j 12af8 - -00010b2c : - 10b2c: fffff0b7 lui ra,0xfffff - 10b30: 4010d093 srai ra,ra,0x1 - 10b34: 80000e93 li t4,-2048 - 10b38: 13600193 li gp,310 - 10b3c: 01d08463 beq ra,t4,10b44 - 10b40: 7b90106f j 12af8 - -00010b44 : - 10b44: 7ffff0b7 lui ra,0x7ffff - 10b48: 4140d093 srai ra,ra,0x14 - 10b4c: 7ff00e93 li t4,2047 - 10b50: 13700193 li gp,311 - 10b54: 01d08463 beq ra,t4,10b5c - 10b58: 7a10106f j 12af8 - -00010b5c : - 10b5c: 800000b7 lui ra,0x80000 - 10b60: 4140d093 srai ra,ra,0x14 - 10b64: 80000e93 li t4,-2048 - 10b68: 13800193 li gp,312 - 10b6c: 01d08463 beq ra,t4,10b74 - 10b70: 7890106f j 12af8 - -00010b74 : - 10b74: 80000037 lui zero,0x80000 - 10b78: 00000e93 li t4,0 - 10b7c: 13900193 li gp,313 - 10b80: 01d00463 beq zero,t4,10b88 - 10b84: 7750106f j 12af8 - -00010b88 : - 10b88: 00003097 auipc ra,0x3 - 10b8c: ff808093 addi ra,ra,-8 # 13b80 - 10b90: 0000af03 lw t5,0(ra) - 10b94: 00ff0eb7 lui t4,0xff0 - 10b98: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10b9c: 13a00193 li gp,314 - 10ba0: 01df0463 beq t5,t4,10ba8 - 10ba4: 7550106f j 12af8 - -00010ba8 : - 10ba8: 00003097 auipc ra,0x3 - 10bac: fd808093 addi ra,ra,-40 # 13b80 - 10bb0: 0040af03 lw t5,4(ra) - 10bb4: ff010eb7 lui t4,0xff010 - 10bb8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10bbc: 13b00193 li gp,315 - 10bc0: 01df0463 beq t5,t4,10bc8 - 10bc4: 7350106f j 12af8 - -00010bc8 : - 10bc8: 00003097 auipc ra,0x3 - 10bcc: fb808093 addi ra,ra,-72 # 13b80 - 10bd0: 0080af03 lw t5,8(ra) - 10bd4: 0ff01eb7 lui t4,0xff01 - 10bd8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10bdc: 13c00193 li gp,316 - 10be0: 01df0463 beq t5,t4,10be8 - 10be4: 7150106f j 12af8 - -00010be8 : - 10be8: 00003097 auipc ra,0x3 - 10bec: f9808093 addi ra,ra,-104 # 13b80 - 10bf0: 00c0af03 lw t5,12(ra) - 10bf4: f00ffeb7 lui t4,0xf00ff - 10bf8: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10bfc: 13d00193 li gp,317 - 10c00: 01df0463 beq t5,t4,10c08 - 10c04: 6f50106f j 12af8 - -00010c08 : - 10c08: 00003097 auipc ra,0x3 - 10c0c: f8408093 addi ra,ra,-124 # 13b8c - 10c10: ff40af03 lw t5,-12(ra) - 10c14: 00ff0eb7 lui t4,0xff0 - 10c18: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10c1c: 13e00193 li gp,318 - 10c20: 01df0463 beq t5,t4,10c28 - 10c24: 6d50106f j 12af8 - -00010c28 : - 10c28: 00003097 auipc ra,0x3 - 10c2c: f6408093 addi ra,ra,-156 # 13b8c - 10c30: ff80af03 lw t5,-8(ra) - 10c34: ff010eb7 lui t4,0xff010 - 10c38: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10c3c: 13f00193 li gp,319 - 10c40: 01df0463 beq t5,t4,10c48 - 10c44: 6b50106f j 12af8 - -00010c48 : - 10c48: 00003097 auipc ra,0x3 - 10c4c: f4408093 addi ra,ra,-188 # 13b8c - 10c50: ffc0af03 lw t5,-4(ra) - 10c54: 0ff01eb7 lui t4,0xff01 - 10c58: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10c5c: 14000193 li gp,320 - 10c60: 01df0463 beq t5,t4,10c68 - 10c64: 6950106f j 12af8 - -00010c68 : - 10c68: 00003097 auipc ra,0x3 - 10c6c: f2408093 addi ra,ra,-220 # 13b8c - 10c70: 0000af03 lw t5,0(ra) - 10c74: f00ffeb7 lui t4,0xf00ff - 10c78: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10c7c: 14100193 li gp,321 - 10c80: 01df0463 beq t5,t4,10c88 - 10c84: 6750106f j 12af8 - -00010c88 : - 10c88: 00003097 auipc ra,0x3 - 10c8c: ef808093 addi ra,ra,-264 # 13b80 - 10c90: fe008093 addi ra,ra,-32 - 10c94: 0200a283 lw t0,32(ra) - 10c98: 00ff0eb7 lui t4,0xff0 - 10c9c: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10ca0: 14200193 li gp,322 - 10ca4: 01d28463 beq t0,t4,10cac - 10ca8: 6510106f j 12af8 - -00010cac : - 10cac: 00003097 auipc ra,0x3 - 10cb0: ed408093 addi ra,ra,-300 # 13b80 - 10cb4: ffd08093 addi ra,ra,-3 - 10cb8: 0070a283 lw t0,7(ra) - 10cbc: ff010eb7 lui t4,0xff010 - 10cc0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10cc4: 14300193 li gp,323 - 10cc8: 01d28463 beq t0,t4,10cd0 - 10ccc: 62d0106f j 12af8 - -00010cd0 : - 10cd0: 14400193 li gp,324 - 10cd4: 00000213 li tp,0 - 10cd8: 00003097 auipc ra,0x3 - 10cdc: eac08093 addi ra,ra,-340 # 13b84 - 10ce0: 0040af03 lw t5,4(ra) - 10ce4: 000f0313 mv t1,t5 - 10ce8: 0ff01eb7 lui t4,0xff01 - 10cec: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10cf0: 01d30463 beq t1,t4,10cf8 - 10cf4: 6050106f j 12af8 - 10cf8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10cfc: 00200293 li t0,2 - 10d00: fc521ce3 bne tp,t0,10cd8 - -00010d04 : - 10d04: 14500193 li gp,325 - 10d08: 00000213 li tp,0 - 10d0c: 00003097 auipc ra,0x3 - 10d10: e7c08093 addi ra,ra,-388 # 13b88 - 10d14: 0040af03 lw t5,4(ra) - 10d18: 00000013 nop - 10d1c: 000f0313 mv t1,t5 - 10d20: f00ffeb7 lui t4,0xf00ff - 10d24: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10d28: 01d30463 beq t1,t4,10d30 - 10d2c: 5cd0106f j 12af8 - 10d30: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d34: 00200293 li t0,2 - 10d38: fc521ae3 bne tp,t0,10d0c - -00010d3c : - 10d3c: 14600193 li gp,326 - 10d40: 00000213 li tp,0 - 10d44: 00003097 auipc ra,0x3 - 10d48: e3c08093 addi ra,ra,-452 # 13b80 - 10d4c: 0040af03 lw t5,4(ra) - 10d50: 00000013 nop - 10d54: 00000013 nop - 10d58: 000f0313 mv t1,t5 - 10d5c: ff010eb7 lui t4,0xff010 - 10d60: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10d64: 01d30463 beq t1,t4,10d6c - 10d68: 5910106f j 12af8 - 10d6c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10d70: 00200293 li t0,2 - 10d74: fc5218e3 bne tp,t0,10d44 - -00010d78 : - 10d78: 14700193 li gp,327 - 10d7c: 00000213 li tp,0 - 10d80: 00003097 auipc ra,0x3 - 10d84: e0408093 addi ra,ra,-508 # 13b84 - 10d88: 0040af03 lw t5,4(ra) - 10d8c: 0ff01eb7 lui t4,0xff01 - 10d90: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10d94: 01df0463 beq t5,t4,10d9c - 10d98: 5610106f j 12af8 - 10d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10da0: 00200293 li t0,2 - 10da4: fc521ee3 bne tp,t0,10d80 - -00010da8 : - 10da8: 14800193 li gp,328 - 10dac: 00000213 li tp,0 - 10db0: 00003097 auipc ra,0x3 - 10db4: dd808093 addi ra,ra,-552 # 13b88 - 10db8: 00000013 nop - 10dbc: 0040af03 lw t5,4(ra) - 10dc0: f00ffeb7 lui t4,0xf00ff - 10dc4: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10dc8: 01df0463 beq t5,t4,10dd0 - 10dcc: 52d0106f j 12af8 - 10dd0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10dd4: 00200293 li t0,2 - 10dd8: fc521ce3 bne tp,t0,10db0 - -00010ddc : - 10ddc: 14900193 li gp,329 - 10de0: 00000213 li tp,0 - 10de4: 00003097 auipc ra,0x3 - 10de8: d9c08093 addi ra,ra,-612 # 13b80 - 10dec: 00000013 nop - 10df0: 00000013 nop - 10df4: 0040af03 lw t5,4(ra) - 10df8: ff010eb7 lui t4,0xff010 - 10dfc: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10e00: 01df0463 beq t5,t4,10e08 - 10e04: 4f50106f j 12af8 - 10e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e0c: 00200293 li t0,2 - 10e10: fc521ae3 bne tp,t0,10de4 - -00010e14 : - 10e14: 00003297 auipc t0,0x3 - 10e18: d6c28293 addi t0,t0,-660 # 13b80 - 10e1c: 0002a103 lw sp,0(t0) - 10e20: 00200113 li sp,2 - 10e24: 00200e93 li t4,2 - 10e28: 14a00193 li gp,330 - 10e2c: 01d10463 beq sp,t4,10e34 - 10e30: 4c90106f j 12af8 - -00010e34 : - 10e34: 00003297 auipc t0,0x3 - 10e38: d4c28293 addi t0,t0,-692 # 13b80 - 10e3c: 0002a103 lw sp,0(t0) - 10e40: 00000013 nop - 10e44: 00200113 li sp,2 - 10e48: 00200e93 li t4,2 - 10e4c: 14b00193 li gp,331 - 10e50: 01d10463 beq sp,t4,10e58 - 10e54: 4a50106f j 12af8 - -00010e58 : - 10e58: ff0100b7 lui ra,0xff010 - 10e5c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10e60: 0f0f1137 lui sp,0xf0f1 - 10e64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10e68: 0020ef33 or t5,ra,sp - 10e6c: ff100eb7 lui t4,0xff100 - 10e70: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10e74: 14c00193 li gp,332 - 10e78: 01df0463 beq t5,t4,10e80 - 10e7c: 47d0106f j 12af8 - -00010e80 : - 10e80: 0ff010b7 lui ra,0xff01 - 10e84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10e88: f0f0f137 lui sp,0xf0f0f - 10e8c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10e90: 0020ef33 or t5,ra,sp - 10e94: fff10eb7 lui t4,0xfff10 - 10e98: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 10e9c: 14d00193 li gp,333 - 10ea0: 01df0463 beq t5,t4,10ea8 - 10ea4: 4550106f j 12af8 - -00010ea8 : - 10ea8: 00ff00b7 lui ra,0xff0 - 10eac: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10eb0: 0f0f1137 lui sp,0xf0f1 - 10eb4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10eb8: 0020ef33 or t5,ra,sp - 10ebc: 0fff1eb7 lui t4,0xfff1 - 10ec0: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 10ec4: 14e00193 li gp,334 - 10ec8: 01df0463 beq t5,t4,10ed0 - 10ecc: 42d0106f j 12af8 - -00010ed0 : - 10ed0: f00ff0b7 lui ra,0xf00ff - 10ed4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 10ed8: f0f0f137 lui sp,0xf0f0f - 10edc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10ee0: 0020ef33 or t5,ra,sp - 10ee4: f0fffeb7 lui t4,0xf0fff - 10ee8: 0ffe8e93 addi t4,t4,255 # f0fff0ff <__global_pointer$+0xf0feacb7> - 10eec: 14f00193 li gp,335 - 10ef0: 01df0463 beq t5,t4,10ef8 - 10ef4: 4050106f j 12af8 - -00010ef8 : - 10ef8: ff0100b7 lui ra,0xff010 - 10efc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f00: 0f0f1137 lui sp,0xf0f1 - 10f04: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f08: 0020e0b3 or ra,ra,sp - 10f0c: ff100eb7 lui t4,0xff100 - 10f10: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f14: 15000193 li gp,336 - 10f18: 01d08463 beq ra,t4,10f20 - 10f1c: 3dd0106f j 12af8 - -00010f20 : - 10f20: ff0100b7 lui ra,0xff010 - 10f24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f28: 0f0f1137 lui sp,0xf0f1 - 10f2c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f30: 0020e133 or sp,ra,sp - 10f34: ff100eb7 lui t4,0xff100 - 10f38: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f3c: 15100193 li gp,337 - 10f40: 01d10463 beq sp,t4,10f48 - 10f44: 3b50106f j 12af8 - -00010f48 : - 10f48: ff0100b7 lui ra,0xff010 - 10f4c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f50: 0010e0b3 or ra,ra,ra - 10f54: ff010eb7 lui t4,0xff010 - 10f58: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f5c: 15200193 li gp,338 - 10f60: 01d08463 beq ra,t4,10f68 - 10f64: 3950106f j 12af8 - -00010f68 : - 10f68: 00000213 li tp,0 - 10f6c: ff0100b7 lui ra,0xff010 - 10f70: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 10f74: 0f0f1137 lui sp,0xf0f1 - 10f78: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10f7c: 0020ef33 or t5,ra,sp - 10f80: 000f0313 mv t1,t5 - 10f84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f88: 00200293 li t0,2 - 10f8c: fe5210e3 bne tp,t0,10f6c - 10f90: ff100eb7 lui t4,0xff100 - 10f94: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 10f98: 15300193 li gp,339 - 10f9c: 01d30463 beq t1,t4,10fa4 - 10fa0: 3590106f j 12af8 - -00010fa4 : - 10fa4: 00000213 li tp,0 - 10fa8: 0ff010b7 lui ra,0xff01 - 10fac: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 10fb0: f0f0f137 lui sp,0xf0f0f - 10fb4: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 10fb8: 0020ef33 or t5,ra,sp - 10fbc: 00000013 nop - 10fc0: 000f0313 mv t1,t5 - 10fc4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fc8: 00200293 li t0,2 - 10fcc: fc521ee3 bne tp,t0,10fa8 - 10fd0: fff10eb7 lui t4,0xfff10 - 10fd4: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 10fd8: 15400193 li gp,340 - 10fdc: 01d30463 beq t1,t4,10fe4 - 10fe0: 3190106f j 12af8 - -00010fe4 : - 10fe4: 00000213 li tp,0 - 10fe8: 00ff00b7 lui ra,0xff0 - 10fec: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 10ff0: 0f0f1137 lui sp,0xf0f1 - 10ff4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 10ff8: 0020ef33 or t5,ra,sp - 10ffc: 00000013 nop - 11000: 00000013 nop - 11004: 000f0313 mv t1,t5 - 11008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1100c: 00200293 li t0,2 - 11010: fc521ce3 bne tp,t0,10fe8 - 11014: 0fff1eb7 lui t4,0xfff1 - 11018: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 1101c: 15500193 li gp,341 - 11020: 01d30463 beq t1,t4,11028 - 11024: 2d50106f j 12af8 - -00011028 : - 11028: 00000213 li tp,0 - 1102c: ff0100b7 lui ra,0xff010 - 11030: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11034: 0f0f1137 lui sp,0xf0f1 - 11038: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 1103c: 0020ef33 or t5,ra,sp - 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11044: 00200293 li t0,2 - 11048: fe5212e3 bne tp,t0,1102c - 1104c: ff100eb7 lui t4,0xff100 - 11050: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 11054: 15600193 li gp,342 - 11058: 01df0463 beq t5,t4,11060 - 1105c: 29d0106f j 12af8 - -00011060 : - 11060: 00000213 li tp,0 - 11064: 0ff010b7 lui ra,0xff01 - 11068: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 1106c: f0f0f137 lui sp,0xf0f0f - 11070: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11074: 00000013 nop - 11078: 0020ef33 or t5,ra,sp - 1107c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11080: 00200293 li t0,2 - 11084: fe5210e3 bne tp,t0,11064 - 11088: fff10eb7 lui t4,0xfff10 - 1108c: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 11090: 15700193 li gp,343 - 11094: 01df0463 beq t5,t4,1109c - 11098: 2610106f j 12af8 - -0001109c : - 1109c: 00000213 li tp,0 - 110a0: 00ff00b7 lui ra,0xff0 - 110a4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 110a8: 0f0f1137 lui sp,0xf0f1 - 110ac: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 110b0: 00000013 nop - 110b4: 00000013 nop - 110b8: 0020ef33 or t5,ra,sp - 110bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110c0: 00200293 li t0,2 - 110c4: fc521ee3 bne tp,t0,110a0 - 110c8: 0fff1eb7 lui t4,0xfff1 - 110cc: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 110d0: 15800193 li gp,344 - 110d4: 01df0463 beq t5,t4,110dc - 110d8: 2210106f j 12af8 - -000110dc : - 110dc: 00000213 li tp,0 - 110e0: ff0100b7 lui ra,0xff010 - 110e4: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 110e8: 00000013 nop - 110ec: 0f0f1137 lui sp,0xf0f1 - 110f0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 110f4: 0020ef33 or t5,ra,sp - 110f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110fc: 00200293 li t0,2 - 11100: fe5210e3 bne tp,t0,110e0 - 11104: ff100eb7 lui t4,0xff100 - 11108: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 1110c: 15900193 li gp,345 - 11110: 01df0463 beq t5,t4,11118 - 11114: 1e50106f j 12af8 - -00011118 : - 11118: 00000213 li tp,0 - 1111c: 0ff010b7 lui ra,0xff01 - 11120: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11124: 00000013 nop - 11128: f0f0f137 lui sp,0xf0f0f - 1112c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11130: 00000013 nop - 11134: 0020ef33 or t5,ra,sp - 11138: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1113c: 00200293 li t0,2 - 11140: fc521ee3 bne tp,t0,1111c - 11144: fff10eb7 lui t4,0xfff10 - 11148: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 1114c: 15a00193 li gp,346 - 11150: 01df0463 beq t5,t4,11158 - 11154: 1a50106f j 12af8 - -00011158 : - 11158: 00000213 li tp,0 - 1115c: 00ff00b7 lui ra,0xff0 - 11160: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11164: 00000013 nop - 11168: 00000013 nop - 1116c: 0f0f1137 lui sp,0xf0f1 - 11170: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11174: 0020ef33 or t5,ra,sp - 11178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1117c: 00200293 li t0,2 - 11180: fc521ee3 bne tp,t0,1115c - 11184: 0fff1eb7 lui t4,0xfff1 - 11188: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 1118c: 15b00193 li gp,347 - 11190: 01df0463 beq t5,t4,11198 - 11194: 1650106f j 12af8 - -00011198 : - 11198: 00000213 li tp,0 - 1119c: 0f0f1137 lui sp,0xf0f1 - 111a0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 111a4: ff0100b7 lui ra,0xff010 - 111a8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 111ac: 0020ef33 or t5,ra,sp - 111b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111b4: 00200293 li t0,2 - 111b8: fe5212e3 bne tp,t0,1119c - 111bc: ff100eb7 lui t4,0xff100 - 111c0: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 111c4: 15c00193 li gp,348 - 111c8: 01df0463 beq t5,t4,111d0 - 111cc: 12d0106f j 12af8 - -000111d0 : - 111d0: 00000213 li tp,0 - 111d4: f0f0f137 lui sp,0xf0f0f - 111d8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 111dc: 0ff010b7 lui ra,0xff01 - 111e0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 111e4: 00000013 nop - 111e8: 0020ef33 or t5,ra,sp - 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 111f0: 00200293 li t0,2 - 111f4: fe5210e3 bne tp,t0,111d4 - 111f8: fff10eb7 lui t4,0xfff10 - 111fc: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 11200: 15d00193 li gp,349 - 11204: 01df0463 beq t5,t4,1120c - 11208: 0f10106f j 12af8 - -0001120c : - 1120c: 00000213 li tp,0 - 11210: 0f0f1137 lui sp,0xf0f1 - 11214: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11218: 00ff00b7 lui ra,0xff0 - 1121c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11220: 00000013 nop - 11224: 00000013 nop - 11228: 0020ef33 or t5,ra,sp - 1122c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11230: 00200293 li t0,2 - 11234: fc521ee3 bne tp,t0,11210 - 11238: 0fff1eb7 lui t4,0xfff1 - 1123c: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 11240: 15e00193 li gp,350 - 11244: 01df0463 beq t5,t4,1124c - 11248: 0b10106f j 12af8 - -0001124c : - 1124c: 00000213 li tp,0 - 11250: 0f0f1137 lui sp,0xf0f1 - 11254: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 11258: 00000013 nop - 1125c: ff0100b7 lui ra,0xff010 - 11260: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11264: 0020ef33 or t5,ra,sp - 11268: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1126c: 00200293 li t0,2 - 11270: fe5210e3 bne tp,t0,11250 - 11274: ff100eb7 lui t4,0xff100 - 11278: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> - 1127c: 15f00193 li gp,351 - 11280: 01df0463 beq t5,t4,11288 - 11284: 0750106f j 12af8 - -00011288 : - 11288: 00000213 li tp,0 - 1128c: f0f0f137 lui sp,0xf0f0f - 11290: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> - 11294: 00000013 nop - 11298: 0ff010b7 lui ra,0xff01 - 1129c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 112a0: 00000013 nop - 112a4: 0020ef33 or t5,ra,sp - 112a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112ac: 00200293 li t0,2 - 112b0: fc521ee3 bne tp,t0,1128c - 112b4: fff10eb7 lui t4,0xfff10 - 112b8: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> - 112bc: 16000193 li gp,352 - 112c0: 01df0463 beq t5,t4,112c8 - 112c4: 0350106f j 12af8 - -000112c8 : - 112c8: 00000213 li tp,0 - 112cc: 0f0f1137 lui sp,0xf0f1 - 112d0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> - 112d4: 00000013 nop - 112d8: 00000013 nop - 112dc: 00ff00b7 lui ra,0xff0 - 112e0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 112e4: 0020ef33 or t5,ra,sp - 112e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 112ec: 00200293 li t0,2 - 112f0: fc521ee3 bne tp,t0,112cc - 112f4: 0fff1eb7 lui t4,0xfff1 - 112f8: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> - 112fc: 16100193 li gp,353 - 11300: 01df0463 beq t5,t4,11308 - 11304: 7f40106f j 12af8 - -00011308 : - 11308: ff0100b7 lui ra,0xff010 - 1130c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11310: 00106133 or sp,zero,ra - 11314: ff010eb7 lui t4,0xff010 - 11318: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 1131c: 16200193 li gp,354 - 11320: 01d10463 beq sp,t4,11328 - 11324: 7d40106f j 12af8 - -00011328 : - 11328: 00ff00b7 lui ra,0xff0 - 1132c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11330: 0000e133 or sp,ra,zero - 11334: 00ff0eb7 lui t4,0xff0 - 11338: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> - 1133c: 16300193 li gp,355 - 11340: 01d10463 beq sp,t4,11348 - 11344: 7b40106f j 12af8 - -00011348 : - 11348: 000060b3 or ra,zero,zero - 1134c: 00000e93 li t4,0 - 11350: 16400193 li gp,356 - 11354: 01d08463 beq ra,t4,1135c - 11358: 7a00106f j 12af8 - -0001135c : - 1135c: 111110b7 lui ra,0x11111 - 11360: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fccc9> - 11364: 22222137 lui sp,0x22222 - 11368: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220ddda> - 1136c: 0020e033 or zero,ra,sp - 11370: 00000e93 li t4,0 - 11374: 16500193 li gp,357 - 11378: 01d00463 beq zero,t4,11380 - 1137c: 77c0106f j 12af8 - -00011380 : - 11380: ff0100b7 lui ra,0xff010 - 11384: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11388: f0f0ef13 ori t5,ra,-241 - 1138c: f0f00e93 li t4,-241 - 11390: 16600193 li gp,358 - 11394: 01df0463 beq t5,t4,1139c - 11398: 7600106f j 12af8 - -0001139c : - 1139c: 0ff010b7 lui ra,0xff01 - 113a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 113a4: 0f00ef13 ori t5,ra,240 - 113a8: 0ff01eb7 lui t4,0xff01 - 113ac: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 113b0: 16700193 li gp,359 - 113b4: 01df0463 beq t5,t4,113bc - 113b8: 7400106f j 12af8 - -000113bc : - 113bc: 00ff00b7 lui ra,0xff0 - 113c0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 113c4: 70f0ef13 ori t5,ra,1807 - 113c8: 00ff0eb7 lui t4,0xff0 - 113cc: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> - 113d0: 16800193 li gp,360 - 113d4: 01df0463 beq t5,t4,113dc - 113d8: 7200106f j 12af8 - -000113dc : - 113dc: f00ff0b7 lui ra,0xf00ff - 113e0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 113e4: 0f00ef13 ori t5,ra,240 - 113e8: f00ffeb7 lui t4,0xf00ff - 113ec: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 113f0: 16900193 li gp,361 - 113f4: 01df0463 beq t5,t4,113fc - 113f8: 7000106f j 12af8 - -000113fc : - 113fc: ff0100b7 lui ra,0xff010 - 11400: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> - 11404: 0f00e093 ori ra,ra,240 - 11408: ff010eb7 lui t4,0xff010 - 1140c: ff0e8e93 addi t4,t4,-16 # ff00fff0 <__global_pointer$+0xfeffbba8> - 11410: 16a00193 li gp,362 - 11414: 01d08463 beq ra,t4,1141c - 11418: 6e00106f j 12af8 - -0001141c : - 1141c: 00000213 li tp,0 - 11420: 0ff010b7 lui ra,0xff01 - 11424: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11428: 0f00ef13 ori t5,ra,240 - 1142c: 000f0313 mv t1,t5 - 11430: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11434: 00200293 li t0,2 - 11438: fe5214e3 bne tp,t0,11420 - 1143c: 0ff01eb7 lui t4,0xff01 - 11440: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 11444: 16b00193 li gp,363 - 11448: 01d30463 beq t1,t4,11450 - 1144c: 6ac0106f j 12af8 - -00011450 : - 11450: 00000213 li tp,0 - 11454: 00ff00b7 lui ra,0xff0 - 11458: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 1145c: 70f0ef13 ori t5,ra,1807 - 11460: 00000013 nop - 11464: 000f0313 mv t1,t5 - 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1146c: 00200293 li t0,2 - 11470: fe5212e3 bne tp,t0,11454 - 11474: 00ff0eb7 lui t4,0xff0 - 11478: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> - 1147c: 16c00193 li gp,364 - 11480: 01d30463 beq t1,t4,11488 - 11484: 6740106f j 12af8 - -00011488 : - 11488: 00000213 li tp,0 - 1148c: f00ff0b7 lui ra,0xf00ff - 11490: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 11494: 0f00ef13 ori t5,ra,240 - 11498: 00000013 nop - 1149c: 00000013 nop - 114a0: 000f0313 mv t1,t5 - 114a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114a8: 00200293 li t0,2 - 114ac: fe5210e3 bne tp,t0,1148c - 114b0: f00ffeb7 lui t4,0xf00ff - 114b4: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 114b8: 16d00193 li gp,365 - 114bc: 01d30463 beq t1,t4,114c4 - 114c0: 6380106f j 12af8 - -000114c4 : - 114c4: 00000213 li tp,0 - 114c8: 0ff010b7 lui ra,0xff01 - 114cc: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 114d0: 0f00ef13 ori t5,ra,240 - 114d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 114d8: 00200293 li t0,2 - 114dc: fe5216e3 bne tp,t0,114c8 - 114e0: 0ff01eb7 lui t4,0xff01 - 114e4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> - 114e8: 16e00193 li gp,366 - 114ec: 01df0463 beq t5,t4,114f4 - 114f0: 6080106f j 12af8 - -000114f4 : - 114f4: 00000213 li tp,0 - 114f8: 00ff00b7 lui ra,0xff0 - 114fc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11500: 00000013 nop - 11504: f0f0ef13 ori t5,ra,-241 - 11508: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1150c: 00200293 li t0,2 - 11510: fe5214e3 bne tp,t0,114f8 - 11514: fff00e93 li t4,-1 - 11518: 16f00193 li gp,367 - 1151c: 01df0463 beq t5,t4,11524 - 11520: 5d80106f j 12af8 - -00011524 : - 11524: 00000213 li tp,0 - 11528: f00ff0b7 lui ra,0xf00ff - 1152c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> - 11530: 00000013 nop - 11534: 00000013 nop - 11538: 0f00ef13 ori t5,ra,240 - 1153c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11540: 00200293 li t0,2 - 11544: fe5212e3 bne tp,t0,11528 - 11548: f00ffeb7 lui t4,0xf00ff - 1154c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> - 11550: 17000193 li gp,368 - 11554: 01df0463 beq t5,t4,1155c - 11558: 5a00106f j 12af8 - -0001155c : - 1155c: 0f006093 ori ra,zero,240 - 11560: 0f000e93 li t4,240 - 11564: 17100193 li gp,369 - 11568: 01d08463 beq ra,t4,11570 - 1156c: 58c0106f j 12af8 - -00011570 : - 11570: 00ff00b7 lui ra,0xff0 - 11574: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> - 11578: 70f0e013 ori zero,ra,1807 - 1157c: 00000e93 li t4,0 - 11580: 17200193 li gp,370 - 11584: 01d00463 beq zero,t4,1158c - 11588: 5700106f j 12af8 - -0001158c : - 1158c: 00002097 auipc ra,0x2 - 11590: 5c808093 addi ra,ra,1480 # 13b54 - 11594: faa00113 li sp,-86 - 11598: 00208023 sb sp,0(ra) - 1159c: 00008f03 lb t5,0(ra) - 115a0: faa00e93 li t4,-86 - 115a4: 17300193 li gp,371 - 115a8: 01df0463 beq t5,t4,115b0 - 115ac: 54c0106f j 12af8 - -000115b0 : - 115b0: 00002097 auipc ra,0x2 - 115b4: 5a408093 addi ra,ra,1444 # 13b54 - 115b8: 00000113 li sp,0 - 115bc: 002080a3 sb sp,1(ra) - 115c0: 00108f03 lb t5,1(ra) - 115c4: 00000e93 li t4,0 - 115c8: 17400193 li gp,372 - 115cc: 01df0463 beq t5,t4,115d4 - 115d0: 5280106f j 12af8 - -000115d4 : - 115d4: 00002097 auipc ra,0x2 - 115d8: 58008093 addi ra,ra,1408 # 13b54 - 115dc: fffff137 lui sp,0xfffff - 115e0: fa010113 addi sp,sp,-96 # ffffefa0 <__global_pointer$+0xfffeab58> - 115e4: 00208123 sb sp,2(ra) - 115e8: 00209f03 lh t5,2(ra) - 115ec: fffffeb7 lui t4,0xfffff - 115f0: fa0e8e93 addi t4,t4,-96 # ffffefa0 <__global_pointer$+0xfffeab58> - 115f4: 17500193 li gp,373 - 115f8: 01df0463 beq t5,t4,11600 - 115fc: 4fc0106f j 12af8 - -00011600 : - 11600: 00002097 auipc ra,0x2 - 11604: 55408093 addi ra,ra,1364 # 13b54 - 11608: 00a00113 li sp,10 - 1160c: 002081a3 sb sp,3(ra) - 11610: 00308f03 lb t5,3(ra) - 11614: 00a00e93 li t4,10 - 11618: 17600193 li gp,374 - 1161c: 01df0463 beq t5,t4,11624 - 11620: 4d80106f j 12af8 - -00011624 : - 11624: 00002097 auipc ra,0x2 - 11628: 53708093 addi ra,ra,1335 # 13b5b - 1162c: faa00113 li sp,-86 - 11630: fe208ea3 sb sp,-3(ra) - 11634: ffd08f03 lb t5,-3(ra) - 11638: faa00e93 li t4,-86 - 1163c: 17700193 li gp,375 - 11640: 01df0463 beq t5,t4,11648 - 11644: 4b40106f j 12af8 - -00011648 : - 11648: 00002097 auipc ra,0x2 - 1164c: 51308093 addi ra,ra,1299 # 13b5b - 11650: 00000113 li sp,0 - 11654: fe208f23 sb sp,-2(ra) - 11658: ffe08f03 lb t5,-2(ra) - 1165c: 00000e93 li t4,0 - 11660: 17800193 li gp,376 - 11664: 01df0463 beq t5,t4,1166c - 11668: 4900106f j 12af8 - -0001166c : - 1166c: 00002097 auipc ra,0x2 - 11670: 4ef08093 addi ra,ra,1263 # 13b5b - 11674: fa000113 li sp,-96 - 11678: fe208fa3 sb sp,-1(ra) - 1167c: fff08f03 lb t5,-1(ra) - 11680: fa000e93 li t4,-96 - 11684: 17900193 li gp,377 - 11688: 01df0463 beq t5,t4,11690 - 1168c: 46c0106f j 12af8 - -00011690 : - 11690: 00002097 auipc ra,0x2 - 11694: 4cb08093 addi ra,ra,1227 # 13b5b - 11698: 00a00113 li sp,10 - 1169c: 00208023 sb sp,0(ra) - 116a0: 00008f03 lb t5,0(ra) - 116a4: 00a00e93 li t4,10 - 116a8: 17a00193 li gp,378 - 116ac: 01df0463 beq t5,t4,116b4 - 116b0: 4480106f j 12af8 - -000116b4 : - 116b4: 00002097 auipc ra,0x2 - 116b8: 4a808093 addi ra,ra,1192 # 13b5c - 116bc: 12345137 lui sp,0x12345 - 116c0: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> - 116c4: fe008213 addi tp,ra,-32 - 116c8: 02220023 sb sp,32(tp) # 20 <_start-0x10060> - 116cc: 00008283 lb t0,0(ra) - 116d0: 07800e93 li t4,120 - 116d4: 17b00193 li gp,379 - 116d8: 01d28463 beq t0,t4,116e0 - 116dc: 41c0106f j 12af8 - -000116e0 : - 116e0: 00002097 auipc ra,0x2 - 116e4: 47c08093 addi ra,ra,1148 # 13b5c - 116e8: 00003137 lui sp,0x3 - 116ec: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> - 116f0: ffa08093 addi ra,ra,-6 - 116f4: 002083a3 sb sp,7(ra) - 116f8: 00002217 auipc tp,0x2 - 116fc: 46520213 addi tp,tp,1125 # 13b5d - 11700: 00020283 lb t0,0(tp) # 0 <_start-0x10080> - 11704: f9800e93 li t4,-104 - 11708: 17c00193 li gp,380 - 1170c: 01d28463 beq t0,t4,11714 - 11710: 3e80106f j 12af8 - -00011714 : - 11714: 17d00193 li gp,381 - 11718: 00000213 li tp,0 - 1171c: fdd00093 li ra,-35 - 11720: 00002117 auipc sp,0x2 - 11724: 43410113 addi sp,sp,1076 # 13b54 - 11728: 00110023 sb ra,0(sp) - 1172c: 00010f03 lb t5,0(sp) - 11730: fdd00e93 li t4,-35 - 11734: 01df0463 beq t5,t4,1173c - 11738: 3c00106f j 12af8 - 1173c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11740: 00200293 li t0,2 - 11744: fc521ce3 bne tp,t0,1171c - -00011748 : - 11748: 17e00193 li gp,382 - 1174c: 00000213 li tp,0 - 11750: fcd00093 li ra,-51 - 11754: 00002117 auipc sp,0x2 - 11758: 40010113 addi sp,sp,1024 # 13b54 - 1175c: 00000013 nop - 11760: 001100a3 sb ra,1(sp) - 11764: 00110f03 lb t5,1(sp) - 11768: fcd00e93 li t4,-51 - 1176c: 01df0463 beq t5,t4,11774 - 11770: 3880106f j 12af8 - 11774: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11778: 00200293 li t0,2 - 1177c: fc521ae3 bne tp,t0,11750 - -00011780 : - 11780: 17f00193 li gp,383 - 11784: 00000213 li tp,0 - 11788: fcc00093 li ra,-52 - 1178c: 00002117 auipc sp,0x2 - 11790: 3c810113 addi sp,sp,968 # 13b54 - 11794: 00000013 nop - 11798: 00000013 nop - 1179c: 00110123 sb ra,2(sp) - 117a0: 00210f03 lb t5,2(sp) - 117a4: fcc00e93 li t4,-52 - 117a8: 01df0463 beq t5,t4,117b0 - 117ac: 34c0106f j 12af8 - 117b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117b4: 00200293 li t0,2 - 117b8: fc5218e3 bne tp,t0,11788 - -000117bc : - 117bc: 18000193 li gp,384 - 117c0: 00000213 li tp,0 - 117c4: fbc00093 li ra,-68 - 117c8: 00000013 nop - 117cc: 00002117 auipc sp,0x2 - 117d0: 38810113 addi sp,sp,904 # 13b54 - 117d4: 001101a3 sb ra,3(sp) - 117d8: 00310f03 lb t5,3(sp) - 117dc: fbc00e93 li t4,-68 - 117e0: 01df0463 beq t5,t4,117e8 - 117e4: 3140106f j 12af8 - 117e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117ec: 00200293 li t0,2 - 117f0: fc521ae3 bne tp,t0,117c4 - -000117f4 : - 117f4: 18100193 li gp,385 - 117f8: 00000213 li tp,0 - 117fc: fbb00093 li ra,-69 - 11800: 00000013 nop - 11804: 00002117 auipc sp,0x2 - 11808: 35010113 addi sp,sp,848 # 13b54 - 1180c: 00000013 nop - 11810: 00110223 sb ra,4(sp) - 11814: 00410f03 lb t5,4(sp) - 11818: fbb00e93 li t4,-69 - 1181c: 01df0463 beq t5,t4,11824 - 11820: 2d80106f j 12af8 - 11824: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11828: 00200293 li t0,2 - 1182c: fc5218e3 bne tp,t0,117fc - -00011830 : - 11830: 18200193 li gp,386 - 11834: 00000213 li tp,0 - 11838: fab00093 li ra,-85 - 1183c: 00000013 nop - 11840: 00000013 nop - 11844: 00002117 auipc sp,0x2 - 11848: 31010113 addi sp,sp,784 # 13b54 - 1184c: 001102a3 sb ra,5(sp) - 11850: 00510f03 lb t5,5(sp) - 11854: fab00e93 li t4,-85 - 11858: 01df0463 beq t5,t4,11860 - 1185c: 29c0106f j 12af8 - 11860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11864: 00200293 li t0,2 - 11868: fc5218e3 bne tp,t0,11838 - -0001186c : - 1186c: 18300193 li gp,387 - 11870: 00000213 li tp,0 - 11874: 00002117 auipc sp,0x2 - 11878: 2e010113 addi sp,sp,736 # 13b54 - 1187c: 03300093 li ra,51 - 11880: 00110023 sb ra,0(sp) - 11884: 00010f03 lb t5,0(sp) - 11888: 03300e93 li t4,51 - 1188c: 01df0463 beq t5,t4,11894 - 11890: 2680106f j 12af8 - 11894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11898: 00200293 li t0,2 - 1189c: fc521ce3 bne tp,t0,11874 - -000118a0 : - 118a0: 18400193 li gp,388 - 118a4: 00000213 li tp,0 - 118a8: 00002117 auipc sp,0x2 - 118ac: 2ac10113 addi sp,sp,684 # 13b54 - 118b0: 02300093 li ra,35 - 118b4: 00000013 nop - 118b8: 001100a3 sb ra,1(sp) - 118bc: 00110f03 lb t5,1(sp) - 118c0: 02300e93 li t4,35 - 118c4: 01df0463 beq t5,t4,118cc - 118c8: 2300106f j 12af8 - 118cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118d0: 00200293 li t0,2 - 118d4: fc521ae3 bne tp,t0,118a8 - -000118d8 : - 118d8: 18500193 li gp,389 - 118dc: 00000213 li tp,0 - 118e0: 00002117 auipc sp,0x2 - 118e4: 27410113 addi sp,sp,628 # 13b54 - 118e8: 02200093 li ra,34 - 118ec: 00000013 nop - 118f0: 00000013 nop - 118f4: 00110123 sb ra,2(sp) - 118f8: 00210f03 lb t5,2(sp) - 118fc: 02200e93 li t4,34 - 11900: 01df0463 beq t5,t4,11908 - 11904: 1f40106f j 12af8 - 11908: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1190c: 00200293 li t0,2 - 11910: fc5218e3 bne tp,t0,118e0 - -00011914 : - 11914: 18600193 li gp,390 - 11918: 00000213 li tp,0 - 1191c: 00002117 auipc sp,0x2 - 11920: 23810113 addi sp,sp,568 # 13b54 - 11924: 00000013 nop - 11928: 01200093 li ra,18 - 1192c: 001101a3 sb ra,3(sp) - 11930: 00310f03 lb t5,3(sp) - 11934: 01200e93 li t4,18 - 11938: 01df0463 beq t5,t4,11940 - 1193c: 1bc0106f j 12af8 - 11940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11944: 00200293 li t0,2 - 11948: fc521ae3 bne tp,t0,1191c - -0001194c : - 1194c: 18700193 li gp,391 - 11950: 00000213 li tp,0 - 11954: 00002117 auipc sp,0x2 - 11958: 20010113 addi sp,sp,512 # 13b54 - 1195c: 00000013 nop - 11960: 01100093 li ra,17 - 11964: 00000013 nop - 11968: 00110223 sb ra,4(sp) - 1196c: 00410f03 lb t5,4(sp) - 11970: 01100e93 li t4,17 - 11974: 01df0463 beq t5,t4,1197c - 11978: 1800106f j 12af8 - 1197c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11980: 00200293 li t0,2 - 11984: fc5218e3 bne tp,t0,11954 - -00011988 : - 11988: 18800193 li gp,392 - 1198c: 00000213 li tp,0 - 11990: 00002117 auipc sp,0x2 - 11994: 1c410113 addi sp,sp,452 # 13b54 - 11998: 00000013 nop - 1199c: 00000013 nop - 119a0: 00100093 li ra,1 - 119a4: 001102a3 sb ra,5(sp) - 119a8: 00510f03 lb t5,5(sp) - 119ac: 00100e93 li t4,1 - 119b0: 01df0463 beq t5,t4,119b8 - 119b4: 1440106f j 12af8 - 119b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119bc: 00200293 li t0,2 - 119c0: fc5218e3 bne tp,t0,11990 - 119c4: 0ef00513 li a0,239 - 119c8: 00002597 auipc a1,0x2 - 119cc: 18c58593 addi a1,a1,396 # 13b54 - 119d0: 00a581a3 sb a0,3(a1) - -000119d4 : - 119d4: 00002097 auipc ra,0x2 - 119d8: 19408093 addi ra,ra,404 # 13b68 - 119dc: 0aa00113 li sp,170 - 119e0: 00209023 sh sp,0(ra) - 119e4: 00009f03 lh t5,0(ra) - 119e8: 0aa00e93 li t4,170 - 119ec: 18900193 li gp,393 - 119f0: 01df0463 beq t5,t4,119f8 - 119f4: 1040106f j 12af8 - -000119f8 : - 119f8: 00002097 auipc ra,0x2 - 119fc: 17008093 addi ra,ra,368 # 13b68 - 11a00: ffffb137 lui sp,0xffffb - 11a04: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11a08: 00209123 sh sp,2(ra) - 11a0c: 00209f03 lh t5,2(ra) - 11a10: ffffbeb7 lui t4,0xffffb - 11a14: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11a18: 18a00193 li gp,394 - 11a1c: 01df0463 beq t5,t4,11a24 - 11a20: 0d80106f j 12af8 - -00011a24 : - 11a24: 00002097 auipc ra,0x2 - 11a28: 14408093 addi ra,ra,324 # 13b68 - 11a2c: beef1137 lui sp,0xbeef1 - 11a30: aa010113 addi sp,sp,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> - 11a34: 00209223 sh sp,4(ra) - 11a38: 0040af03 lw t5,4(ra) - 11a3c: beef1eb7 lui t4,0xbeef1 - 11a40: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> - 11a44: 18b00193 li gp,395 - 11a48: 01df0463 beq t5,t4,11a50 - 11a4c: 0ac0106f j 12af8 - -00011a50 : - 11a50: 00002097 auipc ra,0x2 - 11a54: 11808093 addi ra,ra,280 # 13b68 - 11a58: ffffa137 lui sp,0xffffa - 11a5c: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11a60: 00209323 sh sp,6(ra) - 11a64: 00609f03 lh t5,6(ra) - 11a68: ffffaeb7 lui t4,0xffffa - 11a6c: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11a70: 18c00193 li gp,396 - 11a74: 01df0463 beq t5,t4,11a7c - 11a78: 0800106f j 12af8 - -00011a7c : - 11a7c: 00002097 auipc ra,0x2 - 11a80: 0fa08093 addi ra,ra,250 # 13b76 - 11a84: 0aa00113 li sp,170 - 11a88: fe209d23 sh sp,-6(ra) - 11a8c: ffa09f03 lh t5,-6(ra) - 11a90: 0aa00e93 li t4,170 - 11a94: 18d00193 li gp,397 - 11a98: 01df0463 beq t5,t4,11aa0 - 11a9c: 05c0106f j 12af8 - -00011aa0 : - 11aa0: 00002097 auipc ra,0x2 - 11aa4: 0d608093 addi ra,ra,214 # 13b76 - 11aa8: ffffb137 lui sp,0xffffb - 11aac: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11ab0: fe209e23 sh sp,-4(ra) - 11ab4: ffc09f03 lh t5,-4(ra) - 11ab8: ffffbeb7 lui t4,0xffffb - 11abc: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> - 11ac0: 18e00193 li gp,398 - 11ac4: 01df0463 beq t5,t4,11acc - 11ac8: 0300106f j 12af8 - -00011acc : - 11acc: 00002097 auipc ra,0x2 - 11ad0: 0aa08093 addi ra,ra,170 # 13b76 - 11ad4: 00001137 lui sp,0x1 - 11ad8: aa010113 addi sp,sp,-1376 # aa0 <_start-0xf5e0> - 11adc: fe209f23 sh sp,-2(ra) - 11ae0: ffe09f03 lh t5,-2(ra) - 11ae4: 00001eb7 lui t4,0x1 - 11ae8: aa0e8e93 addi t4,t4,-1376 # aa0 <_start-0xf5e0> - 11aec: 18f00193 li gp,399 - 11af0: 01df0463 beq t5,t4,11af8 - 11af4: 0040106f j 12af8 - -00011af8 : - 11af8: 00002097 auipc ra,0x2 - 11afc: 07e08093 addi ra,ra,126 # 13b76 - 11b00: ffffa137 lui sp,0xffffa - 11b04: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11b08: 00209023 sh sp,0(ra) - 11b0c: 00009f03 lh t5,0(ra) - 11b10: ffffaeb7 lui t4,0xffffa - 11b14: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> - 11b18: 19000193 li gp,400 - 11b1c: 7ddf1ee3 bne t5,t4,12af8 - -00011b20 : - 11b20: 00002097 auipc ra,0x2 - 11b24: 05808093 addi ra,ra,88 # 13b78 - 11b28: 12345137 lui sp,0x12345 - 11b2c: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> - 11b30: fe008213 addi tp,ra,-32 - 11b34: 02221023 sh sp,32(tp) # 20 <_start-0x10060> - 11b38: 00009283 lh t0,0(ra) - 11b3c: 00005eb7 lui t4,0x5 - 11b40: 678e8e93 addi t4,t4,1656 # 5678 <_start-0xaa08> - 11b44: 19100193 li gp,401 - 11b48: 7bd298e3 bne t0,t4,12af8 - -00011b4c : - 11b4c: 00002097 auipc ra,0x2 - 11b50: 02c08093 addi ra,ra,44 # 13b78 - 11b54: 00003137 lui sp,0x3 - 11b58: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> - 11b5c: ffb08093 addi ra,ra,-5 - 11b60: 002093a3 sh sp,7(ra) - 11b64: 00002217 auipc tp,0x2 - 11b68: 01620213 addi tp,tp,22 # 13b7a - 11b6c: 00021283 lh t0,0(tp) # 0 <_start-0x10080> - 11b70: 00003eb7 lui t4,0x3 - 11b74: 098e8e93 addi t4,t4,152 # 3098 <_start-0xcfe8> - 11b78: 19200193 li gp,402 - 11b7c: 77d29ee3 bne t0,t4,12af8 - -00011b80 : - 11b80: 19300193 li gp,403 - 11b84: 00000213 li tp,0 - 11b88: ffffd0b7 lui ra,0xffffd - 11b8c: cdd08093 addi ra,ra,-803 # ffffccdd <__global_pointer$+0xfffe8895> - 11b90: 00002117 auipc sp,0x2 - 11b94: fd810113 addi sp,sp,-40 # 13b68 - 11b98: 00111023 sh ra,0(sp) - 11b9c: 00011f03 lh t5,0(sp) - 11ba0: ffffdeb7 lui t4,0xffffd - 11ba4: cdde8e93 addi t4,t4,-803 # ffffccdd <__global_pointer$+0xfffe8895> - 11ba8: 75df18e3 bne t5,t4,12af8 - 11bac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bb0: 00200293 li t0,2 - 11bb4: fc521ae3 bne tp,t0,11b88 - -00011bb8 : - 11bb8: 19400193 li gp,404 - 11bbc: 00000213 li tp,0 - 11bc0: ffffc0b7 lui ra,0xffffc - 11bc4: ccd08093 addi ra,ra,-819 # ffffbccd <__global_pointer$+0xfffe7885> - 11bc8: 00002117 auipc sp,0x2 - 11bcc: fa010113 addi sp,sp,-96 # 13b68 - 11bd0: 00000013 nop - 11bd4: 00111123 sh ra,2(sp) - 11bd8: 00211f03 lh t5,2(sp) - 11bdc: ffffceb7 lui t4,0xffffc - 11be0: ccde8e93 addi t4,t4,-819 # ffffbccd <__global_pointer$+0xfffe7885> - 11be4: 71df1ae3 bne t5,t4,12af8 - 11be8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11bec: 00200293 li t0,2 - 11bf0: fc5218e3 bne tp,t0,11bc0 - -00011bf4 : - 11bf4: 19500193 li gp,405 - 11bf8: 00000213 li tp,0 - 11bfc: ffffc0b7 lui ra,0xffffc - 11c00: bcc08093 addi ra,ra,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> - 11c04: 00002117 auipc sp,0x2 - 11c08: f6410113 addi sp,sp,-156 # 13b68 - 11c0c: 00000013 nop - 11c10: 00000013 nop - 11c14: 00111223 sh ra,4(sp) - 11c18: 00411f03 lh t5,4(sp) - 11c1c: ffffceb7 lui t4,0xffffc - 11c20: bcce8e93 addi t4,t4,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> - 11c24: 6ddf1ae3 bne t5,t4,12af8 - 11c28: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c2c: 00200293 li t0,2 - 11c30: fc5216e3 bne tp,t0,11bfc - -00011c34 : - 11c34: 19600193 li gp,406 - 11c38: 00000213 li tp,0 - 11c3c: ffffb0b7 lui ra,0xffffb - 11c40: bbc08093 addi ra,ra,-1092 # ffffabbc <__global_pointer$+0xfffe6774> - 11c44: 00000013 nop - 11c48: 00002117 auipc sp,0x2 - 11c4c: f2010113 addi sp,sp,-224 # 13b68 - 11c50: 00111323 sh ra,6(sp) - 11c54: 00611f03 lh t5,6(sp) - 11c58: ffffbeb7 lui t4,0xffffb - 11c5c: bbce8e93 addi t4,t4,-1092 # ffffabbc <__global_pointer$+0xfffe6774> - 11c60: 69df1ce3 bne t5,t4,12af8 - 11c64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11c68: 00200293 li t0,2 - 11c6c: fc5218e3 bne tp,t0,11c3c - -00011c70 : - 11c70: 19700193 li gp,407 - 11c74: 00000213 li tp,0 - 11c78: ffffb0b7 lui ra,0xffffb - 11c7c: abb08093 addi ra,ra,-1349 # ffffaabb <__global_pointer$+0xfffe6673> - 11c80: 00000013 nop - 11c84: 00002117 auipc sp,0x2 - 11c88: ee410113 addi sp,sp,-284 # 13b68 - 11c8c: 00000013 nop - 11c90: 00111423 sh ra,8(sp) - 11c94: 00811f03 lh t5,8(sp) - 11c98: ffffbeb7 lui t4,0xffffb - 11c9c: abbe8e93 addi t4,t4,-1349 # ffffaabb <__global_pointer$+0xfffe6673> - 11ca0: 65df1ce3 bne t5,t4,12af8 - 11ca4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ca8: 00200293 li t0,2 - 11cac: fc5216e3 bne tp,t0,11c78 - -00011cb0 : - 11cb0: 19800193 li gp,408 - 11cb4: 00000213 li tp,0 - 11cb8: ffffe0b7 lui ra,0xffffe - 11cbc: aab08093 addi ra,ra,-1365 # ffffdaab <__global_pointer$+0xfffe9663> - 11cc0: 00000013 nop - 11cc4: 00000013 nop - 11cc8: 00002117 auipc sp,0x2 - 11ccc: ea010113 addi sp,sp,-352 # 13b68 - 11cd0: 00111523 sh ra,10(sp) - 11cd4: 00a11f03 lh t5,10(sp) - 11cd8: ffffeeb7 lui t4,0xffffe - 11cdc: aabe8e93 addi t4,t4,-1365 # ffffdaab <__global_pointer$+0xfffe9663> - 11ce0: 61df1ce3 bne t5,t4,12af8 - 11ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11ce8: 00200293 li t0,2 - 11cec: fc5216e3 bne tp,t0,11cb8 - -00011cf0 : - 11cf0: 19900193 li gp,409 - 11cf4: 00000213 li tp,0 - 11cf8: 00002117 auipc sp,0x2 - 11cfc: e7010113 addi sp,sp,-400 # 13b68 - 11d00: 000020b7 lui ra,0x2 - 11d04: 23308093 addi ra,ra,563 # 2233 <_start-0xde4d> - 11d08: 00111023 sh ra,0(sp) - 11d0c: 00011f03 lh t5,0(sp) - 11d10: 00002eb7 lui t4,0x2 - 11d14: 233e8e93 addi t4,t4,563 # 2233 <_start-0xde4d> - 11d18: 5fdf10e3 bne t5,t4,12af8 - 11d1c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d20: 00200293 li t0,2 - 11d24: fc521ae3 bne tp,t0,11cf8 - -00011d28 : - 11d28: 19a00193 li gp,410 - 11d2c: 00000213 li tp,0 - 11d30: 00002117 auipc sp,0x2 - 11d34: e3810113 addi sp,sp,-456 # 13b68 - 11d38: 000010b7 lui ra,0x1 - 11d3c: 22308093 addi ra,ra,547 # 1223 <_start-0xee5d> - 11d40: 00000013 nop - 11d44: 00111123 sh ra,2(sp) - 11d48: 00211f03 lh t5,2(sp) - 11d4c: 00001eb7 lui t4,0x1 - 11d50: 223e8e93 addi t4,t4,547 # 1223 <_start-0xee5d> - 11d54: 5bdf12e3 bne t5,t4,12af8 - 11d58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d5c: 00200293 li t0,2 - 11d60: fc5218e3 bne tp,t0,11d30 - -00011d64 : - 11d64: 19b00193 li gp,411 - 11d68: 00000213 li tp,0 - 11d6c: 00002117 auipc sp,0x2 - 11d70: dfc10113 addi sp,sp,-516 # 13b68 - 11d74: 000010b7 lui ra,0x1 - 11d78: 12208093 addi ra,ra,290 # 1122 <_start-0xef5e> - 11d7c: 00000013 nop - 11d80: 00000013 nop - 11d84: 00111223 sh ra,4(sp) - 11d88: 00411f03 lh t5,4(sp) - 11d8c: 00001eb7 lui t4,0x1 - 11d90: 122e8e93 addi t4,t4,290 # 1122 <_start-0xef5e> - 11d94: 57df12e3 bne t5,t4,12af8 - 11d98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d9c: 00200293 li t0,2 - 11da0: fc5216e3 bne tp,t0,11d6c - -00011da4 : - 11da4: 19c00193 li gp,412 - 11da8: 00000213 li tp,0 - 11dac: 00002117 auipc sp,0x2 - 11db0: dbc10113 addi sp,sp,-580 # 13b68 - 11db4: 00000013 nop - 11db8: 11200093 li ra,274 - 11dbc: 00111323 sh ra,6(sp) - 11dc0: 00611f03 lh t5,6(sp) - 11dc4: 11200e93 li t4,274 - 11dc8: 53df18e3 bne t5,t4,12af8 - 11dcc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11dd0: 00200293 li t0,2 - 11dd4: fc521ce3 bne tp,t0,11dac - -00011dd8 : - 11dd8: 19d00193 li gp,413 - 11ddc: 00000213 li tp,0 - 11de0: 00002117 auipc sp,0x2 - 11de4: d8810113 addi sp,sp,-632 # 13b68 - 11de8: 00000013 nop - 11dec: 01100093 li ra,17 - 11df0: 00000013 nop - 11df4: 00111423 sh ra,8(sp) - 11df8: 00811f03 lh t5,8(sp) - 11dfc: 01100e93 li t4,17 - 11e00: 4fdf1ce3 bne t5,t4,12af8 - 11e04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e08: 00200293 li t0,2 - 11e0c: fc521ae3 bne tp,t0,11de0 - -00011e10 : - 11e10: 19e00193 li gp,414 - 11e14: 00000213 li tp,0 - 11e18: 00002117 auipc sp,0x2 - 11e1c: d5010113 addi sp,sp,-688 # 13b68 - 11e20: 00000013 nop - 11e24: 00000013 nop - 11e28: 000030b7 lui ra,0x3 - 11e2c: 00108093 addi ra,ra,1 # 3001 <_start-0xd07f> - 11e30: 00111523 sh ra,10(sp) - 11e34: 00a11f03 lh t5,10(sp) - 11e38: 00003eb7 lui t4,0x3 - 11e3c: 001e8e93 addi t4,t4,1 # 3001 <_start-0xd07f> - 11e40: 4bdf1ce3 bne t5,t4,12af8 - 11e44: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11e48: 00200293 li t0,2 - 11e4c: fc5216e3 bne tp,t0,11e18 - 11e50: 0000c537 lui a0,0xc - 11e54: eef50513 addi a0,a0,-273 # beef <_start-0x4191> - 11e58: 00002597 auipc a1,0x2 - 11e5c: d1058593 addi a1,a1,-752 # 13b68 - 11e60: 00a59323 sh a0,6(a1) - -00011e64 : - 11e64: 00100093 li ra,1 - 11e68: 00000113 li sp,0 - 11e6c: 00209f33 sll t5,ra,sp - 11e70: 00100e93 li t4,1 - 11e74: 19f00193 li gp,415 - 11e78: 49df10e3 bne t5,t4,12af8 - -00011e7c : - 11e7c: 00100093 li ra,1 - 11e80: 00100113 li sp,1 - 11e84: 00209f33 sll t5,ra,sp - 11e88: 00200e93 li t4,2 - 11e8c: 1a000193 li gp,416 - 11e90: 47df14e3 bne t5,t4,12af8 - -00011e94 : - 11e94: 00100093 li ra,1 - 11e98: 00700113 li sp,7 - 11e9c: 00209f33 sll t5,ra,sp - 11ea0: 08000e93 li t4,128 - 11ea4: 1a100193 li gp,417 - 11ea8: 45df18e3 bne t5,t4,12af8 - -00011eac : - 11eac: 00100093 li ra,1 - 11eb0: 00e00113 li sp,14 - 11eb4: 00209f33 sll t5,ra,sp - 11eb8: 00004eb7 lui t4,0x4 - 11ebc: 1a200193 li gp,418 - 11ec0: 43df1ce3 bne t5,t4,12af8 - -00011ec4 : - 11ec4: 00100093 li ra,1 - 11ec8: 01f00113 li sp,31 - 11ecc: 00209f33 sll t5,ra,sp - 11ed0: 80000eb7 lui t4,0x80000 - 11ed4: 1a300193 li gp,419 - 11ed8: 43df10e3 bne t5,t4,12af8 - -00011edc : - 11edc: fff00093 li ra,-1 - 11ee0: 00000113 li sp,0 - 11ee4: 00209f33 sll t5,ra,sp - 11ee8: fff00e93 li t4,-1 - 11eec: 1a400193 li gp,420 - 11ef0: 41df14e3 bne t5,t4,12af8 - -00011ef4 : - 11ef4: fff00093 li ra,-1 - 11ef8: 00100113 li sp,1 - 11efc: 00209f33 sll t5,ra,sp - 11f00: ffe00e93 li t4,-2 - 11f04: 1a500193 li gp,421 - 11f08: 3fdf18e3 bne t5,t4,12af8 - -00011f0c : - 11f0c: fff00093 li ra,-1 - 11f10: 00700113 li sp,7 - 11f14: 00209f33 sll t5,ra,sp - 11f18: f8000e93 li t4,-128 - 11f1c: 1a600193 li gp,422 - 11f20: 3ddf1ce3 bne t5,t4,12af8 - -00011f24 : - 11f24: fff00093 li ra,-1 - 11f28: 00e00113 li sp,14 - 11f2c: 00209f33 sll t5,ra,sp - 11f30: ffffceb7 lui t4,0xffffc - 11f34: 1a700193 li gp,423 - 11f38: 3ddf10e3 bne t5,t4,12af8 - -00011f3c : - 11f3c: fff00093 li ra,-1 - 11f40: 01f00113 li sp,31 - 11f44: 00209f33 sll t5,ra,sp - 11f48: 80000eb7 lui t4,0x80000 - 11f4c: 1a800193 li gp,424 - 11f50: 3bdf14e3 bne t5,t4,12af8 - -00011f54 : - 11f54: 212120b7 lui ra,0x21212 - 11f58: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f5c: 00000113 li sp,0 - 11f60: 00209f33 sll t5,ra,sp - 11f64: 21212eb7 lui t4,0x21212 - 11f68: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f6c: 1a900193 li gp,425 - 11f70: 39df14e3 bne t5,t4,12af8 - -00011f74 : - 11f74: 212120b7 lui ra,0x21212 - 11f78: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f7c: 00100113 li sp,1 - 11f80: 00209f33 sll t5,ra,sp - 11f84: 42424eb7 lui t4,0x42424 - 11f88: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 11f8c: 1aa00193 li gp,426 - 11f90: 37df14e3 bne t5,t4,12af8 - -00011f94 : - 11f94: 212120b7 lui ra,0x21212 - 11f98: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11f9c: 00700113 li sp,7 - 11fa0: 00209f33 sll t5,ra,sp - 11fa4: 90909eb7 lui t4,0x90909 - 11fa8: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 11fac: 1ab00193 li gp,427 - 11fb0: 35df14e3 bne t5,t4,12af8 - -00011fb4 : - 11fb4: 212120b7 lui ra,0x21212 - 11fb8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11fbc: 00e00113 li sp,14 - 11fc0: 00209f33 sll t5,ra,sp - 11fc4: 48484eb7 lui t4,0x48484 - 11fc8: 1ac00193 li gp,428 - 11fcc: 33df16e3 bne t5,t4,12af8 - -00011fd0 : - 11fd0: 212120b7 lui ra,0x21212 - 11fd4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11fd8: 01f00113 li sp,31 - 11fdc: 00209f33 sll t5,ra,sp - 11fe0: 80000eb7 lui t4,0x80000 - 11fe4: 1ad00193 li gp,429 - 11fe8: 31df18e3 bne t5,t4,12af8 - -00011fec : - 11fec: 212120b7 lui ra,0x21212 - 11ff0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 11ff4: fc000113 li sp,-64 - 11ff8: 00209f33 sll t5,ra,sp - 11ffc: 21212eb7 lui t4,0x21212 - 12000: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12004: 1ae00193 li gp,430 - 12008: 2fdf18e3 bne t5,t4,12af8 - -0001200c : - 1200c: 212120b7 lui ra,0x21212 - 12010: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12014: fc100113 li sp,-63 - 12018: 00209f33 sll t5,ra,sp - 1201c: 42424eb7 lui t4,0x42424 - 12020: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 12024: 1af00193 li gp,431 - 12028: 2ddf18e3 bne t5,t4,12af8 - -0001202c : - 1202c: 212120b7 lui ra,0x21212 - 12030: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12034: fc700113 li sp,-57 - 12038: 00209f33 sll t5,ra,sp - 1203c: 90909eb7 lui t4,0x90909 - 12040: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 12044: 1b000193 li gp,432 - 12048: 2bdf18e3 bne t5,t4,12af8 - -0001204c : - 1204c: 212120b7 lui ra,0x21212 - 12050: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12054: fce00113 li sp,-50 - 12058: 00209f33 sll t5,ra,sp - 1205c: 48484eb7 lui t4,0x48484 - 12060: 1b100193 li gp,433 - 12064: 29df1ae3 bne t5,t4,12af8 - -00012068 : - 12068: 00100093 li ra,1 - 1206c: 00700113 li sp,7 - 12070: 002090b3 sll ra,ra,sp - 12074: 08000e93 li t4,128 - 12078: 1b600193 li gp,438 - 1207c: 27d09ee3 bne ra,t4,12af8 - -00012080 : - 12080: 00100093 li ra,1 - 12084: 00e00113 li sp,14 - 12088: 00209133 sll sp,ra,sp - 1208c: 00004eb7 lui t4,0x4 - 12090: 1b700193 li gp,439 - 12094: 27d112e3 bne sp,t4,12af8 - -00012098 : - 12098: 00300093 li ra,3 - 1209c: 001090b3 sll ra,ra,ra - 120a0: 01800e93 li t4,24 - 120a4: 1b800193 li gp,440 - 120a8: 25d098e3 bne ra,t4,12af8 - -000120ac : - 120ac: 00000213 li tp,0 - 120b0: 00100093 li ra,1 - 120b4: 00700113 li sp,7 - 120b8: 00209f33 sll t5,ra,sp - 120bc: 000f0313 mv t1,t5 - 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c4: 00200293 li t0,2 - 120c8: fe5214e3 bne tp,t0,120b0 - 120cc: 08000e93 li t4,128 - 120d0: 1b900193 li gp,441 - 120d4: 23d312e3 bne t1,t4,12af8 - -000120d8 : - 120d8: 00000213 li tp,0 - 120dc: 00100093 li ra,1 - 120e0: 00e00113 li sp,14 - 120e4: 00209f33 sll t5,ra,sp - 120e8: 00000013 nop - 120ec: 000f0313 mv t1,t5 - 120f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120f4: 00200293 li t0,2 - 120f8: fe5212e3 bne tp,t0,120dc - 120fc: 00004eb7 lui t4,0x4 - 12100: 1ba00193 li gp,442 - 12104: 1fd31ae3 bne t1,t4,12af8 - -00012108 : - 12108: 00000213 li tp,0 - 1210c: 00100093 li ra,1 - 12110: 01f00113 li sp,31 - 12114: 00209f33 sll t5,ra,sp - 12118: 00000013 nop - 1211c: 00000013 nop - 12120: 000f0313 mv t1,t5 - 12124: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12128: 00200293 li t0,2 - 1212c: fe5210e3 bne tp,t0,1210c - 12130: 80000eb7 lui t4,0x80000 - 12134: 1bb00193 li gp,443 - 12138: 1dd310e3 bne t1,t4,12af8 - -0001213c : - 1213c: 00000213 li tp,0 - 12140: 00100093 li ra,1 - 12144: 00700113 li sp,7 - 12148: 00209f33 sll t5,ra,sp - 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12150: 00200293 li t0,2 - 12154: fe5216e3 bne tp,t0,12140 - 12158: 08000e93 li t4,128 - 1215c: 1bc00193 li gp,444 - 12160: 19df1ce3 bne t5,t4,12af8 - -00012164 : - 12164: 00000213 li tp,0 - 12168: 00100093 li ra,1 - 1216c: 00e00113 li sp,14 - 12170: 00000013 nop - 12174: 00209f33 sll t5,ra,sp - 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1217c: 00200293 li t0,2 - 12180: fe5214e3 bne tp,t0,12168 - 12184: 00004eb7 lui t4,0x4 - 12188: 1bd00193 li gp,445 - 1218c: 17df16e3 bne t5,t4,12af8 - -00012190 : - 12190: 00000213 li tp,0 - 12194: 00100093 li ra,1 - 12198: 01f00113 li sp,31 - 1219c: 00000013 nop - 121a0: 00000013 nop - 121a4: 00209f33 sll t5,ra,sp - 121a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121ac: 00200293 li t0,2 - 121b0: fe5212e3 bne tp,t0,12194 - 121b4: 80000eb7 lui t4,0x80000 - 121b8: 1be00193 li gp,446 - 121bc: 13df1ee3 bne t5,t4,12af8 - -000121c0 : - 121c0: 00000213 li tp,0 - 121c4: 00100093 li ra,1 - 121c8: 00000013 nop - 121cc: 00700113 li sp,7 - 121d0: 00209f33 sll t5,ra,sp - 121d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121d8: 00200293 li t0,2 - 121dc: fe5214e3 bne tp,t0,121c4 - 121e0: 08000e93 li t4,128 - 121e4: 1bf00193 li gp,447 - 121e8: 11df18e3 bne t5,t4,12af8 - -000121ec : - 121ec: 00000213 li tp,0 - 121f0: 00100093 li ra,1 - 121f4: 00000013 nop - 121f8: 00e00113 li sp,14 - 121fc: 00000013 nop - 12200: 00209f33 sll t5,ra,sp - 12204: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12208: 00200293 li t0,2 - 1220c: fe5212e3 bne tp,t0,121f0 - 12210: 00004eb7 lui t4,0x4 - 12214: 1c000193 li gp,448 - 12218: 0fdf10e3 bne t5,t4,12af8 - -0001221c : - 1221c: 00000213 li tp,0 - 12220: 00100093 li ra,1 - 12224: 00000013 nop - 12228: 00000013 nop - 1222c: 01f00113 li sp,31 - 12230: 00209f33 sll t5,ra,sp - 12234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12238: 00200293 li t0,2 - 1223c: fe5212e3 bne tp,t0,12220 - 12240: 80000eb7 lui t4,0x80000 - 12244: 1c100193 li gp,449 - 12248: 0bdf18e3 bne t5,t4,12af8 - -0001224c : - 1224c: 00000213 li tp,0 - 12250: 00700113 li sp,7 - 12254: 00100093 li ra,1 - 12258: 00209f33 sll t5,ra,sp - 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12260: 00200293 li t0,2 - 12264: fe5216e3 bne tp,t0,12250 - 12268: 08000e93 li t4,128 - 1226c: 1c200193 li gp,450 - 12270: 09df14e3 bne t5,t4,12af8 - -00012274 : - 12274: 00000213 li tp,0 - 12278: 00e00113 li sp,14 - 1227c: 00100093 li ra,1 - 12280: 00000013 nop - 12284: 00209f33 sll t5,ra,sp - 12288: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1228c: 00200293 li t0,2 - 12290: fe5214e3 bne tp,t0,12278 - 12294: 00004eb7 lui t4,0x4 - 12298: 1c300193 li gp,451 - 1229c: 05df1ee3 bne t5,t4,12af8 - -000122a0 : - 122a0: 00000213 li tp,0 - 122a4: 01f00113 li sp,31 - 122a8: 00100093 li ra,1 - 122ac: 00000013 nop - 122b0: 00000013 nop - 122b4: 00209f33 sll t5,ra,sp - 122b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122bc: 00200293 li t0,2 - 122c0: fe5212e3 bne tp,t0,122a4 - 122c4: 80000eb7 lui t4,0x80000 - 122c8: 1c400193 li gp,452 - 122cc: 03df16e3 bne t5,t4,12af8 - -000122d0 : - 122d0: 00000213 li tp,0 - 122d4: 00700113 li sp,7 - 122d8: 00000013 nop - 122dc: 00100093 li ra,1 - 122e0: 00209f33 sll t5,ra,sp - 122e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 122e8: 00200293 li t0,2 - 122ec: fe5214e3 bne tp,t0,122d4 - 122f0: 08000e93 li t4,128 - 122f4: 1c500193 li gp,453 - 122f8: 01df10e3 bne t5,t4,12af8 - -000122fc : - 122fc: 00000213 li tp,0 - 12300: 00e00113 li sp,14 - 12304: 00000013 nop - 12308: 00100093 li ra,1 - 1230c: 00000013 nop - 12310: 00209f33 sll t5,ra,sp - 12314: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12318: 00200293 li t0,2 - 1231c: fe5212e3 bne tp,t0,12300 - 12320: 00004eb7 lui t4,0x4 - 12324: 1c600193 li gp,454 - 12328: 7ddf1863 bne t5,t4,12af8 - -0001232c : - 1232c: 00000213 li tp,0 - 12330: 01f00113 li sp,31 - 12334: 00000013 nop - 12338: 00000013 nop - 1233c: 00100093 li ra,1 - 12340: 00209f33 sll t5,ra,sp - 12344: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12348: 00200293 li t0,2 - 1234c: fe5212e3 bne tp,t0,12330 - 12350: 80000eb7 lui t4,0x80000 - 12354: 1c700193 li gp,455 - 12358: 7bdf1063 bne t5,t4,12af8 - -0001235c : - 1235c: 00f00093 li ra,15 - 12360: 00101133 sll sp,zero,ra - 12364: 00000e93 li t4,0 - 12368: 1c800193 li gp,456 - 1236c: 79d11663 bne sp,t4,12af8 - -00012370 : - 12370: 02000093 li ra,32 - 12374: 00009133 sll sp,ra,zero - 12378: 02000e93 li t4,32 - 1237c: 1c900193 li gp,457 - 12380: 77d11c63 bne sp,t4,12af8 - -00012384 : - 12384: 000010b3 sll ra,zero,zero - 12388: 00000e93 li t4,0 - 1238c: 1ca00193 li gp,458 - 12390: 77d09463 bne ra,t4,12af8 - -00012394 : - 12394: 40000093 li ra,1024 - 12398: 00001137 lui sp,0x1 - 1239c: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 123a0: 00209033 sll zero,ra,sp - 123a4: 00000e93 li t4,0 - 123a8: 1cb00193 li gp,459 - 123ac: 75d01663 bne zero,t4,12af8 - -000123b0 : - 123b0: 00100093 li ra,1 - 123b4: 00009f13 slli t5,ra,0x0 - 123b8: 00100e93 li t4,1 - 123bc: 1cc00193 li gp,460 - 123c0: 73df1c63 bne t5,t4,12af8 - -000123c4 : - 123c4: 00100093 li ra,1 - 123c8: 00109f13 slli t5,ra,0x1 - 123cc: 00200e93 li t4,2 - 123d0: 1cd00193 li gp,461 - 123d4: 73df1263 bne t5,t4,12af8 - -000123d8 : - 123d8: 00100093 li ra,1 - 123dc: 00709f13 slli t5,ra,0x7 - 123e0: 08000e93 li t4,128 - 123e4: 1ce00193 li gp,462 - 123e8: 71df1863 bne t5,t4,12af8 - -000123ec : - 123ec: 00100093 li ra,1 - 123f0: 00e09f13 slli t5,ra,0xe - 123f4: 00004eb7 lui t4,0x4 - 123f8: 1cf00193 li gp,463 - 123fc: 6fdf1e63 bne t5,t4,12af8 - -00012400 : - 12400: 00100093 li ra,1 - 12404: 01f09f13 slli t5,ra,0x1f - 12408: 80000eb7 lui t4,0x80000 - 1240c: 1d000193 li gp,464 - 12410: 6fdf1463 bne t5,t4,12af8 - -00012414 : - 12414: fff00093 li ra,-1 - 12418: 00009f13 slli t5,ra,0x0 - 1241c: fff00e93 li t4,-1 - 12420: 1d100193 li gp,465 - 12424: 6ddf1a63 bne t5,t4,12af8 - -00012428 : - 12428: fff00093 li ra,-1 - 1242c: 00109f13 slli t5,ra,0x1 - 12430: ffe00e93 li t4,-2 - 12434: 1d200193 li gp,466 - 12438: 6ddf1063 bne t5,t4,12af8 - -0001243c : - 1243c: fff00093 li ra,-1 - 12440: 00709f13 slli t5,ra,0x7 - 12444: f8000e93 li t4,-128 - 12448: 1d300193 li gp,467 - 1244c: 6bdf1663 bne t5,t4,12af8 - -00012450 : - 12450: fff00093 li ra,-1 - 12454: 00e09f13 slli t5,ra,0xe - 12458: ffffceb7 lui t4,0xffffc - 1245c: 1d400193 li gp,468 - 12460: 69df1c63 bne t5,t4,12af8 - -00012464 : - 12464: fff00093 li ra,-1 - 12468: 01f09f13 slli t5,ra,0x1f - 1246c: 80000eb7 lui t4,0x80000 - 12470: 1d500193 li gp,469 - 12474: 69df1263 bne t5,t4,12af8 - -00012478 : - 12478: 212120b7 lui ra,0x21212 - 1247c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 12480: 00009f13 slli t5,ra,0x0 - 12484: 21212eb7 lui t4,0x21212 - 12488: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> - 1248c: 1d600193 li gp,470 - 12490: 67df1463 bne t5,t4,12af8 - -00012494 : - 12494: 212120b7 lui ra,0x21212 - 12498: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 1249c: 00109f13 slli t5,ra,0x1 - 124a0: 42424eb7 lui t4,0x42424 - 124a4: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> - 124a8: 1d700193 li gp,471 - 124ac: 65df1663 bne t5,t4,12af8 - -000124b0 : - 124b0: 212120b7 lui ra,0x21212 - 124b4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124b8: 00709f13 slli t5,ra,0x7 - 124bc: 90909eb7 lui t4,0x90909 - 124c0: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> - 124c4: 1d800193 li gp,472 - 124c8: 63df1863 bne t5,t4,12af8 - -000124cc : - 124cc: 212120b7 lui ra,0x21212 - 124d0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124d4: 00e09f13 slli t5,ra,0xe - 124d8: 48484eb7 lui t4,0x48484 - 124dc: 1d900193 li gp,473 - 124e0: 61df1c63 bne t5,t4,12af8 - -000124e4 : - 124e4: 212120b7 lui ra,0x21212 - 124e8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> - 124ec: 01f09f13 slli t5,ra,0x1f - 124f0: 80000eb7 lui t4,0x80000 - 124f4: 1da00193 li gp,474 - 124f8: 61df1063 bne t5,t4,12af8 - -000124fc : - 124fc: 00100093 li ra,1 - 12500: 00709093 slli ra,ra,0x7 - 12504: 08000e93 li t4,128 - 12508: 1de00193 li gp,478 - 1250c: 5fd09663 bne ra,t4,12af8 - -00012510 : - 12510: 00000213 li tp,0 - 12514: 00100093 li ra,1 - 12518: 00709f13 slli t5,ra,0x7 - 1251c: 000f0313 mv t1,t5 - 12520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12524: 00200293 li t0,2 - 12528: fe5216e3 bne tp,t0,12514 - 1252c: 08000e93 li t4,128 - 12530: 1df00193 li gp,479 - 12534: 5dd31263 bne t1,t4,12af8 - -00012538 : - 12538: 00000213 li tp,0 - 1253c: 00100093 li ra,1 - 12540: 00e09f13 slli t5,ra,0xe - 12544: 00000013 nop - 12548: 000f0313 mv t1,t5 - 1254c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12550: 00200293 li t0,2 - 12554: fe5214e3 bne tp,t0,1253c - 12558: 00004eb7 lui t4,0x4 - 1255c: 1e000193 li gp,480 - 12560: 59d31c63 bne t1,t4,12af8 - -00012564 : - 12564: 00000213 li tp,0 - 12568: 00100093 li ra,1 - 1256c: 01f09f13 slli t5,ra,0x1f - 12570: 00000013 nop - 12574: 00000013 nop - 12578: 000f0313 mv t1,t5 - 1257c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12580: 00200293 li t0,2 - 12584: fe5212e3 bne tp,t0,12568 - 12588: 80000eb7 lui t4,0x80000 - 1258c: 1e100193 li gp,481 - 12590: 57d31463 bne t1,t4,12af8 - -00012594 : - 12594: 00000213 li tp,0 - 12598: 00100093 li ra,1 - 1259c: 00709f13 slli t5,ra,0x7 - 125a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125a4: 00200293 li t0,2 - 125a8: fe5218e3 bne tp,t0,12598 - 125ac: 08000e93 li t4,128 - 125b0: 1e200193 li gp,482 - 125b4: 55df1263 bne t5,t4,12af8 - -000125b8 : - 125b8: 00000213 li tp,0 - 125bc: 00100093 li ra,1 - 125c0: 00000013 nop - 125c4: 00e09f13 slli t5,ra,0xe - 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125cc: 00200293 li t0,2 - 125d0: fe5216e3 bne tp,t0,125bc - 125d4: 00004eb7 lui t4,0x4 - 125d8: 1e300193 li gp,483 - 125dc: 51df1e63 bne t5,t4,12af8 - -000125e0 : - 125e0: 00000213 li tp,0 - 125e4: 00100093 li ra,1 - 125e8: 00000013 nop - 125ec: 00000013 nop - 125f0: 01f09f13 slli t5,ra,0x1f - 125f4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125f8: 00200293 li t0,2 - 125fc: fe5214e3 bne tp,t0,125e4 - 12600: 80000eb7 lui t4,0x80000 - 12604: 1e400193 li gp,484 - 12608: 4fdf1863 bne t5,t4,12af8 - -0001260c : - 1260c: 01f01093 slli ra,zero,0x1f - 12610: 00000e93 li t4,0 - 12614: 1e500193 li gp,485 - 12618: 4fd09063 bne ra,t4,12af8 - -0001261c : - 1261c: 02100093 li ra,33 - 12620: 01409013 slli zero,ra,0x14 - 12624: 00000e93 li t4,0 - 12628: 1e600193 li gp,486 - 1262c: 4dd01663 bne zero,t4,12af8 - -00012630 : - 12630: 00000093 li ra,0 - 12634: 00000113 li sp,0 - 12638: 0020af33 slt t5,ra,sp - 1263c: 00000e93 li t4,0 - 12640: 1e700193 li gp,487 - 12644: 4bdf1a63 bne t5,t4,12af8 - -00012648 : - 12648: 00100093 li ra,1 - 1264c: 00100113 li sp,1 - 12650: 0020af33 slt t5,ra,sp - 12654: 00000e93 li t4,0 - 12658: 1e800193 li gp,488 - 1265c: 49df1e63 bne t5,t4,12af8 - -00012660 : - 12660: 00300093 li ra,3 - 12664: 00700113 li sp,7 - 12668: 0020af33 slt t5,ra,sp - 1266c: 00100e93 li t4,1 - 12670: 1e900193 li gp,489 - 12674: 49df1263 bne t5,t4,12af8 - -00012678 : - 12678: 00700093 li ra,7 - 1267c: 00300113 li sp,3 - 12680: 0020af33 slt t5,ra,sp - 12684: 00000e93 li t4,0 - 12688: 1ea00193 li gp,490 - 1268c: 47df1663 bne t5,t4,12af8 - -00012690 : - 12690: 00000093 li ra,0 - 12694: ffff8137 lui sp,0xffff8 - 12698: 0020af33 slt t5,ra,sp - 1269c: 00000e93 li t4,0 - 126a0: 1eb00193 li gp,491 - 126a4: 45df1a63 bne t5,t4,12af8 - -000126a8 : - 126a8: 800000b7 lui ra,0x80000 - 126ac: 00000113 li sp,0 - 126b0: 0020af33 slt t5,ra,sp - 126b4: 00100e93 li t4,1 - 126b8: 1ec00193 li gp,492 - 126bc: 43df1e63 bne t5,t4,12af8 - -000126c0 : - 126c0: 800000b7 lui ra,0x80000 - 126c4: ffff8137 lui sp,0xffff8 - 126c8: 0020af33 slt t5,ra,sp - 126cc: 00100e93 li t4,1 - 126d0: 1ed00193 li gp,493 - 126d4: 43df1263 bne t5,t4,12af8 - -000126d8 : - 126d8: 00000093 li ra,0 - 126dc: 00008137 lui sp,0x8 - 126e0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 126e4: 0020af33 slt t5,ra,sp - 126e8: 00100e93 li t4,1 - 126ec: 1ee00193 li gp,494 - 126f0: 41df1463 bne t5,t4,12af8 - -000126f4 : - 126f4: 800000b7 lui ra,0x80000 - 126f8: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 126fc: 00000113 li sp,0 - 12700: 0020af33 slt t5,ra,sp - 12704: 00000e93 li t4,0 - 12708: 1ef00193 li gp,495 - 1270c: 3fdf1663 bne t5,t4,12af8 - -00012710 : - 12710: 800000b7 lui ra,0x80000 - 12714: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 12718: 00008137 lui sp,0x8 - 1271c: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 12720: 0020af33 slt t5,ra,sp - 12724: 00000e93 li t4,0 - 12728: 1f000193 li gp,496 - 1272c: 3ddf1663 bne t5,t4,12af8 - -00012730 : - 12730: 800000b7 lui ra,0x80000 - 12734: 00008137 lui sp,0x8 - 12738: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 1273c: 0020af33 slt t5,ra,sp - 12740: 00100e93 li t4,1 - 12744: 1f100193 li gp,497 - 12748: 3bdf1863 bne t5,t4,12af8 - -0001274c : - 1274c: 800000b7 lui ra,0x80000 - 12750: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> - 12754: ffff8137 lui sp,0xffff8 - 12758: 0020af33 slt t5,ra,sp - 1275c: 00000e93 li t4,0 - 12760: 1f200193 li gp,498 - 12764: 39df1a63 bne t5,t4,12af8 - -00012768 : - 12768: 00000093 li ra,0 - 1276c: fff00113 li sp,-1 - 12770: 0020af33 slt t5,ra,sp - 12774: 00000e93 li t4,0 - 12778: 1f300193 li gp,499 - 1277c: 37df1e63 bne t5,t4,12af8 - -00012780 : - 12780: fff00093 li ra,-1 - 12784: 00100113 li sp,1 - 12788: 0020af33 slt t5,ra,sp - 1278c: 00100e93 li t4,1 - 12790: 1f400193 li gp,500 - 12794: 37df1263 bne t5,t4,12af8 - -00012798 : - 12798: fff00093 li ra,-1 - 1279c: fff00113 li sp,-1 - 127a0: 0020af33 slt t5,ra,sp - 127a4: 00000e93 li t4,0 - 127a8: 1f500193 li gp,501 - 127ac: 35df1663 bne t5,t4,12af8 - -000127b0 : - 127b0: 00e00093 li ra,14 - 127b4: 00d00113 li sp,13 - 127b8: 0020a0b3 slt ra,ra,sp - 127bc: 00000e93 li t4,0 - 127c0: 1f600193 li gp,502 - 127c4: 33d09a63 bne ra,t4,12af8 - -000127c8 : - 127c8: 00b00093 li ra,11 - 127cc: 00d00113 li sp,13 - 127d0: 0020a133 slt sp,ra,sp - 127d4: 00100e93 li t4,1 - 127d8: 1f700193 li gp,503 - 127dc: 31d11e63 bne sp,t4,12af8 - -000127e0 : - 127e0: 00d00093 li ra,13 - 127e4: 0010a0b3 slt ra,ra,ra - 127e8: 00000e93 li t4,0 - 127ec: 1f800193 li gp,504 - 127f0: 31d09463 bne ra,t4,12af8 - -000127f4 : - 127f4: 00000213 li tp,0 - 127f8: 00b00093 li ra,11 - 127fc: 00d00113 li sp,13 - 12800: 0020af33 slt t5,ra,sp - 12804: 000f0313 mv t1,t5 - 12808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1280c: 00200293 li t0,2 - 12810: fe5214e3 bne tp,t0,127f8 - 12814: 00100e93 li t4,1 - 12818: 1f900193 li gp,505 - 1281c: 2dd31e63 bne t1,t4,12af8 - -00012820 : - 12820: 00000213 li tp,0 - 12824: 00e00093 li ra,14 - 12828: 00d00113 li sp,13 - 1282c: 0020af33 slt t5,ra,sp - 12830: 00000013 nop - 12834: 000f0313 mv t1,t5 - 12838: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1283c: 00200293 li t0,2 - 12840: fe5212e3 bne tp,t0,12824 - 12844: 00000e93 li t4,0 - 12848: 1fa00193 li gp,506 - 1284c: 2bd31663 bne t1,t4,12af8 - -00012850 : - 12850: 00000213 li tp,0 - 12854: 00c00093 li ra,12 - 12858: 00d00113 li sp,13 - 1285c: 0020af33 slt t5,ra,sp - 12860: 00000013 nop - 12864: 00000013 nop - 12868: 000f0313 mv t1,t5 - 1286c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12870: 00200293 li t0,2 - 12874: fe5210e3 bne tp,t0,12854 - 12878: 00100e93 li t4,1 - 1287c: 1fb00193 li gp,507 - 12880: 27d31c63 bne t1,t4,12af8 - -00012884 : - 12884: 00000213 li tp,0 - 12888: 00e00093 li ra,14 - 1288c: 00d00113 li sp,13 - 12890: 0020af33 slt t5,ra,sp - 12894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12898: 00200293 li t0,2 - 1289c: fe5216e3 bne tp,t0,12888 - 128a0: 00000e93 li t4,0 - 128a4: 1fc00193 li gp,508 - 128a8: 25df1863 bne t5,t4,12af8 - -000128ac : - 128ac: 00000213 li tp,0 - 128b0: 00b00093 li ra,11 - 128b4: 00d00113 li sp,13 - 128b8: 00000013 nop - 128bc: 0020af33 slt t5,ra,sp - 128c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128c4: 00200293 li t0,2 - 128c8: fe5214e3 bne tp,t0,128b0 - 128cc: 00100e93 li t4,1 - 128d0: 1fd00193 li gp,509 - 128d4: 23df1263 bne t5,t4,12af8 - -000128d8 : - 128d8: 00000213 li tp,0 - 128dc: 00f00093 li ra,15 - 128e0: 00d00113 li sp,13 - 128e4: 00000013 nop - 128e8: 00000013 nop - 128ec: 0020af33 slt t5,ra,sp - 128f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128f4: 00200293 li t0,2 - 128f8: fe5212e3 bne tp,t0,128dc - 128fc: 00000e93 li t4,0 - 12900: 1fe00193 li gp,510 - 12904: 1fdf1a63 bne t5,t4,12af8 - -00012908 : - 12908: 00000213 li tp,0 - 1290c: 00a00093 li ra,10 - 12910: 00000013 nop - 12914: 00d00113 li sp,13 - 12918: 0020af33 slt t5,ra,sp - 1291c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12920: 00200293 li t0,2 - 12924: fe5214e3 bne tp,t0,1290c - 12928: 00100e93 li t4,1 - 1292c: 1ff00193 li gp,511 - 12930: 1ddf1463 bne t5,t4,12af8 - -00012934 : - 12934: 00000213 li tp,0 - 12938: 01000093 li ra,16 - 1293c: 00000013 nop - 12940: 00d00113 li sp,13 - 12944: 00000013 nop - 12948: 0020af33 slt t5,ra,sp - 1294c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12950: 00200293 li t0,2 - 12954: fe5212e3 bne tp,t0,12938 - 12958: 00000e93 li t4,0 - 1295c: 20000193 li gp,512 - 12960: 19df1c63 bne t5,t4,12af8 - -00012964 : - 12964: 00000213 li tp,0 - 12968: 00900093 li ra,9 - 1296c: 00000013 nop - 12970: 00000013 nop - 12974: 00d00113 li sp,13 - 12978: 0020af33 slt t5,ra,sp - 1297c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12980: 00200293 li t0,2 - 12984: fe5212e3 bne tp,t0,12968 - 12988: 00100e93 li t4,1 - 1298c: 20100193 li gp,513 - 12990: 17df1463 bne t5,t4,12af8 - -00012994 : - 12994: 00000213 li tp,0 - 12998: 00d00113 li sp,13 - 1299c: 01100093 li ra,17 - 129a0: 0020af33 slt t5,ra,sp - 129a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129a8: 00200293 li t0,2 - 129ac: fe5216e3 bne tp,t0,12998 - 129b0: 00000e93 li t4,0 - 129b4: 20200193 li gp,514 - 129b8: 15df1063 bne t5,t4,12af8 - -000129bc : - 129bc: 00000213 li tp,0 - 129c0: 00d00113 li sp,13 - 129c4: 00800093 li ra,8 - 129c8: 00000013 nop - 129cc: 0020af33 slt t5,ra,sp - 129d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129d4: 00200293 li t0,2 - 129d8: fe5214e3 bne tp,t0,129c0 - 129dc: 00100e93 li t4,1 - 129e0: 20300193 li gp,515 - 129e4: 11df1a63 bne t5,t4,12af8 - -000129e8 : - 129e8: 00000213 li tp,0 - 129ec: 00d00113 li sp,13 - 129f0: 01200093 li ra,18 - 129f4: 00000013 nop - 129f8: 00000013 nop - 129fc: 0020af33 slt t5,ra,sp - 12a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a04: 00200293 li t0,2 - 12a08: fe5212e3 bne tp,t0,129ec - 12a0c: 00000e93 li t4,0 - 12a10: 20400193 li gp,516 - 12a14: 0fdf1263 bne t5,t4,12af8 - -00012a18 : - 12a18: 00000213 li tp,0 - 12a1c: 00d00113 li sp,13 - 12a20: 00000013 nop - 12a24: 00700093 li ra,7 - 12a28: 0020af33 slt t5,ra,sp - 12a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a30: 00200293 li t0,2 - 12a34: fe5214e3 bne tp,t0,12a1c - 12a38: 00100e93 li t4,1 - 12a3c: 20500193 li gp,517 - 12a40: 0bdf1c63 bne t5,t4,12af8 - -00012a44 : - 12a44: 00000213 li tp,0 - 12a48: 00d00113 li sp,13 - 12a4c: 00000013 nop - 12a50: 01300093 li ra,19 - 12a54: 00000013 nop - 12a58: 0020af33 slt t5,ra,sp - 12a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a60: 00200293 li t0,2 - 12a64: fe5212e3 bne tp,t0,12a48 - 12a68: 00000e93 li t4,0 - 12a6c: 20600193 li gp,518 - 12a70: 09df1463 bne t5,t4,12af8 - -00012a74 : - 12a74: 00000213 li tp,0 - 12a78: 00d00113 li sp,13 - 12a7c: 00000013 nop - 12a80: 00000013 nop - 12a84: 00600093 li ra,6 - 12a88: 0020af33 slt t5,ra,sp - 12a8c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a90: 00200293 li t0,2 - 12a94: fe5212e3 bne tp,t0,12a78 - 12a98: 00100e93 li t4,1 - 12a9c: 20700193 li gp,519 - 12aa0: 05df1c63 bne t5,t4,12af8 - -00012aa4 : - 12aa4: fff00093 li ra,-1 - 12aa8: 00102133 sgtz sp,ra - 12aac: 00000e93 li t4,0 - 12ab0: 20800193 li gp,520 - 12ab4: 05d11263 bne sp,t4,12af8 - -00012ab8 : - 12ab8: fff00093 li ra,-1 - 12abc: 0000a133 sltz sp,ra - 12ac0: 00100e93 li t4,1 - 12ac4: 20900193 li gp,521 - 12ac8: 03d11863 bne sp,t4,12af8 - -00012acc : - 12acc: 000020b3 sltz ra,zero - 12ad0: 00000e93 li t4,0 - 12ad4: 20a00193 li gp,522 - 12ad8: 03d09063 bne ra,t4,12af8 - -00012adc : - 12adc: 01000093 li ra,16 - 12ae0: 01e00113 li sp,30 - 12ae4: 0020a033 slt zero,ra,sp - 12ae8: 00000e93 li t4,0 - 12aec: 20b00193 li gp,523 - 12af0: 01d01463 bne zero,t4,12af8 - 12af4: 00301463 bne zero,gp,12afc - -00012af8 : - 12af8: 00000a6f jal s4,12af8 - -00012afc : - 12afc: 00100193 li gp,1 - -00012b00 : - 12b00: 00000a6f jal s4,12b00 - 12b04: c0001073 unimp - ... +00010084 : + 10084: 00004097 auipc ra,0x4 + 10088: acc08093 addi ra,ra,-1332 # 13b50 + 1008c: 00008f03 lb t5,0(ra) + 10090: fff00e93 li t4,-1 + 10094: 0ed00193 li gp,237 + 10098: 01df0463 beq t5,t4,100a0 + 1009c: 25d0206f j 12af8 + +000100a0 : + 100a0: 00004097 auipc ra,0x4 + 100a4: ab008093 addi ra,ra,-1360 # 13b50 + 100a8: 00108f03 lb t5,1(ra) + 100ac: 00000e93 li t4,0 + 100b0: 0ee00193 li gp,238 + 100b4: 01df0463 beq t5,t4,100bc + 100b8: 2410206f j 12af8 + +000100bc : + 100bc: 00004097 auipc ra,0x4 + 100c0: a9408093 addi ra,ra,-1388 # 13b50 + 100c4: 00208f03 lb t5,2(ra) + 100c8: ff000e93 li t4,-16 + 100cc: 0ef00193 li gp,239 + 100d0: 01df0463 beq t5,t4,100d8 + 100d4: 2250206f j 12af8 + +000100d8 : + 100d8: 00004097 auipc ra,0x4 + 100dc: a7808093 addi ra,ra,-1416 # 13b50 + 100e0: 00308f03 lb t5,3(ra) + 100e4: 00f00e93 li t4,15 + 100e8: 0f000193 li gp,240 + 100ec: 01df0463 beq t5,t4,100f4 + 100f0: 2090206f j 12af8 + +000100f4 : + 100f4: 00004097 auipc ra,0x4 + 100f8: a5f08093 addi ra,ra,-1441 # 13b53 + 100fc: ffd08f03 lb t5,-3(ra) + 10100: fff00e93 li t4,-1 + 10104: 0f100193 li gp,241 + 10108: 01df0463 beq t5,t4,10110 + 1010c: 1ed0206f j 12af8 + +00010110 : + 10110: 00004097 auipc ra,0x4 + 10114: a4308093 addi ra,ra,-1469 # 13b53 + 10118: ffe08f03 lb t5,-2(ra) + 1011c: 00000e93 li t4,0 + 10120: 0f200193 li gp,242 + 10124: 01df0463 beq t5,t4,1012c + 10128: 1d10206f j 12af8 + +0001012c : + 1012c: 00004097 auipc ra,0x4 + 10130: a2708093 addi ra,ra,-1497 # 13b53 + 10134: fff08f03 lb t5,-1(ra) + 10138: ff000e93 li t4,-16 + 1013c: 0f300193 li gp,243 + 10140: 01df0463 beq t5,t4,10148 + 10144: 1b50206f j 12af8 + +00010148 : + 10148: 00004097 auipc ra,0x4 + 1014c: a0b08093 addi ra,ra,-1525 # 13b53 + 10150: 00008f03 lb t5,0(ra) + 10154: 00f00e93 li t4,15 + 10158: 0f400193 li gp,244 + 1015c: 01df0463 beq t5,t4,10164 + 10160: 1990206f j 12af8 + +00010164 : + 10164: 00004097 auipc ra,0x4 + 10168: 9ec08093 addi ra,ra,-1556 # 13b50 + 1016c: fe008093 addi ra,ra,-32 + 10170: 02008283 lb t0,32(ra) + 10174: fff00e93 li t4,-1 + 10178: 0f500193 li gp,245 + 1017c: 01d28463 beq t0,t4,10184 + 10180: 1790206f j 12af8 + +00010184 : + 10184: 00004097 auipc ra,0x4 + 10188: 9cc08093 addi ra,ra,-1588 # 13b50 + 1018c: ffa08093 addi ra,ra,-6 + 10190: 00708283 lb t0,7(ra) + 10194: 00000e93 li t4,0 + 10198: 0f600193 li gp,246 + 1019c: 01d28463 beq t0,t4,101a4 + 101a0: 1590206f j 12af8 + +000101a4 : + 101a4: 0f700193 li gp,247 + 101a8: 00000213 li tp,0 + 101ac: 00004097 auipc ra,0x4 + 101b0: 9a508093 addi ra,ra,-1627 # 13b51 + 101b4: 00108f03 lb t5,1(ra) + 101b8: 000f0313 mv t1,t5 + 101bc: ff000e93 li t4,-16 + 101c0: 01d30463 beq t1,t4,101c8 + 101c4: 1350206f j 12af8 + 101c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 101cc: 00200293 li t0,2 + 101d0: fc521ee3 bne tp,t0,101ac + +000101d4 : + 101d4: 0f800193 li gp,248 + 101d8: 00000213 li tp,0 + 101dc: 00004097 auipc ra,0x4 + 101e0: 97608093 addi ra,ra,-1674 # 13b52 + 101e4: 00108f03 lb t5,1(ra) + 101e8: 00000013 nop + 101ec: 000f0313 mv t1,t5 + 101f0: 00f00e93 li t4,15 + 101f4: 01d30463 beq t1,t4,101fc + 101f8: 1010206f j 12af8 + 101fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10200: 00200293 li t0,2 + 10204: fc521ce3 bne tp,t0,101dc + +00010208 : + 10208: 0f900193 li gp,249 + 1020c: 00000213 li tp,0 + 10210: 00004097 auipc ra,0x4 + 10214: 94008093 addi ra,ra,-1728 # 13b50 + 10218: 00108f03 lb t5,1(ra) + 1021c: 00000013 nop + 10220: 00000013 nop + 10224: 000f0313 mv t1,t5 + 10228: 00000e93 li t4,0 + 1022c: 01d30463 beq t1,t4,10234 + 10230: 0c90206f j 12af8 + 10234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10238: 00200293 li t0,2 + 1023c: fc521ae3 bne tp,t0,10210 + +00010240 : + 10240: 0fa00193 li gp,250 + 10244: 00000213 li tp,0 + 10248: 00004097 auipc ra,0x4 + 1024c: 90908093 addi ra,ra,-1783 # 13b51 + 10250: 00108f03 lb t5,1(ra) + 10254: ff000e93 li t4,-16 + 10258: 01df0463 beq t5,t4,10260 + 1025c: 09d0206f j 12af8 + 10260: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10264: 00200293 li t0,2 + 10268: fe5210e3 bne tp,t0,10248 + +0001026c : + 1026c: 0fb00193 li gp,251 + 10270: 00000213 li tp,0 + 10274: 00004097 auipc ra,0x4 + 10278: 8de08093 addi ra,ra,-1826 # 13b52 + 1027c: 00000013 nop + 10280: 00108f03 lb t5,1(ra) + 10284: 00f00e93 li t4,15 + 10288: 01df0463 beq t5,t4,10290 + 1028c: 06d0206f j 12af8 + 10290: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10294: 00200293 li t0,2 + 10298: fc521ee3 bne tp,t0,10274 + +0001029c : + 1029c: 0fc00193 li gp,252 + 102a0: 00000213 li tp,0 + 102a4: 00004097 auipc ra,0x4 + 102a8: 8ac08093 addi ra,ra,-1876 # 13b50 + 102ac: 00000013 nop + 102b0: 00000013 nop + 102b4: 00108f03 lb t5,1(ra) + 102b8: 00000e93 li t4,0 + 102bc: 01df0463 beq t5,t4,102c4 + 102c0: 0390206f j 12af8 + 102c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102c8: 00200293 li t0,2 + 102cc: fc521ce3 bne tp,t0,102a4 + +000102d0 : + 102d0: 00004297 auipc t0,0x4 + 102d4: 88028293 addi t0,t0,-1920 # 13b50 + 102d8: 00028103 lb sp,0(t0) + 102dc: 00200113 li sp,2 + 102e0: 00200e93 li t4,2 + 102e4: 0fd00193 li gp,253 + 102e8: 01d10463 beq sp,t4,102f0 + 102ec: 00d0206f j 12af8 + +000102f0 : + 102f0: 00004297 auipc t0,0x4 + 102f4: 86028293 addi t0,t0,-1952 # 13b50 + 102f8: 00028103 lb sp,0(t0) + 102fc: 00000013 nop + 10300: 00200113 li sp,2 + 10304: 00200e93 li t4,2 + 10308: 0fe00193 li gp,254 + 1030c: 01d10463 beq sp,t4,10314 + 10310: 7e80206f j 12af8 + +00010314 : + 10314: 00004097 auipc ra,0x4 + 10318: 83c08093 addi ra,ra,-1988 # 13b50 + 1031c: 0000cf03 lbu t5,0(ra) + 10320: 0ff00e93 li t4,255 + 10324: 0ff00193 li gp,255 + 10328: 01df0463 beq t5,t4,10330 + 1032c: 7cc0206f j 12af8 + +00010330 : + 10330: 00004097 auipc ra,0x4 + 10334: 82008093 addi ra,ra,-2016 # 13b50 + 10338: 0010cf03 lbu t5,1(ra) + 1033c: 00000e93 li t4,0 + 10340: 10000193 li gp,256 + 10344: 01df0463 beq t5,t4,1034c + 10348: 7b00206f j 12af8 + +0001034c : + 1034c: 00004097 auipc ra,0x4 + 10350: 80408093 addi ra,ra,-2044 # 13b50 + 10354: 0020cf03 lbu t5,2(ra) + 10358: 0f000e93 li t4,240 + 1035c: 10100193 li gp,257 + 10360: 01df0463 beq t5,t4,10368 + 10364: 7940206f j 12af8 + +00010368 : + 10368: 00003097 auipc ra,0x3 + 1036c: 7e808093 addi ra,ra,2024 # 13b50 + 10370: 0030cf03 lbu t5,3(ra) + 10374: 00f00e93 li t4,15 + 10378: 10200193 li gp,258 + 1037c: 01df0463 beq t5,t4,10384 + 10380: 7780206f j 12af8 + +00010384 : + 10384: 00003097 auipc ra,0x3 + 10388: 7cf08093 addi ra,ra,1999 # 13b53 + 1038c: ffd0cf03 lbu t5,-3(ra) + 10390: 0ff00e93 li t4,255 + 10394: 10300193 li gp,259 + 10398: 01df0463 beq t5,t4,103a0 + 1039c: 75c0206f j 12af8 + +000103a0 : + 103a0: 00003097 auipc ra,0x3 + 103a4: 7b308093 addi ra,ra,1971 # 13b53 + 103a8: ffe0cf03 lbu t5,-2(ra) + 103ac: 00000e93 li t4,0 + 103b0: 10400193 li gp,260 + 103b4: 01df0463 beq t5,t4,103bc + 103b8: 7400206f j 12af8 + +000103bc : + 103bc: 00003097 auipc ra,0x3 + 103c0: 79708093 addi ra,ra,1943 # 13b53 + 103c4: fff0cf03 lbu t5,-1(ra) + 103c8: 0f000e93 li t4,240 + 103cc: 10500193 li gp,261 + 103d0: 01df0463 beq t5,t4,103d8 + 103d4: 7240206f j 12af8 + +000103d8 : + 103d8: 00003097 auipc ra,0x3 + 103dc: 77b08093 addi ra,ra,1915 # 13b53 + 103e0: 0000cf03 lbu t5,0(ra) + 103e4: 00f00e93 li t4,15 + 103e8: 10600193 li gp,262 + 103ec: 01df0463 beq t5,t4,103f4 + 103f0: 7080206f j 12af8 + +000103f4 : + 103f4: 00003097 auipc ra,0x3 + 103f8: 75c08093 addi ra,ra,1884 # 13b50 + 103fc: fe008093 addi ra,ra,-32 + 10400: 0200c283 lbu t0,32(ra) + 10404: 0ff00e93 li t4,255 + 10408: 10700193 li gp,263 + 1040c: 01d28463 beq t0,t4,10414 + 10410: 6e80206f j 12af8 + +00010414 : + 10414: 00003097 auipc ra,0x3 + 10418: 73c08093 addi ra,ra,1852 # 13b50 + 1041c: ffa08093 addi ra,ra,-6 + 10420: 0070c283 lbu t0,7(ra) + 10424: 00000e93 li t4,0 + 10428: 10800193 li gp,264 + 1042c: 01d28463 beq t0,t4,10434 + 10430: 6c80206f j 12af8 + +00010434 : + 10434: 10900193 li gp,265 + 10438: 00000213 li tp,0 + 1043c: 00003097 auipc ra,0x3 + 10440: 71508093 addi ra,ra,1813 # 13b51 + 10444: 0010cf03 lbu t5,1(ra) + 10448: 000f0313 mv t1,t5 + 1044c: 0f000e93 li t4,240 + 10450: 01d30463 beq t1,t4,10458 + 10454: 6a40206f j 12af8 + 10458: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1045c: 00200293 li t0,2 + 10460: fc521ee3 bne tp,t0,1043c + +00010464 : + 10464: 10a00193 li gp,266 + 10468: 00000213 li tp,0 + 1046c: 00003097 auipc ra,0x3 + 10470: 6e608093 addi ra,ra,1766 # 13b52 + 10474: 0010cf03 lbu t5,1(ra) + 10478: 00000013 nop + 1047c: 000f0313 mv t1,t5 + 10480: 00f00e93 li t4,15 + 10484: 01d30463 beq t1,t4,1048c + 10488: 6700206f j 12af8 + 1048c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10490: 00200293 li t0,2 + 10494: fc521ce3 bne tp,t0,1046c + +00010498 : + 10498: 10b00193 li gp,267 + 1049c: 00000213 li tp,0 + 104a0: 00003097 auipc ra,0x3 + 104a4: 6b008093 addi ra,ra,1712 # 13b50 + 104a8: 0010cf03 lbu t5,1(ra) + 104ac: 00000013 nop + 104b0: 00000013 nop + 104b4: 000f0313 mv t1,t5 + 104b8: 00000e93 li t4,0 + 104bc: 01d30463 beq t1,t4,104c4 + 104c0: 6380206f j 12af8 + 104c4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104c8: 00200293 li t0,2 + 104cc: fc521ae3 bne tp,t0,104a0 + +000104d0 : + 104d0: 10c00193 li gp,268 + 104d4: 00000213 li tp,0 + 104d8: 00003097 auipc ra,0x3 + 104dc: 67908093 addi ra,ra,1657 # 13b51 + 104e0: 0010cf03 lbu t5,1(ra) + 104e4: 0f000e93 li t4,240 + 104e8: 01df0463 beq t5,t4,104f0 + 104ec: 60c0206f j 12af8 + 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104f4: 00200293 li t0,2 + 104f8: fe5210e3 bne tp,t0,104d8 + +000104fc : + 104fc: 10d00193 li gp,269 + 10500: 00000213 li tp,0 + 10504: 00003097 auipc ra,0x3 + 10508: 64e08093 addi ra,ra,1614 # 13b52 + 1050c: 00000013 nop + 10510: 0010cf03 lbu t5,1(ra) + 10514: 00f00e93 li t4,15 + 10518: 01df0463 beq t5,t4,10520 + 1051c: 5dc0206f j 12af8 + 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10524: 00200293 li t0,2 + 10528: fc521ee3 bne tp,t0,10504 + +0001052c : + 1052c: 10e00193 li gp,270 + 10530: 00000213 li tp,0 + 10534: 00003097 auipc ra,0x3 + 10538: 61c08093 addi ra,ra,1564 # 13b50 + 1053c: 00000013 nop + 10540: 00000013 nop + 10544: 0010cf03 lbu t5,1(ra) + 10548: 00000e93 li t4,0 + 1054c: 01df0463 beq t5,t4,10554 + 10550: 5a80206f j 12af8 + 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10558: 00200293 li t0,2 + 1055c: fc521ce3 bne tp,t0,10534 + +00010560 : + 10560: 00003297 auipc t0,0x3 + 10564: 5f028293 addi t0,t0,1520 # 13b50 + 10568: 0002c103 lbu sp,0(t0) + 1056c: 00200113 li sp,2 + 10570: 00200e93 li t4,2 + 10574: 10f00193 li gp,271 + 10578: 01d10463 beq sp,t4,10580 + 1057c: 57c0206f j 12af8 + +00010580 : + 10580: 00003297 auipc t0,0x3 + 10584: 5d028293 addi t0,t0,1488 # 13b50 + 10588: 0002c103 lbu sp,0(t0) + 1058c: 00000013 nop + 10590: 00200113 li sp,2 + 10594: 00200e93 li t4,2 + 10598: 11000193 li gp,272 + 1059c: 01d10463 beq sp,t4,105a4 + 105a0: 5580206f j 12af8 + +000105a4 : + 105a4: 00003097 auipc ra,0x3 + 105a8: 5bc08093 addi ra,ra,1468 # 13b60 + 105ac: 00009f03 lh t5,0(ra) + 105b0: 0ff00e93 li t4,255 + 105b4: 11100193 li gp,273 + 105b8: 01df0463 beq t5,t4,105c0 + 105bc: 53c0206f j 12af8 + +000105c0 : + 105c0: 00003097 auipc ra,0x3 + 105c4: 5a008093 addi ra,ra,1440 # 13b60 + 105c8: 00209f03 lh t5,2(ra) + 105cc: f0000e93 li t4,-256 + 105d0: 11200193 li gp,274 + 105d4: 01df0463 beq t5,t4,105dc + 105d8: 5200206f j 12af8 + +000105dc : + 105dc: 00003097 auipc ra,0x3 + 105e0: 58408093 addi ra,ra,1412 # 13b60 + 105e4: 00409f03 lh t5,4(ra) + 105e8: 00001eb7 lui t4,0x1 + 105ec: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 105f0: 11300193 li gp,275 + 105f4: 01df0463 beq t5,t4,105fc + 105f8: 5000206f j 12af8 + +000105fc : + 105fc: 00003097 auipc ra,0x3 + 10600: 56408093 addi ra,ra,1380 # 13b60 + 10604: 00609f03 lh t5,6(ra) + 10608: fffffeb7 lui t4,0xfffff + 1060c: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 10610: 11400193 li gp,276 + 10614: 01df0463 beq t5,t4,1061c + 10618: 4e00206f j 12af8 + +0001061c : + 1061c: 00003097 auipc ra,0x3 + 10620: 54a08093 addi ra,ra,1354 # 13b66 + 10624: ffa09f03 lh t5,-6(ra) + 10628: 0ff00e93 li t4,255 + 1062c: 11500193 li gp,277 + 10630: 01df0463 beq t5,t4,10638 + 10634: 4c40206f j 12af8 + +00010638 : + 10638: 00003097 auipc ra,0x3 + 1063c: 52e08093 addi ra,ra,1326 # 13b66 + 10640: ffc09f03 lh t5,-4(ra) + 10644: f0000e93 li t4,-256 + 10648: 11600193 li gp,278 + 1064c: 01df0463 beq t5,t4,10654 + 10650: 4a80206f j 12af8 + +00010654 : + 10654: 00003097 auipc ra,0x3 + 10658: 51208093 addi ra,ra,1298 # 13b66 + 1065c: ffe09f03 lh t5,-2(ra) + 10660: 00001eb7 lui t4,0x1 + 10664: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10668: 11700193 li gp,279 + 1066c: 01df0463 beq t5,t4,10674 + 10670: 4880206f j 12af8 + +00010674 : + 10674: 00003097 auipc ra,0x3 + 10678: 4f208093 addi ra,ra,1266 # 13b66 + 1067c: 00009f03 lh t5,0(ra) + 10680: fffffeb7 lui t4,0xfffff + 10684: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 10688: 11800193 li gp,280 + 1068c: 01df0463 beq t5,t4,10694 + 10690: 4680206f j 12af8 + +00010694 : + 10694: 00003097 auipc ra,0x3 + 10698: 4cc08093 addi ra,ra,1228 # 13b60 + 1069c: fe008093 addi ra,ra,-32 + 106a0: 02009283 lh t0,32(ra) + 106a4: 0ff00e93 li t4,255 + 106a8: 11900193 li gp,281 + 106ac: 01d28463 beq t0,t4,106b4 + 106b0: 4480206f j 12af8 + +000106b4 : + 106b4: 00003097 auipc ra,0x3 + 106b8: 4ac08093 addi ra,ra,1196 # 13b60 + 106bc: ffb08093 addi ra,ra,-5 + 106c0: 00709283 lh t0,7(ra) + 106c4: f0000e93 li t4,-256 + 106c8: 11a00193 li gp,282 + 106cc: 01d28463 beq t0,t4,106d4 + 106d0: 4280206f j 12af8 + +000106d4 : + 106d4: 11b00193 li gp,283 + 106d8: 00000213 li tp,0 + 106dc: 00003097 auipc ra,0x3 + 106e0: 48608093 addi ra,ra,1158 # 13b62 + 106e4: 00209f03 lh t5,2(ra) + 106e8: 000f0313 mv t1,t5 + 106ec: 00001eb7 lui t4,0x1 + 106f0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 106f4: 01d30463 beq t1,t4,106fc + 106f8: 4000206f j 12af8 + 106fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10700: 00200293 li t0,2 + 10704: fc521ce3 bne tp,t0,106dc + +00010708 : + 10708: 11c00193 li gp,284 + 1070c: 00000213 li tp,0 + 10710: 00003097 auipc ra,0x3 + 10714: 45408093 addi ra,ra,1108 # 13b64 + 10718: 00209f03 lh t5,2(ra) + 1071c: 00000013 nop + 10720: 000f0313 mv t1,t5 + 10724: fffffeb7 lui t4,0xfffff + 10728: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 1072c: 01d30463 beq t1,t4,10734 + 10730: 3c80206f j 12af8 + 10734: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10738: 00200293 li t0,2 + 1073c: fc521ae3 bne tp,t0,10710 + +00010740 : + 10740: 11d00193 li gp,285 + 10744: 00000213 li tp,0 + 10748: 00003097 auipc ra,0x3 + 1074c: 41808093 addi ra,ra,1048 # 13b60 + 10750: 00209f03 lh t5,2(ra) + 10754: 00000013 nop + 10758: 00000013 nop + 1075c: 000f0313 mv t1,t5 + 10760: f0000e93 li t4,-256 + 10764: 01d30463 beq t1,t4,1076c + 10768: 3900206f j 12af8 + 1076c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10770: 00200293 li t0,2 + 10774: fc521ae3 bne tp,t0,10748 + +00010778 : + 10778: 11e00193 li gp,286 + 1077c: 00000213 li tp,0 + 10780: 00003097 auipc ra,0x3 + 10784: 3e208093 addi ra,ra,994 # 13b62 + 10788: 00209f03 lh t5,2(ra) + 1078c: 00001eb7 lui t4,0x1 + 10790: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10794: 01df0463 beq t5,t4,1079c + 10798: 3600206f j 12af8 + 1079c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107a0: 00200293 li t0,2 + 107a4: fc521ee3 bne tp,t0,10780 + +000107a8 : + 107a8: 11f00193 li gp,287 + 107ac: 00000213 li tp,0 + 107b0: 00003097 auipc ra,0x3 + 107b4: 3b408093 addi ra,ra,948 # 13b64 + 107b8: 00000013 nop + 107bc: 00209f03 lh t5,2(ra) + 107c0: fffffeb7 lui t4,0xfffff + 107c4: 00fe8e93 addi t4,t4,15 # fffff00f <__global_pointer$+0xfffeabc7> + 107c8: 01df0463 beq t5,t4,107d0 + 107cc: 32c0206f j 12af8 + 107d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 107d4: 00200293 li t0,2 + 107d8: fc521ce3 bne tp,t0,107b0 + +000107dc : + 107dc: 12000193 li gp,288 + 107e0: 00000213 li tp,0 + 107e4: 00003097 auipc ra,0x3 + 107e8: 37c08093 addi ra,ra,892 # 13b60 + 107ec: 00000013 nop + 107f0: 00000013 nop + 107f4: 00209f03 lh t5,2(ra) + 107f8: f0000e93 li t4,-256 + 107fc: 01df0463 beq t5,t4,10804 + 10800: 2f80206f j 12af8 + 10804: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10808: 00200293 li t0,2 + 1080c: fc521ce3 bne tp,t0,107e4 + +00010810 : + 10810: 00003297 auipc t0,0x3 + 10814: 35028293 addi t0,t0,848 # 13b60 + 10818: 00029103 lh sp,0(t0) + 1081c: 00200113 li sp,2 + 10820: 00200e93 li t4,2 + 10824: 12100193 li gp,289 + 10828: 01d10463 beq sp,t4,10830 + 1082c: 2cc0206f j 12af8 + +00010830 : + 10830: 00003297 auipc t0,0x3 + 10834: 33028293 addi t0,t0,816 # 13b60 + 10838: 00029103 lh sp,0(t0) + 1083c: 00000013 nop + 10840: 00200113 li sp,2 + 10844: 00200e93 li t4,2 + 10848: 12200193 li gp,290 + 1084c: 01d10463 beq sp,t4,10854 + 10850: 2a80206f j 12af8 + +00010854 : + 10854: 00003097 auipc ra,0x3 + 10858: 30c08093 addi ra,ra,780 # 13b60 + 1085c: 0000df03 lhu t5,0(ra) + 10860: 0ff00e93 li t4,255 + 10864: 12300193 li gp,291 + 10868: 01df0463 beq t5,t4,10870 + 1086c: 28c0206f j 12af8 + +00010870 : + 10870: 00003097 auipc ra,0x3 + 10874: 2f008093 addi ra,ra,752 # 13b60 + 10878: 0020df03 lhu t5,2(ra) + 1087c: 00010eb7 lui t4,0x10 + 10880: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10884: 12400193 li gp,292 + 10888: 01df0463 beq t5,t4,10890 + 1088c: 26c0206f j 12af8 + +00010890 : + 10890: 00003097 auipc ra,0x3 + 10894: 2d008093 addi ra,ra,720 # 13b60 + 10898: 0040df03 lhu t5,4(ra) + 1089c: 00001eb7 lui t4,0x1 + 108a0: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 108a4: 12500193 li gp,293 + 108a8: 01df0463 beq t5,t4,108b0 + 108ac: 24c0206f j 12af8 + +000108b0 : + 108b0: 00003097 auipc ra,0x3 + 108b4: 2b008093 addi ra,ra,688 # 13b60 + 108b8: 0060df03 lhu t5,6(ra) + 108bc: 0000feb7 lui t4,0xf + 108c0: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 108c4: 12600193 li gp,294 + 108c8: 01df0463 beq t5,t4,108d0 + 108cc: 22c0206f j 12af8 + +000108d0 : + 108d0: 00003097 auipc ra,0x3 + 108d4: 29608093 addi ra,ra,662 # 13b66 + 108d8: ffa0df03 lhu t5,-6(ra) + 108dc: 0ff00e93 li t4,255 + 108e0: 12700193 li gp,295 + 108e4: 01df0463 beq t5,t4,108ec + 108e8: 2100206f j 12af8 + +000108ec : + 108ec: 00003097 auipc ra,0x3 + 108f0: 27a08093 addi ra,ra,634 # 13b66 + 108f4: ffc0df03 lhu t5,-4(ra) + 108f8: 00010eb7 lui t4,0x10 + 108fc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10900: 12800193 li gp,296 + 10904: 01df0463 beq t5,t4,1090c + 10908: 1f00206f j 12af8 + +0001090c : + 1090c: 00003097 auipc ra,0x3 + 10910: 25a08093 addi ra,ra,602 # 13b66 + 10914: ffe0df03 lhu t5,-2(ra) + 10918: 00001eb7 lui t4,0x1 + 1091c: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10920: 12900193 li gp,297 + 10924: 01df0463 beq t5,t4,1092c + 10928: 1d00206f j 12af8 + +0001092c : + 1092c: 00003097 auipc ra,0x3 + 10930: 23a08093 addi ra,ra,570 # 13b66 + 10934: 0000df03 lhu t5,0(ra) + 10938: 0000feb7 lui t4,0xf + 1093c: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 10940: 12a00193 li gp,298 + 10944: 01df0463 beq t5,t4,1094c + 10948: 1b00206f j 12af8 + +0001094c : + 1094c: 00003097 auipc ra,0x3 + 10950: 21408093 addi ra,ra,532 # 13b60 + 10954: fe008093 addi ra,ra,-32 + 10958: 0200d283 lhu t0,32(ra) + 1095c: 0ff00e93 li t4,255 + 10960: 12b00193 li gp,299 + 10964: 01d28463 beq t0,t4,1096c + 10968: 1900206f j 12af8 + +0001096c : + 1096c: 00003097 auipc ra,0x3 + 10970: 1f408093 addi ra,ra,500 # 13b60 + 10974: ffb08093 addi ra,ra,-5 + 10978: 0070d283 lhu t0,7(ra) + 1097c: 00010eb7 lui t4,0x10 + 10980: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10984: 12c00193 li gp,300 + 10988: 01d28463 beq t0,t4,10990 + 1098c: 16c0206f j 12af8 + +00010990 : + 10990: 12d00193 li gp,301 + 10994: 00000213 li tp,0 + 10998: 00003097 auipc ra,0x3 + 1099c: 1ca08093 addi ra,ra,458 # 13b62 + 109a0: 0020df03 lhu t5,2(ra) + 109a4: 000f0313 mv t1,t5 + 109a8: 00001eb7 lui t4,0x1 + 109ac: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 109b0: 01d30463 beq t1,t4,109b8 + 109b4: 1440206f j 12af8 + 109b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109bc: 00200293 li t0,2 + 109c0: fc521ce3 bne tp,t0,10998 + +000109c4 : + 109c4: 12e00193 li gp,302 + 109c8: 00000213 li tp,0 + 109cc: 00003097 auipc ra,0x3 + 109d0: 19808093 addi ra,ra,408 # 13b64 + 109d4: 0020df03 lhu t5,2(ra) + 109d8: 00000013 nop + 109dc: 000f0313 mv t1,t5 + 109e0: 0000feb7 lui t4,0xf + 109e4: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 109e8: 01d30463 beq t1,t4,109f0 + 109ec: 10c0206f j 12af8 + 109f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109f4: 00200293 li t0,2 + 109f8: fc521ae3 bne tp,t0,109cc + +000109fc : + 109fc: 12f00193 li gp,303 + 10a00: 00000213 li tp,0 + 10a04: 00003097 auipc ra,0x3 + 10a08: 15c08093 addi ra,ra,348 # 13b60 + 10a0c: 0020df03 lhu t5,2(ra) + 10a10: 00000013 nop + 10a14: 00000013 nop + 10a18: 000f0313 mv t1,t5 + 10a1c: 00010eb7 lui t4,0x10 + 10a20: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10a24: 01d30463 beq t1,t4,10a2c + 10a28: 0d00206f j 12af8 + 10a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a30: 00200293 li t0,2 + 10a34: fc5218e3 bne tp,t0,10a04 + +00010a38 : + 10a38: 13000193 li gp,304 + 10a3c: 00000213 li tp,0 + 10a40: 00003097 auipc ra,0x3 + 10a44: 12208093 addi ra,ra,290 # 13b62 + 10a48: 0020df03 lhu t5,2(ra) + 10a4c: 00001eb7 lui t4,0x1 + 10a50: ff0e8e93 addi t4,t4,-16 # ff0 <_start-0xf090> + 10a54: 01df0463 beq t5,t4,10a5c + 10a58: 0a00206f j 12af8 + 10a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a60: 00200293 li t0,2 + 10a64: fc521ee3 bne tp,t0,10a40 + +00010a68 : + 10a68: 13100193 li gp,305 + 10a6c: 00000213 li tp,0 + 10a70: 00003097 auipc ra,0x3 + 10a74: 0f408093 addi ra,ra,244 # 13b64 + 10a78: 00000013 nop + 10a7c: 0020df03 lhu t5,2(ra) + 10a80: 0000feb7 lui t4,0xf + 10a84: 00fe8e93 addi t4,t4,15 # f00f <_start-0x1071> + 10a88: 01df0463 beq t5,t4,10a90 + 10a8c: 06c0206f j 12af8 + 10a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a94: 00200293 li t0,2 + 10a98: fc521ce3 bne tp,t0,10a70 + +00010a9c : + 10a9c: 13200193 li gp,306 + 10aa0: 00000213 li tp,0 + 10aa4: 00003097 auipc ra,0x3 + 10aa8: 0bc08093 addi ra,ra,188 # 13b60 + 10aac: 00000013 nop + 10ab0: 00000013 nop + 10ab4: 0020df03 lhu t5,2(ra) + 10ab8: 00010eb7 lui t4,0x10 + 10abc: f00e8e93 addi t4,t4,-256 # ff00 <_start-0x180> + 10ac0: 01df0463 beq t5,t4,10ac8 + 10ac4: 0340206f j 12af8 + 10ac8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10acc: 00200293 li t0,2 + 10ad0: fc521ae3 bne tp,t0,10aa4 + +00010ad4 : + 10ad4: 00003297 auipc t0,0x3 + 10ad8: 08c28293 addi t0,t0,140 # 13b60 + 10adc: 0002d103 lhu sp,0(t0) + 10ae0: 00200113 li sp,2 + 10ae4: 00200e93 li t4,2 + 10ae8: 13300193 li gp,307 + 10aec: 01d10463 beq sp,t4,10af4 + 10af0: 0080206f j 12af8 + +00010af4 : + 10af4: 00003297 auipc t0,0x3 + 10af8: 06c28293 addi t0,t0,108 # 13b60 + 10afc: 0002d103 lhu sp,0(t0) + 10b00: 00000013 nop + 10b04: 00200113 li sp,2 + 10b08: 00200e93 li t4,2 + 10b0c: 13400193 li gp,308 + 10b10: 01d10463 beq sp,t4,10b18 + 10b14: 7e50106f j 12af8 + +00010b18 : + 10b18: 000000b7 lui ra,0x0 + 10b1c: 00000e93 li t4,0 + 10b20: 13500193 li gp,309 + 10b24: 01d08463 beq ra,t4,10b2c + 10b28: 7d10106f j 12af8 + +00010b2c : + 10b2c: fffff0b7 lui ra,0xfffff + 10b30: 4010d093 srai ra,ra,0x1 + 10b34: 80000e93 li t4,-2048 + 10b38: 13600193 li gp,310 + 10b3c: 01d08463 beq ra,t4,10b44 + 10b40: 7b90106f j 12af8 + +00010b44 : + 10b44: 7ffff0b7 lui ra,0x7ffff + 10b48: 4140d093 srai ra,ra,0x14 + 10b4c: 7ff00e93 li t4,2047 + 10b50: 13700193 li gp,311 + 10b54: 01d08463 beq ra,t4,10b5c + 10b58: 7a10106f j 12af8 + +00010b5c : + 10b5c: 800000b7 lui ra,0x80000 + 10b60: 4140d093 srai ra,ra,0x14 + 10b64: 80000e93 li t4,-2048 + 10b68: 13800193 li gp,312 + 10b6c: 01d08463 beq ra,t4,10b74 + 10b70: 7890106f j 12af8 + +00010b74 : + 10b74: 80000037 lui zero,0x80000 + 10b78: 00000e93 li t4,0 + 10b7c: 13900193 li gp,313 + 10b80: 01d00463 beq zero,t4,10b88 + 10b84: 7750106f j 12af8 + +00010b88 : + 10b88: 00003097 auipc ra,0x3 + 10b8c: ff808093 addi ra,ra,-8 # 13b80 + 10b90: 0000af03 lw t5,0(ra) + 10b94: 00ff0eb7 lui t4,0xff0 + 10b98: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10b9c: 13a00193 li gp,314 + 10ba0: 01df0463 beq t5,t4,10ba8 + 10ba4: 7550106f j 12af8 + +00010ba8 : + 10ba8: 00003097 auipc ra,0x3 + 10bac: fd808093 addi ra,ra,-40 # 13b80 + 10bb0: 0040af03 lw t5,4(ra) + 10bb4: ff010eb7 lui t4,0xff010 + 10bb8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10bbc: 13b00193 li gp,315 + 10bc0: 01df0463 beq t5,t4,10bc8 + 10bc4: 7350106f j 12af8 + +00010bc8 : + 10bc8: 00003097 auipc ra,0x3 + 10bcc: fb808093 addi ra,ra,-72 # 13b80 + 10bd0: 0080af03 lw t5,8(ra) + 10bd4: 0ff01eb7 lui t4,0xff01 + 10bd8: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10bdc: 13c00193 li gp,316 + 10be0: 01df0463 beq t5,t4,10be8 + 10be4: 7150106f j 12af8 + +00010be8 : + 10be8: 00003097 auipc ra,0x3 + 10bec: f9808093 addi ra,ra,-104 # 13b80 + 10bf0: 00c0af03 lw t5,12(ra) + 10bf4: f00ffeb7 lui t4,0xf00ff + 10bf8: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10bfc: 13d00193 li gp,317 + 10c00: 01df0463 beq t5,t4,10c08 + 10c04: 6f50106f j 12af8 + +00010c08 : + 10c08: 00003097 auipc ra,0x3 + 10c0c: f8408093 addi ra,ra,-124 # 13b8c + 10c10: ff40af03 lw t5,-12(ra) + 10c14: 00ff0eb7 lui t4,0xff0 + 10c18: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10c1c: 13e00193 li gp,318 + 10c20: 01df0463 beq t5,t4,10c28 + 10c24: 6d50106f j 12af8 + +00010c28 : + 10c28: 00003097 auipc ra,0x3 + 10c2c: f6408093 addi ra,ra,-156 # 13b8c + 10c30: ff80af03 lw t5,-8(ra) + 10c34: ff010eb7 lui t4,0xff010 + 10c38: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10c3c: 13f00193 li gp,319 + 10c40: 01df0463 beq t5,t4,10c48 + 10c44: 6b50106f j 12af8 + +00010c48 : + 10c48: 00003097 auipc ra,0x3 + 10c4c: f4408093 addi ra,ra,-188 # 13b8c + 10c50: ffc0af03 lw t5,-4(ra) + 10c54: 0ff01eb7 lui t4,0xff01 + 10c58: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10c5c: 14000193 li gp,320 + 10c60: 01df0463 beq t5,t4,10c68 + 10c64: 6950106f j 12af8 + +00010c68 : + 10c68: 00003097 auipc ra,0x3 + 10c6c: f2408093 addi ra,ra,-220 # 13b8c + 10c70: 0000af03 lw t5,0(ra) + 10c74: f00ffeb7 lui t4,0xf00ff + 10c78: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10c7c: 14100193 li gp,321 + 10c80: 01df0463 beq t5,t4,10c88 + 10c84: 6750106f j 12af8 + +00010c88 : + 10c88: 00003097 auipc ra,0x3 + 10c8c: ef808093 addi ra,ra,-264 # 13b80 + 10c90: fe008093 addi ra,ra,-32 + 10c94: 0200a283 lw t0,32(ra) + 10c98: 00ff0eb7 lui t4,0xff0 + 10c9c: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10ca0: 14200193 li gp,322 + 10ca4: 01d28463 beq t0,t4,10cac + 10ca8: 6510106f j 12af8 + +00010cac : + 10cac: 00003097 auipc ra,0x3 + 10cb0: ed408093 addi ra,ra,-300 # 13b80 + 10cb4: ffd08093 addi ra,ra,-3 + 10cb8: 0070a283 lw t0,7(ra) + 10cbc: ff010eb7 lui t4,0xff010 + 10cc0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10cc4: 14300193 li gp,323 + 10cc8: 01d28463 beq t0,t4,10cd0 + 10ccc: 62d0106f j 12af8 + +00010cd0 : + 10cd0: 14400193 li gp,324 + 10cd4: 00000213 li tp,0 + 10cd8: 00003097 auipc ra,0x3 + 10cdc: eac08093 addi ra,ra,-340 # 13b84 + 10ce0: 0040af03 lw t5,4(ra) + 10ce4: 000f0313 mv t1,t5 + 10ce8: 0ff01eb7 lui t4,0xff01 + 10cec: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10cf0: 01d30463 beq t1,t4,10cf8 + 10cf4: 6050106f j 12af8 + 10cf8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10cfc: 00200293 li t0,2 + 10d00: fc521ce3 bne tp,t0,10cd8 + +00010d04 : + 10d04: 14500193 li gp,325 + 10d08: 00000213 li tp,0 + 10d0c: 00003097 auipc ra,0x3 + 10d10: e7c08093 addi ra,ra,-388 # 13b88 + 10d14: 0040af03 lw t5,4(ra) + 10d18: 00000013 nop + 10d1c: 000f0313 mv t1,t5 + 10d20: f00ffeb7 lui t4,0xf00ff + 10d24: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10d28: 01d30463 beq t1,t4,10d30 + 10d2c: 5cd0106f j 12af8 + 10d30: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d34: 00200293 li t0,2 + 10d38: fc521ae3 bne tp,t0,10d0c + +00010d3c : + 10d3c: 14600193 li gp,326 + 10d40: 00000213 li tp,0 + 10d44: 00003097 auipc ra,0x3 + 10d48: e3c08093 addi ra,ra,-452 # 13b80 + 10d4c: 0040af03 lw t5,4(ra) + 10d50: 00000013 nop + 10d54: 00000013 nop + 10d58: 000f0313 mv t1,t5 + 10d5c: ff010eb7 lui t4,0xff010 + 10d60: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10d64: 01d30463 beq t1,t4,10d6c + 10d68: 5910106f j 12af8 + 10d6c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10d70: 00200293 li t0,2 + 10d74: fc5218e3 bne tp,t0,10d44 + +00010d78 : + 10d78: 14700193 li gp,327 + 10d7c: 00000213 li tp,0 + 10d80: 00003097 auipc ra,0x3 + 10d84: e0408093 addi ra,ra,-508 # 13b84 + 10d88: 0040af03 lw t5,4(ra) + 10d8c: 0ff01eb7 lui t4,0xff01 + 10d90: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10d94: 01df0463 beq t5,t4,10d9c + 10d98: 5610106f j 12af8 + 10d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10da0: 00200293 li t0,2 + 10da4: fc521ee3 bne tp,t0,10d80 + +00010da8 : + 10da8: 14800193 li gp,328 + 10dac: 00000213 li tp,0 + 10db0: 00003097 auipc ra,0x3 + 10db4: dd808093 addi ra,ra,-552 # 13b88 + 10db8: 00000013 nop + 10dbc: 0040af03 lw t5,4(ra) + 10dc0: f00ffeb7 lui t4,0xf00ff + 10dc4: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10dc8: 01df0463 beq t5,t4,10dd0 + 10dcc: 52d0106f j 12af8 + 10dd0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10dd4: 00200293 li t0,2 + 10dd8: fc521ce3 bne tp,t0,10db0 + +00010ddc : + 10ddc: 14900193 li gp,329 + 10de0: 00000213 li tp,0 + 10de4: 00003097 auipc ra,0x3 + 10de8: d9c08093 addi ra,ra,-612 # 13b80 + 10dec: 00000013 nop + 10df0: 00000013 nop + 10df4: 0040af03 lw t5,4(ra) + 10df8: ff010eb7 lui t4,0xff010 + 10dfc: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10e00: 01df0463 beq t5,t4,10e08 + 10e04: 4f50106f j 12af8 + 10e08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e0c: 00200293 li t0,2 + 10e10: fc521ae3 bne tp,t0,10de4 + +00010e14 : + 10e14: 00003297 auipc t0,0x3 + 10e18: d6c28293 addi t0,t0,-660 # 13b80 + 10e1c: 0002a103 lw sp,0(t0) + 10e20: 00200113 li sp,2 + 10e24: 00200e93 li t4,2 + 10e28: 14a00193 li gp,330 + 10e2c: 01d10463 beq sp,t4,10e34 + 10e30: 4c90106f j 12af8 + +00010e34 : + 10e34: 00003297 auipc t0,0x3 + 10e38: d4c28293 addi t0,t0,-692 # 13b80 + 10e3c: 0002a103 lw sp,0(t0) + 10e40: 00000013 nop + 10e44: 00200113 li sp,2 + 10e48: 00200e93 li t4,2 + 10e4c: 14b00193 li gp,331 + 10e50: 01d10463 beq sp,t4,10e58 + 10e54: 4a50106f j 12af8 + +00010e58 : + 10e58: ff0100b7 lui ra,0xff010 + 10e5c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10e60: 0f0f1137 lui sp,0xf0f1 + 10e64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10e68: 0020ef33 or t5,ra,sp + 10e6c: ff100eb7 lui t4,0xff100 + 10e70: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10e74: 14c00193 li gp,332 + 10e78: 01df0463 beq t5,t4,10e80 + 10e7c: 47d0106f j 12af8 + +00010e80 : + 10e80: 0ff010b7 lui ra,0xff01 + 10e84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10e88: f0f0f137 lui sp,0xf0f0f + 10e8c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10e90: 0020ef33 or t5,ra,sp + 10e94: fff10eb7 lui t4,0xfff10 + 10e98: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 10e9c: 14d00193 li gp,333 + 10ea0: 01df0463 beq t5,t4,10ea8 + 10ea4: 4550106f j 12af8 + +00010ea8 : + 10ea8: 00ff00b7 lui ra,0xff0 + 10eac: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10eb0: 0f0f1137 lui sp,0xf0f1 + 10eb4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10eb8: 0020ef33 or t5,ra,sp + 10ebc: 0fff1eb7 lui t4,0xfff1 + 10ec0: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 10ec4: 14e00193 li gp,334 + 10ec8: 01df0463 beq t5,t4,10ed0 + 10ecc: 42d0106f j 12af8 + +00010ed0 : + 10ed0: f00ff0b7 lui ra,0xf00ff + 10ed4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 10ed8: f0f0f137 lui sp,0xf0f0f + 10edc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10ee0: 0020ef33 or t5,ra,sp + 10ee4: f0fffeb7 lui t4,0xf0fff + 10ee8: 0ffe8e93 addi t4,t4,255 # f0fff0ff <__global_pointer$+0xf0feacb7> + 10eec: 14f00193 li gp,335 + 10ef0: 01df0463 beq t5,t4,10ef8 + 10ef4: 4050106f j 12af8 + +00010ef8 : + 10ef8: ff0100b7 lui ra,0xff010 + 10efc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f00: 0f0f1137 lui sp,0xf0f1 + 10f04: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f08: 0020e0b3 or ra,ra,sp + 10f0c: ff100eb7 lui t4,0xff100 + 10f10: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f14: 15000193 li gp,336 + 10f18: 01d08463 beq ra,t4,10f20 + 10f1c: 3dd0106f j 12af8 + +00010f20 : + 10f20: ff0100b7 lui ra,0xff010 + 10f24: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f28: 0f0f1137 lui sp,0xf0f1 + 10f2c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f30: 0020e133 or sp,ra,sp + 10f34: ff100eb7 lui t4,0xff100 + 10f38: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f3c: 15100193 li gp,337 + 10f40: 01d10463 beq sp,t4,10f48 + 10f44: 3b50106f j 12af8 + +00010f48 : + 10f48: ff0100b7 lui ra,0xff010 + 10f4c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f50: 0010e0b3 or ra,ra,ra + 10f54: ff010eb7 lui t4,0xff010 + 10f58: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f5c: 15200193 li gp,338 + 10f60: 01d08463 beq ra,t4,10f68 + 10f64: 3950106f j 12af8 + +00010f68 : + 10f68: 00000213 li tp,0 + 10f6c: ff0100b7 lui ra,0xff010 + 10f70: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 10f74: 0f0f1137 lui sp,0xf0f1 + 10f78: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10f7c: 0020ef33 or t5,ra,sp + 10f80: 000f0313 mv t1,t5 + 10f84: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f88: 00200293 li t0,2 + 10f8c: fe5210e3 bne tp,t0,10f6c + 10f90: ff100eb7 lui t4,0xff100 + 10f94: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 10f98: 15300193 li gp,339 + 10f9c: 01d30463 beq t1,t4,10fa4 + 10fa0: 3590106f j 12af8 + +00010fa4 : + 10fa4: 00000213 li tp,0 + 10fa8: 0ff010b7 lui ra,0xff01 + 10fac: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 10fb0: f0f0f137 lui sp,0xf0f0f + 10fb4: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 10fb8: 0020ef33 or t5,ra,sp + 10fbc: 00000013 nop + 10fc0: 000f0313 mv t1,t5 + 10fc4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fc8: 00200293 li t0,2 + 10fcc: fc521ee3 bne tp,t0,10fa8 + 10fd0: fff10eb7 lui t4,0xfff10 + 10fd4: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 10fd8: 15400193 li gp,340 + 10fdc: 01d30463 beq t1,t4,10fe4 + 10fe0: 3190106f j 12af8 + +00010fe4 : + 10fe4: 00000213 li tp,0 + 10fe8: 00ff00b7 lui ra,0xff0 + 10fec: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 10ff0: 0f0f1137 lui sp,0xf0f1 + 10ff4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 10ff8: 0020ef33 or t5,ra,sp + 10ffc: 00000013 nop + 11000: 00000013 nop + 11004: 000f0313 mv t1,t5 + 11008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1100c: 00200293 li t0,2 + 11010: fc521ce3 bne tp,t0,10fe8 + 11014: 0fff1eb7 lui t4,0xfff1 + 11018: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 1101c: 15500193 li gp,341 + 11020: 01d30463 beq t1,t4,11028 + 11024: 2d50106f j 12af8 + +00011028 : + 11028: 00000213 li tp,0 + 1102c: ff0100b7 lui ra,0xff010 + 11030: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11034: 0f0f1137 lui sp,0xf0f1 + 11038: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 1103c: 0020ef33 or t5,ra,sp + 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11044: 00200293 li t0,2 + 11048: fe5212e3 bne tp,t0,1102c + 1104c: ff100eb7 lui t4,0xff100 + 11050: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 11054: 15600193 li gp,342 + 11058: 01df0463 beq t5,t4,11060 + 1105c: 29d0106f j 12af8 + +00011060 : + 11060: 00000213 li tp,0 + 11064: 0ff010b7 lui ra,0xff01 + 11068: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 1106c: f0f0f137 lui sp,0xf0f0f + 11070: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11074: 00000013 nop + 11078: 0020ef33 or t5,ra,sp + 1107c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11080: 00200293 li t0,2 + 11084: fe5210e3 bne tp,t0,11064 + 11088: fff10eb7 lui t4,0xfff10 + 1108c: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 11090: 15700193 li gp,343 + 11094: 01df0463 beq t5,t4,1109c + 11098: 2610106f j 12af8 + +0001109c : + 1109c: 00000213 li tp,0 + 110a0: 00ff00b7 lui ra,0xff0 + 110a4: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 110a8: 0f0f1137 lui sp,0xf0f1 + 110ac: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 110b0: 00000013 nop + 110b4: 00000013 nop + 110b8: 0020ef33 or t5,ra,sp + 110bc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110c0: 00200293 li t0,2 + 110c4: fc521ee3 bne tp,t0,110a0 + 110c8: 0fff1eb7 lui t4,0xfff1 + 110cc: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 110d0: 15800193 li gp,344 + 110d4: 01df0463 beq t5,t4,110dc + 110d8: 2210106f j 12af8 + +000110dc : + 110dc: 00000213 li tp,0 + 110e0: ff0100b7 lui ra,0xff010 + 110e4: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 110e8: 00000013 nop + 110ec: 0f0f1137 lui sp,0xf0f1 + 110f0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 110f4: 0020ef33 or t5,ra,sp + 110f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110fc: 00200293 li t0,2 + 11100: fe5210e3 bne tp,t0,110e0 + 11104: ff100eb7 lui t4,0xff100 + 11108: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 1110c: 15900193 li gp,345 + 11110: 01df0463 beq t5,t4,11118 + 11114: 1e50106f j 12af8 + +00011118 : + 11118: 00000213 li tp,0 + 1111c: 0ff010b7 lui ra,0xff01 + 11120: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11124: 00000013 nop + 11128: f0f0f137 lui sp,0xf0f0f + 1112c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11130: 00000013 nop + 11134: 0020ef33 or t5,ra,sp + 11138: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1113c: 00200293 li t0,2 + 11140: fc521ee3 bne tp,t0,1111c + 11144: fff10eb7 lui t4,0xfff10 + 11148: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 1114c: 15a00193 li gp,346 + 11150: 01df0463 beq t5,t4,11158 + 11154: 1a50106f j 12af8 + +00011158 : + 11158: 00000213 li tp,0 + 1115c: 00ff00b7 lui ra,0xff0 + 11160: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11164: 00000013 nop + 11168: 00000013 nop + 1116c: 0f0f1137 lui sp,0xf0f1 + 11170: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11174: 0020ef33 or t5,ra,sp + 11178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1117c: 00200293 li t0,2 + 11180: fc521ee3 bne tp,t0,1115c + 11184: 0fff1eb7 lui t4,0xfff1 + 11188: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 1118c: 15b00193 li gp,347 + 11190: 01df0463 beq t5,t4,11198 + 11194: 1650106f j 12af8 + +00011198 : + 11198: 00000213 li tp,0 + 1119c: 0f0f1137 lui sp,0xf0f1 + 111a0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 111a4: ff0100b7 lui ra,0xff010 + 111a8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 111ac: 0020ef33 or t5,ra,sp + 111b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111b4: 00200293 li t0,2 + 111b8: fe5212e3 bne tp,t0,1119c + 111bc: ff100eb7 lui t4,0xff100 + 111c0: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 111c4: 15c00193 li gp,348 + 111c8: 01df0463 beq t5,t4,111d0 + 111cc: 12d0106f j 12af8 + +000111d0 : + 111d0: 00000213 li tp,0 + 111d4: f0f0f137 lui sp,0xf0f0f + 111d8: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 111dc: 0ff010b7 lui ra,0xff01 + 111e0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 111e4: 00000013 nop + 111e8: 0020ef33 or t5,ra,sp + 111ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 111f0: 00200293 li t0,2 + 111f4: fe5210e3 bne tp,t0,111d4 + 111f8: fff10eb7 lui t4,0xfff10 + 111fc: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 11200: 15d00193 li gp,349 + 11204: 01df0463 beq t5,t4,1120c + 11208: 0f10106f j 12af8 + +0001120c : + 1120c: 00000213 li tp,0 + 11210: 0f0f1137 lui sp,0xf0f1 + 11214: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11218: 00ff00b7 lui ra,0xff0 + 1121c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11220: 00000013 nop + 11224: 00000013 nop + 11228: 0020ef33 or t5,ra,sp + 1122c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11230: 00200293 li t0,2 + 11234: fc521ee3 bne tp,t0,11210 + 11238: 0fff1eb7 lui t4,0xfff1 + 1123c: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 11240: 15e00193 li gp,350 + 11244: 01df0463 beq t5,t4,1124c + 11248: 0b10106f j 12af8 + +0001124c : + 1124c: 00000213 li tp,0 + 11250: 0f0f1137 lui sp,0xf0f1 + 11254: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 11258: 00000013 nop + 1125c: ff0100b7 lui ra,0xff010 + 11260: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11264: 0020ef33 or t5,ra,sp + 11268: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1126c: 00200293 li t0,2 + 11270: fe5210e3 bne tp,t0,11250 + 11274: ff100eb7 lui t4,0xff100 + 11278: f0fe8e93 addi t4,t4,-241 # ff0fff0f <__global_pointer$+0xff0ebac7> + 1127c: 15f00193 li gp,351 + 11280: 01df0463 beq t5,t4,11288 + 11284: 0750106f j 12af8 + +00011288 : + 11288: 00000213 li tp,0 + 1128c: f0f0f137 lui sp,0xf0f0f + 11290: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efaca8> + 11294: 00000013 nop + 11298: 0ff010b7 lui ra,0xff01 + 1129c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 112a0: 00000013 nop + 112a4: 0020ef33 or t5,ra,sp + 112a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112ac: 00200293 li t0,2 + 112b0: fc521ee3 bne tp,t0,1128c + 112b4: fff10eb7 lui t4,0xfff10 + 112b8: ff0e8e93 addi t4,t4,-16 # fff0fff0 <__global_pointer$+0xffefbba8> + 112bc: 16000193 li gp,352 + 112c0: 01df0463 beq t5,t4,112c8 + 112c4: 0350106f j 12af8 + +000112c8 : + 112c8: 00000213 li tp,0 + 112cc: 0f0f1137 lui sp,0xf0f1 + 112d0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dcac7> + 112d4: 00000013 nop + 112d8: 00000013 nop + 112dc: 00ff00b7 lui ra,0xff0 + 112e0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 112e4: 0020ef33 or t5,ra,sp + 112e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 112ec: 00200293 li t0,2 + 112f0: fc521ee3 bne tp,t0,112cc + 112f4: 0fff1eb7 lui t4,0xfff1 + 112f8: fffe8e93 addi t4,t4,-1 # fff0fff <__global_pointer$+0xffdcbb7> + 112fc: 16100193 li gp,353 + 11300: 01df0463 beq t5,t4,11308 + 11304: 7f40106f j 12af8 + +00011308 : + 11308: ff0100b7 lui ra,0xff010 + 1130c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11310: 00106133 or sp,zero,ra + 11314: ff010eb7 lui t4,0xff010 + 11318: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 1131c: 16200193 li gp,354 + 11320: 01d10463 beq sp,t4,11328 + 11324: 7d40106f j 12af8 + +00011328 : + 11328: 00ff00b7 lui ra,0xff0 + 1132c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11330: 0000e133 or sp,ra,zero + 11334: 00ff0eb7 lui t4,0xff0 + 11338: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdbcb7> + 1133c: 16300193 li gp,355 + 11340: 01d10463 beq sp,t4,11348 + 11344: 7b40106f j 12af8 + +00011348 : + 11348: 000060b3 or ra,zero,zero + 1134c: 00000e93 li t4,0 + 11350: 16400193 li gp,356 + 11354: 01d08463 beq ra,t4,1135c + 11358: 7a00106f j 12af8 + +0001135c : + 1135c: 111110b7 lui ra,0x11111 + 11360: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fccc9> + 11364: 22222137 lui sp,0x22222 + 11368: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220ddda> + 1136c: 0020e033 or zero,ra,sp + 11370: 00000e93 li t4,0 + 11374: 16500193 li gp,357 + 11378: 01d00463 beq zero,t4,11380 + 1137c: 77c0106f j 12af8 + +00011380 : + 11380: ff0100b7 lui ra,0xff010 + 11384: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11388: f0f0ef13 ori t5,ra,-241 + 1138c: f0f00e93 li t4,-241 + 11390: 16600193 li gp,358 + 11394: 01df0463 beq t5,t4,1139c + 11398: 7600106f j 12af8 + +0001139c : + 1139c: 0ff010b7 lui ra,0xff01 + 113a0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 113a4: 0f00ef13 ori t5,ra,240 + 113a8: 0ff01eb7 lui t4,0xff01 + 113ac: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 113b0: 16700193 li gp,359 + 113b4: 01df0463 beq t5,t4,113bc + 113b8: 7400106f j 12af8 + +000113bc : + 113bc: 00ff00b7 lui ra,0xff0 + 113c0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 113c4: 70f0ef13 ori t5,ra,1807 + 113c8: 00ff0eb7 lui t4,0xff0 + 113cc: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> + 113d0: 16800193 li gp,360 + 113d4: 01df0463 beq t5,t4,113dc + 113d8: 7200106f j 12af8 + +000113dc : + 113dc: f00ff0b7 lui ra,0xf00ff + 113e0: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 113e4: 0f00ef13 ori t5,ra,240 + 113e8: f00ffeb7 lui t4,0xf00ff + 113ec: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 113f0: 16900193 li gp,361 + 113f4: 01df0463 beq t5,t4,113fc + 113f8: 7000106f j 12af8 + +000113fc : + 113fc: ff0100b7 lui ra,0xff010 + 11400: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffbab8> + 11404: 0f00e093 ori ra,ra,240 + 11408: ff010eb7 lui t4,0xff010 + 1140c: ff0e8e93 addi t4,t4,-16 # ff00fff0 <__global_pointer$+0xfeffbba8> + 11410: 16a00193 li gp,362 + 11414: 01d08463 beq ra,t4,1141c + 11418: 6e00106f j 12af8 + +0001141c : + 1141c: 00000213 li tp,0 + 11420: 0ff010b7 lui ra,0xff01 + 11424: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11428: 0f00ef13 ori t5,ra,240 + 1142c: 000f0313 mv t1,t5 + 11430: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11434: 00200293 li t0,2 + 11438: fe5214e3 bne tp,t0,11420 + 1143c: 0ff01eb7 lui t4,0xff01 + 11440: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 11444: 16b00193 li gp,363 + 11448: 01d30463 beq t1,t4,11450 + 1144c: 6ac0106f j 12af8 + +00011450 : + 11450: 00000213 li tp,0 + 11454: 00ff00b7 lui ra,0xff0 + 11458: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 1145c: 70f0ef13 ori t5,ra,1807 + 11460: 00000013 nop + 11464: 000f0313 mv t1,t5 + 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1146c: 00200293 li t0,2 + 11470: fe5212e3 bne tp,t0,11454 + 11474: 00ff0eb7 lui t4,0xff0 + 11478: 7ffe8e93 addi t4,t4,2047 # ff07ff <__global_pointer$+0xfdc3b7> + 1147c: 16c00193 li gp,364 + 11480: 01d30463 beq t1,t4,11488 + 11484: 6740106f j 12af8 + +00011488 : + 11488: 00000213 li tp,0 + 1148c: f00ff0b7 lui ra,0xf00ff + 11490: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 11494: 0f00ef13 ori t5,ra,240 + 11498: 00000013 nop + 1149c: 00000013 nop + 114a0: 000f0313 mv t1,t5 + 114a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114a8: 00200293 li t0,2 + 114ac: fe5210e3 bne tp,t0,1148c + 114b0: f00ffeb7 lui t4,0xf00ff + 114b4: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 114b8: 16d00193 li gp,365 + 114bc: 01d30463 beq t1,t4,114c4 + 114c0: 6380106f j 12af8 + +000114c4 : + 114c4: 00000213 li tp,0 + 114c8: 0ff010b7 lui ra,0xff01 + 114cc: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 114d0: 0f00ef13 ori t5,ra,240 + 114d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 114d8: 00200293 li t0,2 + 114dc: fe5216e3 bne tp,t0,114c8 + 114e0: 0ff01eb7 lui t4,0xff01 + 114e4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeecba8> + 114e8: 16e00193 li gp,366 + 114ec: 01df0463 beq t5,t4,114f4 + 114f0: 6080106f j 12af8 + +000114f4 : + 114f4: 00000213 li tp,0 + 114f8: 00ff00b7 lui ra,0xff0 + 114fc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11500: 00000013 nop + 11504: f0f0ef13 ori t5,ra,-241 + 11508: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1150c: 00200293 li t0,2 + 11510: fe5214e3 bne tp,t0,114f8 + 11514: fff00e93 li t4,-1 + 11518: 16f00193 li gp,367 + 1151c: 01df0463 beq t5,t4,11524 + 11520: 5d80106f j 12af8 + +00011524 : + 11524: 00000213 li tp,0 + 11528: f00ff0b7 lui ra,0xf00ff + 1152c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00eabc7> + 11530: 00000013 nop + 11534: 00000013 nop + 11538: 0f00ef13 ori t5,ra,240 + 1153c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11540: 00200293 li t0,2 + 11544: fe5212e3 bne tp,t0,11528 + 11548: f00ffeb7 lui t4,0xf00ff + 1154c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00eacb7> + 11550: 17000193 li gp,368 + 11554: 01df0463 beq t5,t4,1155c + 11558: 5a00106f j 12af8 + +0001155c : + 1155c: 0f006093 ori ra,zero,240 + 11560: 0f000e93 li t4,240 + 11564: 17100193 li gp,369 + 11568: 01d08463 beq ra,t4,11570 + 1156c: 58c0106f j 12af8 + +00011570 : + 11570: 00ff00b7 lui ra,0xff0 + 11574: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdbcb7> + 11578: 70f0e013 ori zero,ra,1807 + 1157c: 00000e93 li t4,0 + 11580: 17200193 li gp,370 + 11584: 01d00463 beq zero,t4,1158c + 11588: 5700106f j 12af8 + +0001158c : + 1158c: 00002097 auipc ra,0x2 + 11590: 5c808093 addi ra,ra,1480 # 13b54 + 11594: faa00113 li sp,-86 + 11598: 00208023 sb sp,0(ra) + 1159c: 00008f03 lb t5,0(ra) + 115a0: faa00e93 li t4,-86 + 115a4: 17300193 li gp,371 + 115a8: 01df0463 beq t5,t4,115b0 + 115ac: 54c0106f j 12af8 + +000115b0 : + 115b0: 00002097 auipc ra,0x2 + 115b4: 5a408093 addi ra,ra,1444 # 13b54 + 115b8: 00000113 li sp,0 + 115bc: 002080a3 sb sp,1(ra) + 115c0: 00108f03 lb t5,1(ra) + 115c4: 00000e93 li t4,0 + 115c8: 17400193 li gp,372 + 115cc: 01df0463 beq t5,t4,115d4 + 115d0: 5280106f j 12af8 + +000115d4 : + 115d4: 00002097 auipc ra,0x2 + 115d8: 58008093 addi ra,ra,1408 # 13b54 + 115dc: fffff137 lui sp,0xfffff + 115e0: fa010113 addi sp,sp,-96 # ffffefa0 <__global_pointer$+0xfffeab58> + 115e4: 00208123 sb sp,2(ra) + 115e8: 00209f03 lh t5,2(ra) + 115ec: fffffeb7 lui t4,0xfffff + 115f0: fa0e8e93 addi t4,t4,-96 # ffffefa0 <__global_pointer$+0xfffeab58> + 115f4: 17500193 li gp,373 + 115f8: 01df0463 beq t5,t4,11600 + 115fc: 4fc0106f j 12af8 + +00011600 : + 11600: 00002097 auipc ra,0x2 + 11604: 55408093 addi ra,ra,1364 # 13b54 + 11608: 00a00113 li sp,10 + 1160c: 002081a3 sb sp,3(ra) + 11610: 00308f03 lb t5,3(ra) + 11614: 00a00e93 li t4,10 + 11618: 17600193 li gp,374 + 1161c: 01df0463 beq t5,t4,11624 + 11620: 4d80106f j 12af8 + +00011624 : + 11624: 00002097 auipc ra,0x2 + 11628: 53708093 addi ra,ra,1335 # 13b5b + 1162c: faa00113 li sp,-86 + 11630: fe208ea3 sb sp,-3(ra) + 11634: ffd08f03 lb t5,-3(ra) + 11638: faa00e93 li t4,-86 + 1163c: 17700193 li gp,375 + 11640: 01df0463 beq t5,t4,11648 + 11644: 4b40106f j 12af8 + +00011648 : + 11648: 00002097 auipc ra,0x2 + 1164c: 51308093 addi ra,ra,1299 # 13b5b + 11650: 00000113 li sp,0 + 11654: fe208f23 sb sp,-2(ra) + 11658: ffe08f03 lb t5,-2(ra) + 1165c: 00000e93 li t4,0 + 11660: 17800193 li gp,376 + 11664: 01df0463 beq t5,t4,1166c + 11668: 4900106f j 12af8 + +0001166c : + 1166c: 00002097 auipc ra,0x2 + 11670: 4ef08093 addi ra,ra,1263 # 13b5b + 11674: fa000113 li sp,-96 + 11678: fe208fa3 sb sp,-1(ra) + 1167c: fff08f03 lb t5,-1(ra) + 11680: fa000e93 li t4,-96 + 11684: 17900193 li gp,377 + 11688: 01df0463 beq t5,t4,11690 + 1168c: 46c0106f j 12af8 + +00011690 : + 11690: 00002097 auipc ra,0x2 + 11694: 4cb08093 addi ra,ra,1227 # 13b5b + 11698: 00a00113 li sp,10 + 1169c: 00208023 sb sp,0(ra) + 116a0: 00008f03 lb t5,0(ra) + 116a4: 00a00e93 li t4,10 + 116a8: 17a00193 li gp,378 + 116ac: 01df0463 beq t5,t4,116b4 + 116b0: 4480106f j 12af8 + +000116b4 : + 116b4: 00002097 auipc ra,0x2 + 116b8: 4a808093 addi ra,ra,1192 # 13b5c + 116bc: 12345137 lui sp,0x12345 + 116c0: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> + 116c4: fe008213 addi tp,ra,-32 + 116c8: 02220023 sb sp,32(tp) # 20 <_start-0x10060> + 116cc: 00008283 lb t0,0(ra) + 116d0: 07800e93 li t4,120 + 116d4: 17b00193 li gp,379 + 116d8: 01d28463 beq t0,t4,116e0 + 116dc: 41c0106f j 12af8 + +000116e0 : + 116e0: 00002097 auipc ra,0x2 + 116e4: 47c08093 addi ra,ra,1148 # 13b5c + 116e8: 00003137 lui sp,0x3 + 116ec: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> + 116f0: ffa08093 addi ra,ra,-6 + 116f4: 002083a3 sb sp,7(ra) + 116f8: 00002217 auipc tp,0x2 + 116fc: 46520213 addi tp,tp,1125 # 13b5d + 11700: 00020283 lb t0,0(tp) # 0 <_start-0x10080> + 11704: f9800e93 li t4,-104 + 11708: 17c00193 li gp,380 + 1170c: 01d28463 beq t0,t4,11714 + 11710: 3e80106f j 12af8 + +00011714 : + 11714: 17d00193 li gp,381 + 11718: 00000213 li tp,0 + 1171c: fdd00093 li ra,-35 + 11720: 00002117 auipc sp,0x2 + 11724: 43410113 addi sp,sp,1076 # 13b54 + 11728: 00110023 sb ra,0(sp) + 1172c: 00010f03 lb t5,0(sp) + 11730: fdd00e93 li t4,-35 + 11734: 01df0463 beq t5,t4,1173c + 11738: 3c00106f j 12af8 + 1173c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11740: 00200293 li t0,2 + 11744: fc521ce3 bne tp,t0,1171c + +00011748 : + 11748: 17e00193 li gp,382 + 1174c: 00000213 li tp,0 + 11750: fcd00093 li ra,-51 + 11754: 00002117 auipc sp,0x2 + 11758: 40010113 addi sp,sp,1024 # 13b54 + 1175c: 00000013 nop + 11760: 001100a3 sb ra,1(sp) + 11764: 00110f03 lb t5,1(sp) + 11768: fcd00e93 li t4,-51 + 1176c: 01df0463 beq t5,t4,11774 + 11770: 3880106f j 12af8 + 11774: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11778: 00200293 li t0,2 + 1177c: fc521ae3 bne tp,t0,11750 + +00011780 : + 11780: 17f00193 li gp,383 + 11784: 00000213 li tp,0 + 11788: fcc00093 li ra,-52 + 1178c: 00002117 auipc sp,0x2 + 11790: 3c810113 addi sp,sp,968 # 13b54 + 11794: 00000013 nop + 11798: 00000013 nop + 1179c: 00110123 sb ra,2(sp) + 117a0: 00210f03 lb t5,2(sp) + 117a4: fcc00e93 li t4,-52 + 117a8: 01df0463 beq t5,t4,117b0 + 117ac: 34c0106f j 12af8 + 117b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117b4: 00200293 li t0,2 + 117b8: fc5218e3 bne tp,t0,11788 + +000117bc : + 117bc: 18000193 li gp,384 + 117c0: 00000213 li tp,0 + 117c4: fbc00093 li ra,-68 + 117c8: 00000013 nop + 117cc: 00002117 auipc sp,0x2 + 117d0: 38810113 addi sp,sp,904 # 13b54 + 117d4: 001101a3 sb ra,3(sp) + 117d8: 00310f03 lb t5,3(sp) + 117dc: fbc00e93 li t4,-68 + 117e0: 01df0463 beq t5,t4,117e8 + 117e4: 3140106f j 12af8 + 117e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117ec: 00200293 li t0,2 + 117f0: fc521ae3 bne tp,t0,117c4 + +000117f4 : + 117f4: 18100193 li gp,385 + 117f8: 00000213 li tp,0 + 117fc: fbb00093 li ra,-69 + 11800: 00000013 nop + 11804: 00002117 auipc sp,0x2 + 11808: 35010113 addi sp,sp,848 # 13b54 + 1180c: 00000013 nop + 11810: 00110223 sb ra,4(sp) + 11814: 00410f03 lb t5,4(sp) + 11818: fbb00e93 li t4,-69 + 1181c: 01df0463 beq t5,t4,11824 + 11820: 2d80106f j 12af8 + 11824: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11828: 00200293 li t0,2 + 1182c: fc5218e3 bne tp,t0,117fc + +00011830 : + 11830: 18200193 li gp,386 + 11834: 00000213 li tp,0 + 11838: fab00093 li ra,-85 + 1183c: 00000013 nop + 11840: 00000013 nop + 11844: 00002117 auipc sp,0x2 + 11848: 31010113 addi sp,sp,784 # 13b54 + 1184c: 001102a3 sb ra,5(sp) + 11850: 00510f03 lb t5,5(sp) + 11854: fab00e93 li t4,-85 + 11858: 01df0463 beq t5,t4,11860 + 1185c: 29c0106f j 12af8 + 11860: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11864: 00200293 li t0,2 + 11868: fc5218e3 bne tp,t0,11838 + +0001186c : + 1186c: 18300193 li gp,387 + 11870: 00000213 li tp,0 + 11874: 00002117 auipc sp,0x2 + 11878: 2e010113 addi sp,sp,736 # 13b54 + 1187c: 03300093 li ra,51 + 11880: 00110023 sb ra,0(sp) + 11884: 00010f03 lb t5,0(sp) + 11888: 03300e93 li t4,51 + 1188c: 01df0463 beq t5,t4,11894 + 11890: 2680106f j 12af8 + 11894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11898: 00200293 li t0,2 + 1189c: fc521ce3 bne tp,t0,11874 + +000118a0 : + 118a0: 18400193 li gp,388 + 118a4: 00000213 li tp,0 + 118a8: 00002117 auipc sp,0x2 + 118ac: 2ac10113 addi sp,sp,684 # 13b54 + 118b0: 02300093 li ra,35 + 118b4: 00000013 nop + 118b8: 001100a3 sb ra,1(sp) + 118bc: 00110f03 lb t5,1(sp) + 118c0: 02300e93 li t4,35 + 118c4: 01df0463 beq t5,t4,118cc + 118c8: 2300106f j 12af8 + 118cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118d0: 00200293 li t0,2 + 118d4: fc521ae3 bne tp,t0,118a8 + +000118d8 : + 118d8: 18500193 li gp,389 + 118dc: 00000213 li tp,0 + 118e0: 00002117 auipc sp,0x2 + 118e4: 27410113 addi sp,sp,628 # 13b54 + 118e8: 02200093 li ra,34 + 118ec: 00000013 nop + 118f0: 00000013 nop + 118f4: 00110123 sb ra,2(sp) + 118f8: 00210f03 lb t5,2(sp) + 118fc: 02200e93 li t4,34 + 11900: 01df0463 beq t5,t4,11908 + 11904: 1f40106f j 12af8 + 11908: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1190c: 00200293 li t0,2 + 11910: fc5218e3 bne tp,t0,118e0 + +00011914 : + 11914: 18600193 li gp,390 + 11918: 00000213 li tp,0 + 1191c: 00002117 auipc sp,0x2 + 11920: 23810113 addi sp,sp,568 # 13b54 + 11924: 00000013 nop + 11928: 01200093 li ra,18 + 1192c: 001101a3 sb ra,3(sp) + 11930: 00310f03 lb t5,3(sp) + 11934: 01200e93 li t4,18 + 11938: 01df0463 beq t5,t4,11940 + 1193c: 1bc0106f j 12af8 + 11940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11944: 00200293 li t0,2 + 11948: fc521ae3 bne tp,t0,1191c + +0001194c : + 1194c: 18700193 li gp,391 + 11950: 00000213 li tp,0 + 11954: 00002117 auipc sp,0x2 + 11958: 20010113 addi sp,sp,512 # 13b54 + 1195c: 00000013 nop + 11960: 01100093 li ra,17 + 11964: 00000013 nop + 11968: 00110223 sb ra,4(sp) + 1196c: 00410f03 lb t5,4(sp) + 11970: 01100e93 li t4,17 + 11974: 01df0463 beq t5,t4,1197c + 11978: 1800106f j 12af8 + 1197c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11980: 00200293 li t0,2 + 11984: fc5218e3 bne tp,t0,11954 + +00011988 : + 11988: 18800193 li gp,392 + 1198c: 00000213 li tp,0 + 11990: 00002117 auipc sp,0x2 + 11994: 1c410113 addi sp,sp,452 # 13b54 + 11998: 00000013 nop + 1199c: 00000013 nop + 119a0: 00100093 li ra,1 + 119a4: 001102a3 sb ra,5(sp) + 119a8: 00510f03 lb t5,5(sp) + 119ac: 00100e93 li t4,1 + 119b0: 01df0463 beq t5,t4,119b8 + 119b4: 1440106f j 12af8 + 119b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119bc: 00200293 li t0,2 + 119c0: fc5218e3 bne tp,t0,11990 + 119c4: 0ef00513 li a0,239 + 119c8: 00002597 auipc a1,0x2 + 119cc: 18c58593 addi a1,a1,396 # 13b54 + 119d0: 00a581a3 sb a0,3(a1) + +000119d4 : + 119d4: 00002097 auipc ra,0x2 + 119d8: 19408093 addi ra,ra,404 # 13b68 + 119dc: 0aa00113 li sp,170 + 119e0: 00209023 sh sp,0(ra) + 119e4: 00009f03 lh t5,0(ra) + 119e8: 0aa00e93 li t4,170 + 119ec: 18900193 li gp,393 + 119f0: 01df0463 beq t5,t4,119f8 + 119f4: 1040106f j 12af8 + +000119f8 : + 119f8: 00002097 auipc ra,0x2 + 119fc: 17008093 addi ra,ra,368 # 13b68 + 11a00: ffffb137 lui sp,0xffffb + 11a04: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11a08: 00209123 sh sp,2(ra) + 11a0c: 00209f03 lh t5,2(ra) + 11a10: ffffbeb7 lui t4,0xffffb + 11a14: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11a18: 18a00193 li gp,394 + 11a1c: 01df0463 beq t5,t4,11a24 + 11a20: 0d80106f j 12af8 + +00011a24 : + 11a24: 00002097 auipc ra,0x2 + 11a28: 14408093 addi ra,ra,324 # 13b68 + 11a2c: beef1137 lui sp,0xbeef1 + 11a30: aa010113 addi sp,sp,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> + 11a34: 00209223 sh sp,4(ra) + 11a38: 0040af03 lw t5,4(ra) + 11a3c: beef1eb7 lui t4,0xbeef1 + 11a40: aa0e8e93 addi t4,t4,-1376 # beef0aa0 <__global_pointer$+0xbeedc658> + 11a44: 18b00193 li gp,395 + 11a48: 01df0463 beq t5,t4,11a50 + 11a4c: 0ac0106f j 12af8 + +00011a50 : + 11a50: 00002097 auipc ra,0x2 + 11a54: 11808093 addi ra,ra,280 # 13b68 + 11a58: ffffa137 lui sp,0xffffa + 11a5c: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11a60: 00209323 sh sp,6(ra) + 11a64: 00609f03 lh t5,6(ra) + 11a68: ffffaeb7 lui t4,0xffffa + 11a6c: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11a70: 18c00193 li gp,396 + 11a74: 01df0463 beq t5,t4,11a7c + 11a78: 0800106f j 12af8 + +00011a7c : + 11a7c: 00002097 auipc ra,0x2 + 11a80: 0fa08093 addi ra,ra,250 # 13b76 + 11a84: 0aa00113 li sp,170 + 11a88: fe209d23 sh sp,-6(ra) + 11a8c: ffa09f03 lh t5,-6(ra) + 11a90: 0aa00e93 li t4,170 + 11a94: 18d00193 li gp,397 + 11a98: 01df0463 beq t5,t4,11aa0 + 11a9c: 05c0106f j 12af8 + +00011aa0 : + 11aa0: 00002097 auipc ra,0x2 + 11aa4: 0d608093 addi ra,ra,214 # 13b76 + 11aa8: ffffb137 lui sp,0xffffb + 11aac: a0010113 addi sp,sp,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11ab0: fe209e23 sh sp,-4(ra) + 11ab4: ffc09f03 lh t5,-4(ra) + 11ab8: ffffbeb7 lui t4,0xffffb + 11abc: a00e8e93 addi t4,t4,-1536 # ffffaa00 <__global_pointer$+0xfffe65b8> + 11ac0: 18e00193 li gp,398 + 11ac4: 01df0463 beq t5,t4,11acc + 11ac8: 0300106f j 12af8 + +00011acc : + 11acc: 00002097 auipc ra,0x2 + 11ad0: 0aa08093 addi ra,ra,170 # 13b76 + 11ad4: 00001137 lui sp,0x1 + 11ad8: aa010113 addi sp,sp,-1376 # aa0 <_start-0xf5e0> + 11adc: fe209f23 sh sp,-2(ra) + 11ae0: ffe09f03 lh t5,-2(ra) + 11ae4: 00001eb7 lui t4,0x1 + 11ae8: aa0e8e93 addi t4,t4,-1376 # aa0 <_start-0xf5e0> + 11aec: 18f00193 li gp,399 + 11af0: 01df0463 beq t5,t4,11af8 + 11af4: 0040106f j 12af8 + +00011af8 : + 11af8: 00002097 auipc ra,0x2 + 11afc: 07e08093 addi ra,ra,126 # 13b76 + 11b00: ffffa137 lui sp,0xffffa + 11b04: 00a10113 addi sp,sp,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11b08: 00209023 sh sp,0(ra) + 11b0c: 00009f03 lh t5,0(ra) + 11b10: ffffaeb7 lui t4,0xffffa + 11b14: 00ae8e93 addi t4,t4,10 # ffffa00a <__global_pointer$+0xfffe5bc2> + 11b18: 19000193 li gp,400 + 11b1c: 7ddf1ee3 bne t5,t4,12af8 + +00011b20 : + 11b20: 00002097 auipc ra,0x2 + 11b24: 05808093 addi ra,ra,88 # 13b78 + 11b28: 12345137 lui sp,0x12345 + 11b2c: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12331230> + 11b30: fe008213 addi tp,ra,-32 + 11b34: 02221023 sh sp,32(tp) # 20 <_start-0x10060> + 11b38: 00009283 lh t0,0(ra) + 11b3c: 00005eb7 lui t4,0x5 + 11b40: 678e8e93 addi t4,t4,1656 # 5678 <_start-0xaa08> + 11b44: 19100193 li gp,401 + 11b48: 7bd298e3 bne t0,t4,12af8 + +00011b4c : + 11b4c: 00002097 auipc ra,0x2 + 11b50: 02c08093 addi ra,ra,44 # 13b78 + 11b54: 00003137 lui sp,0x3 + 11b58: 09810113 addi sp,sp,152 # 3098 <_start-0xcfe8> + 11b5c: ffb08093 addi ra,ra,-5 + 11b60: 002093a3 sh sp,7(ra) + 11b64: 00002217 auipc tp,0x2 + 11b68: 01620213 addi tp,tp,22 # 13b7a + 11b6c: 00021283 lh t0,0(tp) # 0 <_start-0x10080> + 11b70: 00003eb7 lui t4,0x3 + 11b74: 098e8e93 addi t4,t4,152 # 3098 <_start-0xcfe8> + 11b78: 19200193 li gp,402 + 11b7c: 77d29ee3 bne t0,t4,12af8 + +00011b80 : + 11b80: 19300193 li gp,403 + 11b84: 00000213 li tp,0 + 11b88: ffffd0b7 lui ra,0xffffd + 11b8c: cdd08093 addi ra,ra,-803 # ffffccdd <__global_pointer$+0xfffe8895> + 11b90: 00002117 auipc sp,0x2 + 11b94: fd810113 addi sp,sp,-40 # 13b68 + 11b98: 00111023 sh ra,0(sp) + 11b9c: 00011f03 lh t5,0(sp) + 11ba0: ffffdeb7 lui t4,0xffffd + 11ba4: cdde8e93 addi t4,t4,-803 # ffffccdd <__global_pointer$+0xfffe8895> + 11ba8: 75df18e3 bne t5,t4,12af8 + 11bac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bb0: 00200293 li t0,2 + 11bb4: fc521ae3 bne tp,t0,11b88 + +00011bb8 : + 11bb8: 19400193 li gp,404 + 11bbc: 00000213 li tp,0 + 11bc0: ffffc0b7 lui ra,0xffffc + 11bc4: ccd08093 addi ra,ra,-819 # ffffbccd <__global_pointer$+0xfffe7885> + 11bc8: 00002117 auipc sp,0x2 + 11bcc: fa010113 addi sp,sp,-96 # 13b68 + 11bd0: 00000013 nop + 11bd4: 00111123 sh ra,2(sp) + 11bd8: 00211f03 lh t5,2(sp) + 11bdc: ffffceb7 lui t4,0xffffc + 11be0: ccde8e93 addi t4,t4,-819 # ffffbccd <__global_pointer$+0xfffe7885> + 11be4: 71df1ae3 bne t5,t4,12af8 + 11be8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11bec: 00200293 li t0,2 + 11bf0: fc5218e3 bne tp,t0,11bc0 + +00011bf4 : + 11bf4: 19500193 li gp,405 + 11bf8: 00000213 li tp,0 + 11bfc: ffffc0b7 lui ra,0xffffc + 11c00: bcc08093 addi ra,ra,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> + 11c04: 00002117 auipc sp,0x2 + 11c08: f6410113 addi sp,sp,-156 # 13b68 + 11c0c: 00000013 nop + 11c10: 00000013 nop + 11c14: 00111223 sh ra,4(sp) + 11c18: 00411f03 lh t5,4(sp) + 11c1c: ffffceb7 lui t4,0xffffc + 11c20: bcce8e93 addi t4,t4,-1076 # ffffbbcc <__global_pointer$+0xfffe7784> + 11c24: 6ddf1ae3 bne t5,t4,12af8 + 11c28: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c2c: 00200293 li t0,2 + 11c30: fc5216e3 bne tp,t0,11bfc + +00011c34 : + 11c34: 19600193 li gp,406 + 11c38: 00000213 li tp,0 + 11c3c: ffffb0b7 lui ra,0xffffb + 11c40: bbc08093 addi ra,ra,-1092 # ffffabbc <__global_pointer$+0xfffe6774> + 11c44: 00000013 nop + 11c48: 00002117 auipc sp,0x2 + 11c4c: f2010113 addi sp,sp,-224 # 13b68 + 11c50: 00111323 sh ra,6(sp) + 11c54: 00611f03 lh t5,6(sp) + 11c58: ffffbeb7 lui t4,0xffffb + 11c5c: bbce8e93 addi t4,t4,-1092 # ffffabbc <__global_pointer$+0xfffe6774> + 11c60: 69df1ce3 bne t5,t4,12af8 + 11c64: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11c68: 00200293 li t0,2 + 11c6c: fc5218e3 bne tp,t0,11c3c + +00011c70 : + 11c70: 19700193 li gp,407 + 11c74: 00000213 li tp,0 + 11c78: ffffb0b7 lui ra,0xffffb + 11c7c: abb08093 addi ra,ra,-1349 # ffffaabb <__global_pointer$+0xfffe6673> + 11c80: 00000013 nop + 11c84: 00002117 auipc sp,0x2 + 11c88: ee410113 addi sp,sp,-284 # 13b68 + 11c8c: 00000013 nop + 11c90: 00111423 sh ra,8(sp) + 11c94: 00811f03 lh t5,8(sp) + 11c98: ffffbeb7 lui t4,0xffffb + 11c9c: abbe8e93 addi t4,t4,-1349 # ffffaabb <__global_pointer$+0xfffe6673> + 11ca0: 65df1ce3 bne t5,t4,12af8 + 11ca4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ca8: 00200293 li t0,2 + 11cac: fc5216e3 bne tp,t0,11c78 + +00011cb0 : + 11cb0: 19800193 li gp,408 + 11cb4: 00000213 li tp,0 + 11cb8: ffffe0b7 lui ra,0xffffe + 11cbc: aab08093 addi ra,ra,-1365 # ffffdaab <__global_pointer$+0xfffe9663> + 11cc0: 00000013 nop + 11cc4: 00000013 nop + 11cc8: 00002117 auipc sp,0x2 + 11ccc: ea010113 addi sp,sp,-352 # 13b68 + 11cd0: 00111523 sh ra,10(sp) + 11cd4: 00a11f03 lh t5,10(sp) + 11cd8: ffffeeb7 lui t4,0xffffe + 11cdc: aabe8e93 addi t4,t4,-1365 # ffffdaab <__global_pointer$+0xfffe9663> + 11ce0: 61df1ce3 bne t5,t4,12af8 + 11ce4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11ce8: 00200293 li t0,2 + 11cec: fc5216e3 bne tp,t0,11cb8 + +00011cf0 : + 11cf0: 19900193 li gp,409 + 11cf4: 00000213 li tp,0 + 11cf8: 00002117 auipc sp,0x2 + 11cfc: e7010113 addi sp,sp,-400 # 13b68 + 11d00: 000020b7 lui ra,0x2 + 11d04: 23308093 addi ra,ra,563 # 2233 <_start-0xde4d> + 11d08: 00111023 sh ra,0(sp) + 11d0c: 00011f03 lh t5,0(sp) + 11d10: 00002eb7 lui t4,0x2 + 11d14: 233e8e93 addi t4,t4,563 # 2233 <_start-0xde4d> + 11d18: 5fdf10e3 bne t5,t4,12af8 + 11d1c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d20: 00200293 li t0,2 + 11d24: fc521ae3 bne tp,t0,11cf8 + +00011d28 : + 11d28: 19a00193 li gp,410 + 11d2c: 00000213 li tp,0 + 11d30: 00002117 auipc sp,0x2 + 11d34: e3810113 addi sp,sp,-456 # 13b68 + 11d38: 000010b7 lui ra,0x1 + 11d3c: 22308093 addi ra,ra,547 # 1223 <_start-0xee5d> + 11d40: 00000013 nop + 11d44: 00111123 sh ra,2(sp) + 11d48: 00211f03 lh t5,2(sp) + 11d4c: 00001eb7 lui t4,0x1 + 11d50: 223e8e93 addi t4,t4,547 # 1223 <_start-0xee5d> + 11d54: 5bdf12e3 bne t5,t4,12af8 + 11d58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d5c: 00200293 li t0,2 + 11d60: fc5218e3 bne tp,t0,11d30 + +00011d64 : + 11d64: 19b00193 li gp,411 + 11d68: 00000213 li tp,0 + 11d6c: 00002117 auipc sp,0x2 + 11d70: dfc10113 addi sp,sp,-516 # 13b68 + 11d74: 000010b7 lui ra,0x1 + 11d78: 12208093 addi ra,ra,290 # 1122 <_start-0xef5e> + 11d7c: 00000013 nop + 11d80: 00000013 nop + 11d84: 00111223 sh ra,4(sp) + 11d88: 00411f03 lh t5,4(sp) + 11d8c: 00001eb7 lui t4,0x1 + 11d90: 122e8e93 addi t4,t4,290 # 1122 <_start-0xef5e> + 11d94: 57df12e3 bne t5,t4,12af8 + 11d98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d9c: 00200293 li t0,2 + 11da0: fc5216e3 bne tp,t0,11d6c + +00011da4 : + 11da4: 19c00193 li gp,412 + 11da8: 00000213 li tp,0 + 11dac: 00002117 auipc sp,0x2 + 11db0: dbc10113 addi sp,sp,-580 # 13b68 + 11db4: 00000013 nop + 11db8: 11200093 li ra,274 + 11dbc: 00111323 sh ra,6(sp) + 11dc0: 00611f03 lh t5,6(sp) + 11dc4: 11200e93 li t4,274 + 11dc8: 53df18e3 bne t5,t4,12af8 + 11dcc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11dd0: 00200293 li t0,2 + 11dd4: fc521ce3 bne tp,t0,11dac + +00011dd8 : + 11dd8: 19d00193 li gp,413 + 11ddc: 00000213 li tp,0 + 11de0: 00002117 auipc sp,0x2 + 11de4: d8810113 addi sp,sp,-632 # 13b68 + 11de8: 00000013 nop + 11dec: 01100093 li ra,17 + 11df0: 00000013 nop + 11df4: 00111423 sh ra,8(sp) + 11df8: 00811f03 lh t5,8(sp) + 11dfc: 01100e93 li t4,17 + 11e00: 4fdf1ce3 bne t5,t4,12af8 + 11e04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e08: 00200293 li t0,2 + 11e0c: fc521ae3 bne tp,t0,11de0 + +00011e10 : + 11e10: 19e00193 li gp,414 + 11e14: 00000213 li tp,0 + 11e18: 00002117 auipc sp,0x2 + 11e1c: d5010113 addi sp,sp,-688 # 13b68 + 11e20: 00000013 nop + 11e24: 00000013 nop + 11e28: 000030b7 lui ra,0x3 + 11e2c: 00108093 addi ra,ra,1 # 3001 <_start-0xd07f> + 11e30: 00111523 sh ra,10(sp) + 11e34: 00a11f03 lh t5,10(sp) + 11e38: 00003eb7 lui t4,0x3 + 11e3c: 001e8e93 addi t4,t4,1 # 3001 <_start-0xd07f> + 11e40: 4bdf1ce3 bne t5,t4,12af8 + 11e44: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11e48: 00200293 li t0,2 + 11e4c: fc5216e3 bne tp,t0,11e18 + 11e50: 0000c537 lui a0,0xc + 11e54: eef50513 addi a0,a0,-273 # beef <_start-0x4191> + 11e58: 00002597 auipc a1,0x2 + 11e5c: d1058593 addi a1,a1,-752 # 13b68 + 11e60: 00a59323 sh a0,6(a1) + +00011e64 : + 11e64: 00100093 li ra,1 + 11e68: 00000113 li sp,0 + 11e6c: 00209f33 sll t5,ra,sp + 11e70: 00100e93 li t4,1 + 11e74: 19f00193 li gp,415 + 11e78: 49df10e3 bne t5,t4,12af8 + +00011e7c : + 11e7c: 00100093 li ra,1 + 11e80: 00100113 li sp,1 + 11e84: 00209f33 sll t5,ra,sp + 11e88: 00200e93 li t4,2 + 11e8c: 1a000193 li gp,416 + 11e90: 47df14e3 bne t5,t4,12af8 + +00011e94 : + 11e94: 00100093 li ra,1 + 11e98: 00700113 li sp,7 + 11e9c: 00209f33 sll t5,ra,sp + 11ea0: 08000e93 li t4,128 + 11ea4: 1a100193 li gp,417 + 11ea8: 45df18e3 bne t5,t4,12af8 + +00011eac : + 11eac: 00100093 li ra,1 + 11eb0: 00e00113 li sp,14 + 11eb4: 00209f33 sll t5,ra,sp + 11eb8: 00004eb7 lui t4,0x4 + 11ebc: 1a200193 li gp,418 + 11ec0: 43df1ce3 bne t5,t4,12af8 + +00011ec4 : + 11ec4: 00100093 li ra,1 + 11ec8: 01f00113 li sp,31 + 11ecc: 00209f33 sll t5,ra,sp + 11ed0: 80000eb7 lui t4,0x80000 + 11ed4: 1a300193 li gp,419 + 11ed8: 43df10e3 bne t5,t4,12af8 + +00011edc : + 11edc: fff00093 li ra,-1 + 11ee0: 00000113 li sp,0 + 11ee4: 00209f33 sll t5,ra,sp + 11ee8: fff00e93 li t4,-1 + 11eec: 1a400193 li gp,420 + 11ef0: 41df14e3 bne t5,t4,12af8 + +00011ef4 : + 11ef4: fff00093 li ra,-1 + 11ef8: 00100113 li sp,1 + 11efc: 00209f33 sll t5,ra,sp + 11f00: ffe00e93 li t4,-2 + 11f04: 1a500193 li gp,421 + 11f08: 3fdf18e3 bne t5,t4,12af8 + +00011f0c : + 11f0c: fff00093 li ra,-1 + 11f10: 00700113 li sp,7 + 11f14: 00209f33 sll t5,ra,sp + 11f18: f8000e93 li t4,-128 + 11f1c: 1a600193 li gp,422 + 11f20: 3ddf1ce3 bne t5,t4,12af8 + +00011f24 : + 11f24: fff00093 li ra,-1 + 11f28: 00e00113 li sp,14 + 11f2c: 00209f33 sll t5,ra,sp + 11f30: ffffceb7 lui t4,0xffffc + 11f34: 1a700193 li gp,423 + 11f38: 3ddf10e3 bne t5,t4,12af8 + +00011f3c : + 11f3c: fff00093 li ra,-1 + 11f40: 01f00113 li sp,31 + 11f44: 00209f33 sll t5,ra,sp + 11f48: 80000eb7 lui t4,0x80000 + 11f4c: 1a800193 li gp,424 + 11f50: 3bdf14e3 bne t5,t4,12af8 + +00011f54 : + 11f54: 212120b7 lui ra,0x21212 + 11f58: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f5c: 00000113 li sp,0 + 11f60: 00209f33 sll t5,ra,sp + 11f64: 21212eb7 lui t4,0x21212 + 11f68: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f6c: 1a900193 li gp,425 + 11f70: 39df14e3 bne t5,t4,12af8 + +00011f74 : + 11f74: 212120b7 lui ra,0x21212 + 11f78: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f7c: 00100113 li sp,1 + 11f80: 00209f33 sll t5,ra,sp + 11f84: 42424eb7 lui t4,0x42424 + 11f88: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 11f8c: 1aa00193 li gp,426 + 11f90: 37df14e3 bne t5,t4,12af8 + +00011f94 : + 11f94: 212120b7 lui ra,0x21212 + 11f98: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11f9c: 00700113 li sp,7 + 11fa0: 00209f33 sll t5,ra,sp + 11fa4: 90909eb7 lui t4,0x90909 + 11fa8: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 11fac: 1ab00193 li gp,427 + 11fb0: 35df14e3 bne t5,t4,12af8 + +00011fb4 : + 11fb4: 212120b7 lui ra,0x21212 + 11fb8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11fbc: 00e00113 li sp,14 + 11fc0: 00209f33 sll t5,ra,sp + 11fc4: 48484eb7 lui t4,0x48484 + 11fc8: 1ac00193 li gp,428 + 11fcc: 33df16e3 bne t5,t4,12af8 + +00011fd0 : + 11fd0: 212120b7 lui ra,0x21212 + 11fd4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11fd8: 01f00113 li sp,31 + 11fdc: 00209f33 sll t5,ra,sp + 11fe0: 80000eb7 lui t4,0x80000 + 11fe4: 1ad00193 li gp,429 + 11fe8: 31df18e3 bne t5,t4,12af8 + +00011fec : + 11fec: 212120b7 lui ra,0x21212 + 11ff0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 11ff4: fc000113 li sp,-64 + 11ff8: 00209f33 sll t5,ra,sp + 11ffc: 21212eb7 lui t4,0x21212 + 12000: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12004: 1ae00193 li gp,430 + 12008: 2fdf18e3 bne t5,t4,12af8 + +0001200c : + 1200c: 212120b7 lui ra,0x21212 + 12010: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12014: fc100113 li sp,-63 + 12018: 00209f33 sll t5,ra,sp + 1201c: 42424eb7 lui t4,0x42424 + 12020: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 12024: 1af00193 li gp,431 + 12028: 2ddf18e3 bne t5,t4,12af8 + +0001202c : + 1202c: 212120b7 lui ra,0x21212 + 12030: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12034: fc700113 li sp,-57 + 12038: 00209f33 sll t5,ra,sp + 1203c: 90909eb7 lui t4,0x90909 + 12040: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 12044: 1b000193 li gp,432 + 12048: 2bdf18e3 bne t5,t4,12af8 + +0001204c : + 1204c: 212120b7 lui ra,0x21212 + 12050: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12054: fce00113 li sp,-50 + 12058: 00209f33 sll t5,ra,sp + 1205c: 48484eb7 lui t4,0x48484 + 12060: 1b100193 li gp,433 + 12064: 29df1ae3 bne t5,t4,12af8 + +00012068 : + 12068: 00100093 li ra,1 + 1206c: 00700113 li sp,7 + 12070: 002090b3 sll ra,ra,sp + 12074: 08000e93 li t4,128 + 12078: 1b600193 li gp,438 + 1207c: 27d09ee3 bne ra,t4,12af8 + +00012080 : + 12080: 00100093 li ra,1 + 12084: 00e00113 li sp,14 + 12088: 00209133 sll sp,ra,sp + 1208c: 00004eb7 lui t4,0x4 + 12090: 1b700193 li gp,439 + 12094: 27d112e3 bne sp,t4,12af8 + +00012098 : + 12098: 00300093 li ra,3 + 1209c: 001090b3 sll ra,ra,ra + 120a0: 01800e93 li t4,24 + 120a4: 1b800193 li gp,440 + 120a8: 25d098e3 bne ra,t4,12af8 + +000120ac : + 120ac: 00000213 li tp,0 + 120b0: 00100093 li ra,1 + 120b4: 00700113 li sp,7 + 120b8: 00209f33 sll t5,ra,sp + 120bc: 000f0313 mv t1,t5 + 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c4: 00200293 li t0,2 + 120c8: fe5214e3 bne tp,t0,120b0 + 120cc: 08000e93 li t4,128 + 120d0: 1b900193 li gp,441 + 120d4: 23d312e3 bne t1,t4,12af8 + +000120d8 : + 120d8: 00000213 li tp,0 + 120dc: 00100093 li ra,1 + 120e0: 00e00113 li sp,14 + 120e4: 00209f33 sll t5,ra,sp + 120e8: 00000013 nop + 120ec: 000f0313 mv t1,t5 + 120f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120f4: 00200293 li t0,2 + 120f8: fe5212e3 bne tp,t0,120dc + 120fc: 00004eb7 lui t4,0x4 + 12100: 1ba00193 li gp,442 + 12104: 1fd31ae3 bne t1,t4,12af8 + +00012108 : + 12108: 00000213 li tp,0 + 1210c: 00100093 li ra,1 + 12110: 01f00113 li sp,31 + 12114: 00209f33 sll t5,ra,sp + 12118: 00000013 nop + 1211c: 00000013 nop + 12120: 000f0313 mv t1,t5 + 12124: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12128: 00200293 li t0,2 + 1212c: fe5210e3 bne tp,t0,1210c + 12130: 80000eb7 lui t4,0x80000 + 12134: 1bb00193 li gp,443 + 12138: 1dd310e3 bne t1,t4,12af8 + +0001213c : + 1213c: 00000213 li tp,0 + 12140: 00100093 li ra,1 + 12144: 00700113 li sp,7 + 12148: 00209f33 sll t5,ra,sp + 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12150: 00200293 li t0,2 + 12154: fe5216e3 bne tp,t0,12140 + 12158: 08000e93 li t4,128 + 1215c: 1bc00193 li gp,444 + 12160: 19df1ce3 bne t5,t4,12af8 + +00012164 : + 12164: 00000213 li tp,0 + 12168: 00100093 li ra,1 + 1216c: 00e00113 li sp,14 + 12170: 00000013 nop + 12174: 00209f33 sll t5,ra,sp + 12178: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1217c: 00200293 li t0,2 + 12180: fe5214e3 bne tp,t0,12168 + 12184: 00004eb7 lui t4,0x4 + 12188: 1bd00193 li gp,445 + 1218c: 17df16e3 bne t5,t4,12af8 + +00012190 : + 12190: 00000213 li tp,0 + 12194: 00100093 li ra,1 + 12198: 01f00113 li sp,31 + 1219c: 00000013 nop + 121a0: 00000013 nop + 121a4: 00209f33 sll t5,ra,sp + 121a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121ac: 00200293 li t0,2 + 121b0: fe5212e3 bne tp,t0,12194 + 121b4: 80000eb7 lui t4,0x80000 + 121b8: 1be00193 li gp,446 + 121bc: 13df1ee3 bne t5,t4,12af8 + +000121c0 : + 121c0: 00000213 li tp,0 + 121c4: 00100093 li ra,1 + 121c8: 00000013 nop + 121cc: 00700113 li sp,7 + 121d0: 00209f33 sll t5,ra,sp + 121d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121d8: 00200293 li t0,2 + 121dc: fe5214e3 bne tp,t0,121c4 + 121e0: 08000e93 li t4,128 + 121e4: 1bf00193 li gp,447 + 121e8: 11df18e3 bne t5,t4,12af8 + +000121ec : + 121ec: 00000213 li tp,0 + 121f0: 00100093 li ra,1 + 121f4: 00000013 nop + 121f8: 00e00113 li sp,14 + 121fc: 00000013 nop + 12200: 00209f33 sll t5,ra,sp + 12204: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12208: 00200293 li t0,2 + 1220c: fe5212e3 bne tp,t0,121f0 + 12210: 00004eb7 lui t4,0x4 + 12214: 1c000193 li gp,448 + 12218: 0fdf10e3 bne t5,t4,12af8 + +0001221c : + 1221c: 00000213 li tp,0 + 12220: 00100093 li ra,1 + 12224: 00000013 nop + 12228: 00000013 nop + 1222c: 01f00113 li sp,31 + 12230: 00209f33 sll t5,ra,sp + 12234: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12238: 00200293 li t0,2 + 1223c: fe5212e3 bne tp,t0,12220 + 12240: 80000eb7 lui t4,0x80000 + 12244: 1c100193 li gp,449 + 12248: 0bdf18e3 bne t5,t4,12af8 + +0001224c : + 1224c: 00000213 li tp,0 + 12250: 00700113 li sp,7 + 12254: 00100093 li ra,1 + 12258: 00209f33 sll t5,ra,sp + 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12260: 00200293 li t0,2 + 12264: fe5216e3 bne tp,t0,12250 + 12268: 08000e93 li t4,128 + 1226c: 1c200193 li gp,450 + 12270: 09df14e3 bne t5,t4,12af8 + +00012274 : + 12274: 00000213 li tp,0 + 12278: 00e00113 li sp,14 + 1227c: 00100093 li ra,1 + 12280: 00000013 nop + 12284: 00209f33 sll t5,ra,sp + 12288: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1228c: 00200293 li t0,2 + 12290: fe5214e3 bne tp,t0,12278 + 12294: 00004eb7 lui t4,0x4 + 12298: 1c300193 li gp,451 + 1229c: 05df1ee3 bne t5,t4,12af8 + +000122a0 : + 122a0: 00000213 li tp,0 + 122a4: 01f00113 li sp,31 + 122a8: 00100093 li ra,1 + 122ac: 00000013 nop + 122b0: 00000013 nop + 122b4: 00209f33 sll t5,ra,sp + 122b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122bc: 00200293 li t0,2 + 122c0: fe5212e3 bne tp,t0,122a4 + 122c4: 80000eb7 lui t4,0x80000 + 122c8: 1c400193 li gp,452 + 122cc: 03df16e3 bne t5,t4,12af8 + +000122d0 : + 122d0: 00000213 li tp,0 + 122d4: 00700113 li sp,7 + 122d8: 00000013 nop + 122dc: 00100093 li ra,1 + 122e0: 00209f33 sll t5,ra,sp + 122e4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 122e8: 00200293 li t0,2 + 122ec: fe5214e3 bne tp,t0,122d4 + 122f0: 08000e93 li t4,128 + 122f4: 1c500193 li gp,453 + 122f8: 01df10e3 bne t5,t4,12af8 + +000122fc : + 122fc: 00000213 li tp,0 + 12300: 00e00113 li sp,14 + 12304: 00000013 nop + 12308: 00100093 li ra,1 + 1230c: 00000013 nop + 12310: 00209f33 sll t5,ra,sp + 12314: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12318: 00200293 li t0,2 + 1231c: fe5212e3 bne tp,t0,12300 + 12320: 00004eb7 lui t4,0x4 + 12324: 1c600193 li gp,454 + 12328: 7ddf1863 bne t5,t4,12af8 + +0001232c : + 1232c: 00000213 li tp,0 + 12330: 01f00113 li sp,31 + 12334: 00000013 nop + 12338: 00000013 nop + 1233c: 00100093 li ra,1 + 12340: 00209f33 sll t5,ra,sp + 12344: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12348: 00200293 li t0,2 + 1234c: fe5212e3 bne tp,t0,12330 + 12350: 80000eb7 lui t4,0x80000 + 12354: 1c700193 li gp,455 + 12358: 7bdf1063 bne t5,t4,12af8 + +0001235c : + 1235c: 00f00093 li ra,15 + 12360: 00101133 sll sp,zero,ra + 12364: 00000e93 li t4,0 + 12368: 1c800193 li gp,456 + 1236c: 79d11663 bne sp,t4,12af8 + +00012370 : + 12370: 02000093 li ra,32 + 12374: 00009133 sll sp,ra,zero + 12378: 02000e93 li t4,32 + 1237c: 1c900193 li gp,457 + 12380: 77d11c63 bne sp,t4,12af8 + +00012384 : + 12384: 000010b3 sll ra,zero,zero + 12388: 00000e93 li t4,0 + 1238c: 1ca00193 li gp,458 + 12390: 77d09463 bne ra,t4,12af8 + +00012394 : + 12394: 40000093 li ra,1024 + 12398: 00001137 lui sp,0x1 + 1239c: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 123a0: 00209033 sll zero,ra,sp + 123a4: 00000e93 li t4,0 + 123a8: 1cb00193 li gp,459 + 123ac: 75d01663 bne zero,t4,12af8 + +000123b0 : + 123b0: 00100093 li ra,1 + 123b4: 00009f13 slli t5,ra,0x0 + 123b8: 00100e93 li t4,1 + 123bc: 1cc00193 li gp,460 + 123c0: 73df1c63 bne t5,t4,12af8 + +000123c4 : + 123c4: 00100093 li ra,1 + 123c8: 00109f13 slli t5,ra,0x1 + 123cc: 00200e93 li t4,2 + 123d0: 1cd00193 li gp,461 + 123d4: 73df1263 bne t5,t4,12af8 + +000123d8 : + 123d8: 00100093 li ra,1 + 123dc: 00709f13 slli t5,ra,0x7 + 123e0: 08000e93 li t4,128 + 123e4: 1ce00193 li gp,462 + 123e8: 71df1863 bne t5,t4,12af8 + +000123ec : + 123ec: 00100093 li ra,1 + 123f0: 00e09f13 slli t5,ra,0xe + 123f4: 00004eb7 lui t4,0x4 + 123f8: 1cf00193 li gp,463 + 123fc: 6fdf1e63 bne t5,t4,12af8 + +00012400 : + 12400: 00100093 li ra,1 + 12404: 01f09f13 slli t5,ra,0x1f + 12408: 80000eb7 lui t4,0x80000 + 1240c: 1d000193 li gp,464 + 12410: 6fdf1463 bne t5,t4,12af8 + +00012414 : + 12414: fff00093 li ra,-1 + 12418: 00009f13 slli t5,ra,0x0 + 1241c: fff00e93 li t4,-1 + 12420: 1d100193 li gp,465 + 12424: 6ddf1a63 bne t5,t4,12af8 + +00012428 : + 12428: fff00093 li ra,-1 + 1242c: 00109f13 slli t5,ra,0x1 + 12430: ffe00e93 li t4,-2 + 12434: 1d200193 li gp,466 + 12438: 6ddf1063 bne t5,t4,12af8 + +0001243c : + 1243c: fff00093 li ra,-1 + 12440: 00709f13 slli t5,ra,0x7 + 12444: f8000e93 li t4,-128 + 12448: 1d300193 li gp,467 + 1244c: 6bdf1663 bne t5,t4,12af8 + +00012450 : + 12450: fff00093 li ra,-1 + 12454: 00e09f13 slli t5,ra,0xe + 12458: ffffceb7 lui t4,0xffffc + 1245c: 1d400193 li gp,468 + 12460: 69df1c63 bne t5,t4,12af8 + +00012464 : + 12464: fff00093 li ra,-1 + 12468: 01f09f13 slli t5,ra,0x1f + 1246c: 80000eb7 lui t4,0x80000 + 12470: 1d500193 li gp,469 + 12474: 69df1263 bne t5,t4,12af8 + +00012478 : + 12478: 212120b7 lui ra,0x21212 + 1247c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 12480: 00009f13 slli t5,ra,0x0 + 12484: 21212eb7 lui t4,0x21212 + 12488: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fdcd9> + 1248c: 1d600193 li gp,470 + 12490: 67df1463 bne t5,t4,12af8 + +00012494 : + 12494: 212120b7 lui ra,0x21212 + 12498: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 1249c: 00109f13 slli t5,ra,0x1 + 124a0: 42424eb7 lui t4,0x42424 + 124a4: 242e8e93 addi t4,t4,578 # 42424242 <__global_pointer$+0x4240fdfa> + 124a8: 1d700193 li gp,471 + 124ac: 65df1663 bne t5,t4,12af8 + +000124b0 : + 124b0: 212120b7 lui ra,0x21212 + 124b4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124b8: 00709f13 slli t5,ra,0x7 + 124bc: 90909eb7 lui t4,0x90909 + 124c0: 080e8e93 addi t4,t4,128 # 90909080 <__global_pointer$+0x908f4c38> + 124c4: 1d800193 li gp,472 + 124c8: 63df1863 bne t5,t4,12af8 + +000124cc : + 124cc: 212120b7 lui ra,0x21212 + 124d0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124d4: 00e09f13 slli t5,ra,0xe + 124d8: 48484eb7 lui t4,0x48484 + 124dc: 1d900193 li gp,473 + 124e0: 61df1c63 bne t5,t4,12af8 + +000124e4 : + 124e4: 212120b7 lui ra,0x21212 + 124e8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fdcd9> + 124ec: 01f09f13 slli t5,ra,0x1f + 124f0: 80000eb7 lui t4,0x80000 + 124f4: 1da00193 li gp,474 + 124f8: 61df1063 bne t5,t4,12af8 + +000124fc : + 124fc: 00100093 li ra,1 + 12500: 00709093 slli ra,ra,0x7 + 12504: 08000e93 li t4,128 + 12508: 1de00193 li gp,478 + 1250c: 5fd09663 bne ra,t4,12af8 + +00012510 : + 12510: 00000213 li tp,0 + 12514: 00100093 li ra,1 + 12518: 00709f13 slli t5,ra,0x7 + 1251c: 000f0313 mv t1,t5 + 12520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12524: 00200293 li t0,2 + 12528: fe5216e3 bne tp,t0,12514 + 1252c: 08000e93 li t4,128 + 12530: 1df00193 li gp,479 + 12534: 5dd31263 bne t1,t4,12af8 + +00012538 : + 12538: 00000213 li tp,0 + 1253c: 00100093 li ra,1 + 12540: 00e09f13 slli t5,ra,0xe + 12544: 00000013 nop + 12548: 000f0313 mv t1,t5 + 1254c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12550: 00200293 li t0,2 + 12554: fe5214e3 bne tp,t0,1253c + 12558: 00004eb7 lui t4,0x4 + 1255c: 1e000193 li gp,480 + 12560: 59d31c63 bne t1,t4,12af8 + +00012564 : + 12564: 00000213 li tp,0 + 12568: 00100093 li ra,1 + 1256c: 01f09f13 slli t5,ra,0x1f + 12570: 00000013 nop + 12574: 00000013 nop + 12578: 000f0313 mv t1,t5 + 1257c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12580: 00200293 li t0,2 + 12584: fe5212e3 bne tp,t0,12568 + 12588: 80000eb7 lui t4,0x80000 + 1258c: 1e100193 li gp,481 + 12590: 57d31463 bne t1,t4,12af8 + +00012594 : + 12594: 00000213 li tp,0 + 12598: 00100093 li ra,1 + 1259c: 00709f13 slli t5,ra,0x7 + 125a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125a4: 00200293 li t0,2 + 125a8: fe5218e3 bne tp,t0,12598 + 125ac: 08000e93 li t4,128 + 125b0: 1e200193 li gp,482 + 125b4: 55df1263 bne t5,t4,12af8 + +000125b8 : + 125b8: 00000213 li tp,0 + 125bc: 00100093 li ra,1 + 125c0: 00000013 nop + 125c4: 00e09f13 slli t5,ra,0xe + 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125cc: 00200293 li t0,2 + 125d0: fe5216e3 bne tp,t0,125bc + 125d4: 00004eb7 lui t4,0x4 + 125d8: 1e300193 li gp,483 + 125dc: 51df1e63 bne t5,t4,12af8 + +000125e0 : + 125e0: 00000213 li tp,0 + 125e4: 00100093 li ra,1 + 125e8: 00000013 nop + 125ec: 00000013 nop + 125f0: 01f09f13 slli t5,ra,0x1f + 125f4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125f8: 00200293 li t0,2 + 125fc: fe5214e3 bne tp,t0,125e4 + 12600: 80000eb7 lui t4,0x80000 + 12604: 1e400193 li gp,484 + 12608: 4fdf1863 bne t5,t4,12af8 + +0001260c : + 1260c: 01f01093 slli ra,zero,0x1f + 12610: 00000e93 li t4,0 + 12614: 1e500193 li gp,485 + 12618: 4fd09063 bne ra,t4,12af8 + +0001261c : + 1261c: 02100093 li ra,33 + 12620: 01409013 slli zero,ra,0x14 + 12624: 00000e93 li t4,0 + 12628: 1e600193 li gp,486 + 1262c: 4dd01663 bne zero,t4,12af8 + +00012630 : + 12630: 00000093 li ra,0 + 12634: 00000113 li sp,0 + 12638: 0020af33 slt t5,ra,sp + 1263c: 00000e93 li t4,0 + 12640: 1e700193 li gp,487 + 12644: 4bdf1a63 bne t5,t4,12af8 + +00012648 : + 12648: 00100093 li ra,1 + 1264c: 00100113 li sp,1 + 12650: 0020af33 slt t5,ra,sp + 12654: 00000e93 li t4,0 + 12658: 1e800193 li gp,488 + 1265c: 49df1e63 bne t5,t4,12af8 + +00012660 : + 12660: 00300093 li ra,3 + 12664: 00700113 li sp,7 + 12668: 0020af33 slt t5,ra,sp + 1266c: 00100e93 li t4,1 + 12670: 1e900193 li gp,489 + 12674: 49df1263 bne t5,t4,12af8 + +00012678 : + 12678: 00700093 li ra,7 + 1267c: 00300113 li sp,3 + 12680: 0020af33 slt t5,ra,sp + 12684: 00000e93 li t4,0 + 12688: 1ea00193 li gp,490 + 1268c: 47df1663 bne t5,t4,12af8 + +00012690 : + 12690: 00000093 li ra,0 + 12694: ffff8137 lui sp,0xffff8 + 12698: 0020af33 slt t5,ra,sp + 1269c: 00000e93 li t4,0 + 126a0: 1eb00193 li gp,491 + 126a4: 45df1a63 bne t5,t4,12af8 + +000126a8 : + 126a8: 800000b7 lui ra,0x80000 + 126ac: 00000113 li sp,0 + 126b0: 0020af33 slt t5,ra,sp + 126b4: 00100e93 li t4,1 + 126b8: 1ec00193 li gp,492 + 126bc: 43df1e63 bne t5,t4,12af8 + +000126c0 : + 126c0: 800000b7 lui ra,0x80000 + 126c4: ffff8137 lui sp,0xffff8 + 126c8: 0020af33 slt t5,ra,sp + 126cc: 00100e93 li t4,1 + 126d0: 1ed00193 li gp,493 + 126d4: 43df1263 bne t5,t4,12af8 + +000126d8 : + 126d8: 00000093 li ra,0 + 126dc: 00008137 lui sp,0x8 + 126e0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 126e4: 0020af33 slt t5,ra,sp + 126e8: 00100e93 li t4,1 + 126ec: 1ee00193 li gp,494 + 126f0: 41df1463 bne t5,t4,12af8 + +000126f4 : + 126f4: 800000b7 lui ra,0x80000 + 126f8: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 126fc: 00000113 li sp,0 + 12700: 0020af33 slt t5,ra,sp + 12704: 00000e93 li t4,0 + 12708: 1ef00193 li gp,495 + 1270c: 3fdf1663 bne t5,t4,12af8 + +00012710 : + 12710: 800000b7 lui ra,0x80000 + 12714: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 12718: 00008137 lui sp,0x8 + 1271c: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 12720: 0020af33 slt t5,ra,sp + 12724: 00000e93 li t4,0 + 12728: 1f000193 li gp,496 + 1272c: 3ddf1663 bne t5,t4,12af8 + +00012730 : + 12730: 800000b7 lui ra,0x80000 + 12734: 00008137 lui sp,0x8 + 12738: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 1273c: 0020af33 slt t5,ra,sp + 12740: 00100e93 li t4,1 + 12744: 1f100193 li gp,497 + 12748: 3bdf1863 bne t5,t4,12af8 + +0001274c : + 1274c: 800000b7 lui ra,0x80000 + 12750: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffebbb7> + 12754: ffff8137 lui sp,0xffff8 + 12758: 0020af33 slt t5,ra,sp + 1275c: 00000e93 li t4,0 + 12760: 1f200193 li gp,498 + 12764: 39df1a63 bne t5,t4,12af8 + +00012768 : + 12768: 00000093 li ra,0 + 1276c: fff00113 li sp,-1 + 12770: 0020af33 slt t5,ra,sp + 12774: 00000e93 li t4,0 + 12778: 1f300193 li gp,499 + 1277c: 37df1e63 bne t5,t4,12af8 + +00012780 : + 12780: fff00093 li ra,-1 + 12784: 00100113 li sp,1 + 12788: 0020af33 slt t5,ra,sp + 1278c: 00100e93 li t4,1 + 12790: 1f400193 li gp,500 + 12794: 37df1263 bne t5,t4,12af8 + +00012798 : + 12798: fff00093 li ra,-1 + 1279c: fff00113 li sp,-1 + 127a0: 0020af33 slt t5,ra,sp + 127a4: 00000e93 li t4,0 + 127a8: 1f500193 li gp,501 + 127ac: 35df1663 bne t5,t4,12af8 + +000127b0 : + 127b0: 00e00093 li ra,14 + 127b4: 00d00113 li sp,13 + 127b8: 0020a0b3 slt ra,ra,sp + 127bc: 00000e93 li t4,0 + 127c0: 1f600193 li gp,502 + 127c4: 33d09a63 bne ra,t4,12af8 + +000127c8 : + 127c8: 00b00093 li ra,11 + 127cc: 00d00113 li sp,13 + 127d0: 0020a133 slt sp,ra,sp + 127d4: 00100e93 li t4,1 + 127d8: 1f700193 li gp,503 + 127dc: 31d11e63 bne sp,t4,12af8 + +000127e0 : + 127e0: 00d00093 li ra,13 + 127e4: 0010a0b3 slt ra,ra,ra + 127e8: 00000e93 li t4,0 + 127ec: 1f800193 li gp,504 + 127f0: 31d09463 bne ra,t4,12af8 + +000127f4 : + 127f4: 00000213 li tp,0 + 127f8: 00b00093 li ra,11 + 127fc: 00d00113 li sp,13 + 12800: 0020af33 slt t5,ra,sp + 12804: 000f0313 mv t1,t5 + 12808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1280c: 00200293 li t0,2 + 12810: fe5214e3 bne tp,t0,127f8 + 12814: 00100e93 li t4,1 + 12818: 1f900193 li gp,505 + 1281c: 2dd31e63 bne t1,t4,12af8 + +00012820 : + 12820: 00000213 li tp,0 + 12824: 00e00093 li ra,14 + 12828: 00d00113 li sp,13 + 1282c: 0020af33 slt t5,ra,sp + 12830: 00000013 nop + 12834: 000f0313 mv t1,t5 + 12838: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1283c: 00200293 li t0,2 + 12840: fe5212e3 bne tp,t0,12824 + 12844: 00000e93 li t4,0 + 12848: 1fa00193 li gp,506 + 1284c: 2bd31663 bne t1,t4,12af8 + +00012850 : + 12850: 00000213 li tp,0 + 12854: 00c00093 li ra,12 + 12858: 00d00113 li sp,13 + 1285c: 0020af33 slt t5,ra,sp + 12860: 00000013 nop + 12864: 00000013 nop + 12868: 000f0313 mv t1,t5 + 1286c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12870: 00200293 li t0,2 + 12874: fe5210e3 bne tp,t0,12854 + 12878: 00100e93 li t4,1 + 1287c: 1fb00193 li gp,507 + 12880: 27d31c63 bne t1,t4,12af8 + +00012884 : + 12884: 00000213 li tp,0 + 12888: 00e00093 li ra,14 + 1288c: 00d00113 li sp,13 + 12890: 0020af33 slt t5,ra,sp + 12894: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12898: 00200293 li t0,2 + 1289c: fe5216e3 bne tp,t0,12888 + 128a0: 00000e93 li t4,0 + 128a4: 1fc00193 li gp,508 + 128a8: 25df1863 bne t5,t4,12af8 + +000128ac : + 128ac: 00000213 li tp,0 + 128b0: 00b00093 li ra,11 + 128b4: 00d00113 li sp,13 + 128b8: 00000013 nop + 128bc: 0020af33 slt t5,ra,sp + 128c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128c4: 00200293 li t0,2 + 128c8: fe5214e3 bne tp,t0,128b0 + 128cc: 00100e93 li t4,1 + 128d0: 1fd00193 li gp,509 + 128d4: 23df1263 bne t5,t4,12af8 + +000128d8 : + 128d8: 00000213 li tp,0 + 128dc: 00f00093 li ra,15 + 128e0: 00d00113 li sp,13 + 128e4: 00000013 nop + 128e8: 00000013 nop + 128ec: 0020af33 slt t5,ra,sp + 128f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128f4: 00200293 li t0,2 + 128f8: fe5212e3 bne tp,t0,128dc + 128fc: 00000e93 li t4,0 + 12900: 1fe00193 li gp,510 + 12904: 1fdf1a63 bne t5,t4,12af8 + +00012908 : + 12908: 00000213 li tp,0 + 1290c: 00a00093 li ra,10 + 12910: 00000013 nop + 12914: 00d00113 li sp,13 + 12918: 0020af33 slt t5,ra,sp + 1291c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12920: 00200293 li t0,2 + 12924: fe5214e3 bne tp,t0,1290c + 12928: 00100e93 li t4,1 + 1292c: 1ff00193 li gp,511 + 12930: 1ddf1463 bne t5,t4,12af8 + +00012934 : + 12934: 00000213 li tp,0 + 12938: 01000093 li ra,16 + 1293c: 00000013 nop + 12940: 00d00113 li sp,13 + 12944: 00000013 nop + 12948: 0020af33 slt t5,ra,sp + 1294c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12950: 00200293 li t0,2 + 12954: fe5212e3 bne tp,t0,12938 + 12958: 00000e93 li t4,0 + 1295c: 20000193 li gp,512 + 12960: 19df1c63 bne t5,t4,12af8 + +00012964 : + 12964: 00000213 li tp,0 + 12968: 00900093 li ra,9 + 1296c: 00000013 nop + 12970: 00000013 nop + 12974: 00d00113 li sp,13 + 12978: 0020af33 slt t5,ra,sp + 1297c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12980: 00200293 li t0,2 + 12984: fe5212e3 bne tp,t0,12968 + 12988: 00100e93 li t4,1 + 1298c: 20100193 li gp,513 + 12990: 17df1463 bne t5,t4,12af8 + +00012994 : + 12994: 00000213 li tp,0 + 12998: 00d00113 li sp,13 + 1299c: 01100093 li ra,17 + 129a0: 0020af33 slt t5,ra,sp + 129a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129a8: 00200293 li t0,2 + 129ac: fe5216e3 bne tp,t0,12998 + 129b0: 00000e93 li t4,0 + 129b4: 20200193 li gp,514 + 129b8: 15df1063 bne t5,t4,12af8 + +000129bc : + 129bc: 00000213 li tp,0 + 129c0: 00d00113 li sp,13 + 129c4: 00800093 li ra,8 + 129c8: 00000013 nop + 129cc: 0020af33 slt t5,ra,sp + 129d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129d4: 00200293 li t0,2 + 129d8: fe5214e3 bne tp,t0,129c0 + 129dc: 00100e93 li t4,1 + 129e0: 20300193 li gp,515 + 129e4: 11df1a63 bne t5,t4,12af8 + +000129e8 : + 129e8: 00000213 li tp,0 + 129ec: 00d00113 li sp,13 + 129f0: 01200093 li ra,18 + 129f4: 00000013 nop + 129f8: 00000013 nop + 129fc: 0020af33 slt t5,ra,sp + 12a00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a04: 00200293 li t0,2 + 12a08: fe5212e3 bne tp,t0,129ec + 12a0c: 00000e93 li t4,0 + 12a10: 20400193 li gp,516 + 12a14: 0fdf1263 bne t5,t4,12af8 + +00012a18 : + 12a18: 00000213 li tp,0 + 12a1c: 00d00113 li sp,13 + 12a20: 00000013 nop + 12a24: 00700093 li ra,7 + 12a28: 0020af33 slt t5,ra,sp + 12a2c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a30: 00200293 li t0,2 + 12a34: fe5214e3 bne tp,t0,12a1c + 12a38: 00100e93 li t4,1 + 12a3c: 20500193 li gp,517 + 12a40: 0bdf1c63 bne t5,t4,12af8 + +00012a44 : + 12a44: 00000213 li tp,0 + 12a48: 00d00113 li sp,13 + 12a4c: 00000013 nop + 12a50: 01300093 li ra,19 + 12a54: 00000013 nop + 12a58: 0020af33 slt t5,ra,sp + 12a5c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a60: 00200293 li t0,2 + 12a64: fe5212e3 bne tp,t0,12a48 + 12a68: 00000e93 li t4,0 + 12a6c: 20600193 li gp,518 + 12a70: 09df1463 bne t5,t4,12af8 + +00012a74 : + 12a74: 00000213 li tp,0 + 12a78: 00d00113 li sp,13 + 12a7c: 00000013 nop + 12a80: 00000013 nop + 12a84: 00600093 li ra,6 + 12a88: 0020af33 slt t5,ra,sp + 12a8c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a90: 00200293 li t0,2 + 12a94: fe5212e3 bne tp,t0,12a78 + 12a98: 00100e93 li t4,1 + 12a9c: 20700193 li gp,519 + 12aa0: 05df1c63 bne t5,t4,12af8 + +00012aa4 : + 12aa4: fff00093 li ra,-1 + 12aa8: 00102133 sgtz sp,ra + 12aac: 00000e93 li t4,0 + 12ab0: 20800193 li gp,520 + 12ab4: 05d11263 bne sp,t4,12af8 + +00012ab8 : + 12ab8: fff00093 li ra,-1 + 12abc: 0000a133 sltz sp,ra + 12ac0: 00100e93 li t4,1 + 12ac4: 20900193 li gp,521 + 12ac8: 03d11863 bne sp,t4,12af8 + +00012acc : + 12acc: 000020b3 sltz ra,zero + 12ad0: 00000e93 li t4,0 + 12ad4: 20a00193 li gp,522 + 12ad8: 03d09063 bne ra,t4,12af8 + +00012adc : + 12adc: 01000093 li ra,16 + 12ae0: 01e00113 li sp,30 + 12ae4: 0020a033 slt zero,ra,sp + 12ae8: 00000e93 li t4,0 + 12aec: 20b00193 li gp,523 + 12af0: 01d01463 bne zero,t4,12af8 + 12af4: 00301463 bne zero,gp,12afc + +00012af8 : + 12af8: 00000a6f jal s4,12af8 + +00012afc : + 12afc: 00100193 li gp,1 + +00012b00 : + 12b00: 00000a6f jal s4,12b00 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt b/SIM-CPU/rv32i_test/b_instr_stream.txt similarity index 89% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt rename to SIM-CPU/rv32i_test/b_instr_stream.txt index a4b8a07..5377bd9 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testB_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/b_instr_stream.txt @@ -1,3826 +1,3825 @@ -00000013 -00004097 -acc08093 -00008f03 -fff00e93 -0ed00193 -01df0463 -25d0206f -00004097 -ab008093 -00108f03 -00000e93 -0ee00193 -01df0463 -2410206f -00004097 -a9408093 -00208f03 -ff000e93 -0ef00193 -01df0463 -2250206f -00004097 -a7808093 -00308f03 -00f00e93 -0f000193 -01df0463 -2090206f -00004097 -a5f08093 -ffd08f03 -fff00e93 -0f100193 -01df0463 -1ed0206f -00004097 -a4308093 -ffe08f03 -00000e93 -0f200193 -01df0463 -1d10206f -00004097 -a2708093 -fff08f03 -ff000e93 -0f300193 -01df0463 -1b50206f -00004097 -a0b08093 -00008f03 -00f00e93 -0f400193 -01df0463 -1990206f -00004097 -9ec08093 -fe008093 -02008283 -fff00e93 -0f500193 -01d28463 -1790206f -00004097 -9cc08093 -ffa08093 -00708283 -00000e93 -0f600193 -01d28463 -1590206f -0f700193 -00000213 -00004097 -9a508093 -00108f03 -000f0313 -ff000e93 -01d30463 -1350206f -00120213 -00200293 -fc521ee3 -0f800193 -00000213 -00004097 -97608093 -00108f03 -00000013 -000f0313 -00f00e93 -01d30463 -1010206f -00120213 -00200293 -fc521ce3 -0f900193 -00000213 -00004097 -94008093 -00108f03 -00000013 -00000013 -000f0313 -00000e93 -01d30463 -0c90206f -00120213 -00200293 -fc521ae3 -0fa00193 -00000213 -00004097 -90908093 -00108f03 -ff000e93 -01df0463 -09d0206f -00120213 -00200293 -fe5210e3 -0fb00193 -00000213 -00004097 -8de08093 -00000013 -00108f03 -00f00e93 -01df0463 -06d0206f -00120213 -00200293 -fc521ee3 -0fc00193 -00000213 -00004097 -8ac08093 -00000013 -00000013 -00108f03 -00000e93 -01df0463 -0390206f -00120213 -00200293 -fc521ce3 -00004297 -88028293 -00028103 -00200113 -00200e93 -0fd00193 -01d10463 -00d0206f -00004297 -86028293 -00028103 -00000013 -00200113 -00200e93 -0fe00193 -01d10463 -7e80206f -00004097 -83c08093 -0000cf03 -0ff00e93 -0ff00193 -01df0463 -7cc0206f -00004097 -82008093 -0010cf03 -00000e93 -10000193 -01df0463 -7b00206f -00004097 -80408093 -0020cf03 -0f000e93 -10100193 -01df0463 -7940206f -00003097 -7e808093 -0030cf03 -00f00e93 -10200193 -01df0463 -7780206f -00003097 -7cf08093 -ffd0cf03 -0ff00e93 -10300193 -01df0463 -75c0206f -00003097 -7b308093 -ffe0cf03 -00000e93 -10400193 -01df0463 -7400206f -00003097 -79708093 -fff0cf03 -0f000e93 -10500193 -01df0463 -7240206f -00003097 -77b08093 -0000cf03 -00f00e93 -10600193 -01df0463 -7080206f -00003097 -75c08093 -fe008093 -0200c283 -0ff00e93 -10700193 -01d28463 -6e80206f -00003097 -73c08093 -ffa08093 -0070c283 -00000e93 -10800193 -01d28463 -6c80206f -10900193 -00000213 -00003097 -71508093 -0010cf03 -000f0313 -0f000e93 -01d30463 -6a40206f -00120213 -00200293 -fc521ee3 -10a00193 -00000213 -00003097 -6e608093 -0010cf03 -00000013 -000f0313 -00f00e93 -01d30463 -6700206f -00120213 -00200293 -fc521ce3 -10b00193 -00000213 -00003097 -6b008093 -0010cf03 -00000013 -00000013 -000f0313 -00000e93 -01d30463 -6380206f -00120213 -00200293 -fc521ae3 -10c00193 -00000213 -00003097 -67908093 -0010cf03 -0f000e93 -01df0463 -60c0206f -00120213 -00200293 -fe5210e3 -10d00193 -00000213 -00003097 -64e08093 -00000013 -0010cf03 -00f00e93 -01df0463 -5dc0206f -00120213 -00200293 -fc521ee3 -10e00193 -00000213 -00003097 -61c08093 -00000013 -00000013 -0010cf03 -00000e93 -01df0463 -5a80206f -00120213 -00200293 -fc521ce3 -00003297 -5f028293 -0002c103 -00200113 -00200e93 -10f00193 -01d10463 -57c0206f -00003297 -5d028293 -0002c103 -00000013 -00200113 -00200e93 -11000193 -01d10463 -5580206f -00003097 -5bc08093 -00009f03 -0ff00e93 -11100193 -01df0463 -53c0206f -00003097 -5a008093 -00209f03 -f0000e93 -11200193 -01df0463 -5200206f -00003097 -58408093 -00409f03 -00001eb7 -ff0e8e93 -11300193 -01df0463 -5000206f -00003097 -56408093 -00609f03 -fffffeb7 -00fe8e93 -11400193 -01df0463 -4e00206f -00003097 -54a08093 -ffa09f03 -0ff00e93 -11500193 -01df0463 -4c40206f -00003097 -52e08093 -ffc09f03 -f0000e93 -11600193 -01df0463 -4a80206f -00003097 -51208093 -ffe09f03 -00001eb7 -ff0e8e93 -11700193 -01df0463 -4880206f -00003097 -4f208093 -00009f03 -fffffeb7 -00fe8e93 -11800193 -01df0463 -4680206f -00003097 -4cc08093 -fe008093 -02009283 -0ff00e93 -11900193 -01d28463 -4480206f -00003097 -4ac08093 -ffb08093 -00709283 -f0000e93 -11a00193 -01d28463 -4280206f -11b00193 -00000213 -00003097 -48608093 -00209f03 -000f0313 -00001eb7 -ff0e8e93 -01d30463 -4000206f -00120213 -00200293 -fc521ce3 -11c00193 -00000213 -00003097 -45408093 -00209f03 -00000013 -000f0313 -fffffeb7 -00fe8e93 -01d30463 -3c80206f -00120213 -00200293 -fc521ae3 -11d00193 -00000213 -00003097 -41808093 -00209f03 -00000013 -00000013 -000f0313 -f0000e93 -01d30463 -3900206f -00120213 -00200293 -fc521ae3 -11e00193 -00000213 -00003097 -3e208093 -00209f03 -00001eb7 -ff0e8e93 -01df0463 -3600206f -00120213 -00200293 -fc521ee3 -11f00193 -00000213 -00003097 -3b408093 -00000013 -00209f03 -fffffeb7 -00fe8e93 -01df0463 -32c0206f -00120213 -00200293 -fc521ce3 -12000193 -00000213 -00003097 -37c08093 -00000013 -00000013 -00209f03 -f0000e93 -01df0463 -2f80206f -00120213 -00200293 -fc521ce3 -00003297 -35028293 -00029103 -00200113 -00200e93 -12100193 -01d10463 -2cc0206f -00003297 -33028293 -00029103 -00000013 -00200113 -00200e93 -12200193 -01d10463 -2a80206f -00003097 -30c08093 -0000df03 -0ff00e93 -12300193 -01df0463 -28c0206f -00003097 -2f008093 -0020df03 -00010eb7 -f00e8e93 -12400193 -01df0463 -26c0206f -00003097 -2d008093 -0040df03 -00001eb7 -ff0e8e93 -12500193 -01df0463 -24c0206f -00003097 -2b008093 -0060df03 -0000feb7 -00fe8e93 -12600193 -01df0463 -22c0206f -00003097 -29608093 -ffa0df03 -0ff00e93 -12700193 -01df0463 -2100206f -00003097 -27a08093 -ffc0df03 -00010eb7 -f00e8e93 -12800193 -01df0463 -1f00206f -00003097 -25a08093 -ffe0df03 -00001eb7 -ff0e8e93 -12900193 -01df0463 -1d00206f -00003097 -23a08093 -0000df03 -0000feb7 -00fe8e93 -12a00193 -01df0463 -1b00206f -00003097 -21408093 -fe008093 -0200d283 -0ff00e93 -12b00193 -01d28463 -1900206f -00003097 -1f408093 -ffb08093 -0070d283 -00010eb7 -f00e8e93 -12c00193 -01d28463 -16c0206f -12d00193 -00000213 -00003097 -1ca08093 -0020df03 -000f0313 -00001eb7 -ff0e8e93 -01d30463 -1440206f -00120213 -00200293 -fc521ce3 -12e00193 -00000213 -00003097 -19808093 -0020df03 -00000013 -000f0313 -0000feb7 -00fe8e93 -01d30463 -10c0206f -00120213 -00200293 -fc521ae3 -12f00193 -00000213 -00003097 -15c08093 -0020df03 -00000013 -00000013 -000f0313 -00010eb7 -f00e8e93 -01d30463 -0d00206f -00120213 -00200293 -fc5218e3 -13000193 -00000213 -00003097 -12208093 -0020df03 -00001eb7 -ff0e8e93 -01df0463 -0a00206f -00120213 -00200293 -fc521ee3 -13100193 -00000213 -00003097 -0f408093 -00000013 -0020df03 -0000feb7 -00fe8e93 -01df0463 -06c0206f -00120213 -00200293 -fc521ce3 -13200193 -00000213 -00003097 -0bc08093 -00000013 -00000013 -0020df03 -00010eb7 -f00e8e93 -01df0463 -0340206f -00120213 -00200293 -fc521ae3 -00003297 -08c28293 -0002d103 -00200113 -00200e93 -13300193 -01d10463 -0080206f -00003297 -06c28293 -0002d103 -00000013 -00200113 -00200e93 -13400193 -01d10463 -7e50106f -000000b7 -00000e93 -13500193 -01d08463 -7d10106f -fffff0b7 -4010d093 -80000e93 -13600193 -01d08463 -7b90106f -7ffff0b7 -4140d093 -7ff00e93 -13700193 -01d08463 -7a10106f -800000b7 -4140d093 -80000e93 -13800193 -01d08463 -7890106f -80000037 -00000e93 -13900193 -01d00463 -7750106f -00003097 -ff808093 -0000af03 -00ff0eb7 -0ffe8e93 -13a00193 -01df0463 -7550106f -00003097 -fd808093 -0040af03 -ff010eb7 -f00e8e93 -13b00193 -01df0463 -7350106f -00003097 -fb808093 -0080af03 -0ff01eb7 -ff0e8e93 -13c00193 -01df0463 -7150106f -00003097 -f9808093 -00c0af03 -f00ffeb7 -00fe8e93 -13d00193 -01df0463 -6f50106f -00003097 -f8408093 -ff40af03 -00ff0eb7 -0ffe8e93 -13e00193 -01df0463 -6d50106f -00003097 -f6408093 -ff80af03 -ff010eb7 -f00e8e93 -13f00193 -01df0463 -6b50106f -00003097 -f4408093 -ffc0af03 -0ff01eb7 -ff0e8e93 -14000193 -01df0463 -6950106f -00003097 -f2408093 -0000af03 -f00ffeb7 -00fe8e93 -14100193 -01df0463 -6750106f -00003097 -ef808093 -fe008093 -0200a283 -00ff0eb7 -0ffe8e93 -14200193 -01d28463 -6510106f -00003097 -ed408093 -ffd08093 -0070a283 -ff010eb7 -f00e8e93 -14300193 -01d28463 -62d0106f -14400193 -00000213 -00003097 -eac08093 -0040af03 -000f0313 -0ff01eb7 -ff0e8e93 -01d30463 -6050106f -00120213 -00200293 -fc521ce3 -14500193 -00000213 -00003097 -e7c08093 -0040af03 -00000013 -000f0313 -f00ffeb7 -00fe8e93 -01d30463 -5cd0106f -00120213 -00200293 -fc521ae3 -14600193 -00000213 -00003097 -e3c08093 -0040af03 -00000013 -00000013 -000f0313 -ff010eb7 -f00e8e93 -01d30463 -5910106f -00120213 -00200293 -fc5218e3 -14700193 -00000213 -00003097 -e0408093 -0040af03 -0ff01eb7 -ff0e8e93 -01df0463 -5610106f -00120213 -00200293 -fc521ee3 -14800193 -00000213 -00003097 -dd808093 -00000013 -0040af03 -f00ffeb7 -00fe8e93 -01df0463 -52d0106f -00120213 -00200293 -fc521ce3 -14900193 -00000213 -00003097 -d9c08093 -00000013 -00000013 -0040af03 -ff010eb7 -f00e8e93 -01df0463 -4f50106f -00120213 -00200293 -fc521ae3 -00003297 -d6c28293 -0002a103 -00200113 -00200e93 -14a00193 -01d10463 -4c90106f -00003297 -d4c28293 -0002a103 -00000013 -00200113 -00200e93 -14b00193 -01d10463 -4a50106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -ff100eb7 -f0fe8e93 -14c00193 -01df0463 -47d0106f -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ef33 -fff10eb7 -ff0e8e93 -14d00193 -01df0463 -4550106f -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ef33 -0fff1eb7 -fffe8e93 -14e00193 -01df0463 -42d0106f -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020ef33 -f0fffeb7 -0ffe8e93 -14f00193 -01df0463 -4050106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e0b3 -ff100eb7 -f0fe8e93 -15000193 -01d08463 -3dd0106f -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020e133 -ff100eb7 -f0fe8e93 -15100193 -01d10463 -3b50106f -ff0100b7 -f0008093 -0010e0b3 -ff010eb7 -f00e8e93 -15200193 -01d08463 -3950106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -000f0313 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15300193 -01d30463 -3590106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020ef33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -15400193 -01d30463 -3190106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020ef33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -0fff1eb7 -fffe8e93 -15500193 -01d30463 -2d50106f -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -15600193 -01df0463 -29d0106f -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020ef33 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -15700193 -01df0463 -2610106f -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15800193 -01df0463 -2210106f -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15900193 -01df0463 -1e50106f -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -15a00193 -01df0463 -1a50106f -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15b00193 -01df0463 -1650106f -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020ef33 -00120213 -00200293 -fe5212e3 -ff100eb7 -f0fe8e93 -15c00193 -01df0463 -12d0106f -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020ef33 -00120213 -00200293 -fe5210e3 -fff10eb7 -ff0e8e93 -15d00193 -01df0463 -0f10106f -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -15e00193 -01df0463 -0b10106f -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020ef33 -00120213 -00200293 -fe5210e3 -ff100eb7 -f0fe8e93 -15f00193 -01df0463 -0750106f -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020ef33 -00120213 -00200293 -fc521ee3 -fff10eb7 -ff0e8e93 -16000193 -01df0463 -0350106f -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020ef33 -00120213 -00200293 -fc521ee3 -0fff1eb7 -fffe8e93 -16100193 -01df0463 -7f40106f -ff0100b7 -f0008093 -00106133 -ff010eb7 -f00e8e93 -16200193 -01d10463 -7d40106f -00ff00b7 -0ff08093 -0000e133 -00ff0eb7 -0ffe8e93 -16300193 -01d10463 -7b40106f -000060b3 -00000e93 -16400193 -01d08463 -7a00106f -111110b7 -11108093 -22222137 -22210113 -0020e033 -00000e93 -16500193 -01d00463 -77c0106f -ff0100b7 -f0008093 -f0f0ef13 -f0f00e93 -16600193 -01df0463 -7600106f -0ff010b7 -ff008093 -0f00ef13 -0ff01eb7 -ff0e8e93 -16700193 -01df0463 -7400106f -00ff00b7 -0ff08093 -70f0ef13 -00ff0eb7 -7ffe8e93 -16800193 -01df0463 -7200106f -f00ff0b7 -00f08093 -0f00ef13 -f00ffeb7 -0ffe8e93 -16900193 -01df0463 -7000106f -ff0100b7 -f0008093 -0f00e093 -ff010eb7 -ff0e8e93 -16a00193 -01d08463 -6e00106f -00000213 -0ff010b7 -ff008093 -0f00ef13 -000f0313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -ff0e8e93 -16b00193 -01d30463 -6ac0106f -00000213 -00ff00b7 -0ff08093 -70f0ef13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00ff0eb7 -7ffe8e93 -16c00193 -01d30463 -6740106f -00000213 -f00ff0b7 -00f08093 -0f00ef13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -16d00193 -01d30463 -6380106f -00000213 -0ff010b7 -ff008093 -0f00ef13 -00120213 -00200293 -fe5216e3 -0ff01eb7 -ff0e8e93 -16e00193 -01df0463 -6080106f -00000213 -00ff00b7 -0ff08093 -00000013 -f0f0ef13 -00120213 -00200293 -fe5214e3 -fff00e93 -16f00193 -01df0463 -5d80106f -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00ef13 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -17000193 -01df0463 -5a00106f -0f006093 -0f000e93 -17100193 -01d08463 -58c0106f -00ff00b7 -0ff08093 -70f0e013 -00000e93 -17200193 -01d00463 -5700106f -00002097 -5c808093 -faa00113 -00208023 -00008f03 -faa00e93 -17300193 -01df0463 -54c0106f -00002097 -5a408093 -00000113 -002080a3 -00108f03 -00000e93 -17400193 -01df0463 -5280106f -00002097 -58008093 -fffff137 -fa010113 -00208123 -00209f03 -fffffeb7 -fa0e8e93 -17500193 -01df0463 -4fc0106f -00002097 -55408093 -00a00113 -002081a3 -00308f03 -00a00e93 -17600193 -01df0463 -4d80106f -00002097 -53708093 -faa00113 -fe208ea3 -ffd08f03 -faa00e93 -17700193 -01df0463 -4b40106f -00002097 -51308093 -00000113 -fe208f23 -ffe08f03 -00000e93 -17800193 -01df0463 -4900106f -00002097 -4ef08093 -fa000113 -fe208fa3 -fff08f03 -fa000e93 -17900193 -01df0463 -46c0106f -00002097 -4cb08093 -00a00113 -00208023 -00008f03 -00a00e93 -17a00193 -01df0463 -4480106f -00002097 -4a808093 -12345137 -67810113 -fe008213 -02220023 -00008283 -07800e93 -17b00193 -01d28463 -41c0106f -00002097 -47c08093 -00003137 -09810113 -ffa08093 -002083a3 -00002217 -46520213 -00020283 -f9800e93 -17c00193 -01d28463 -3e80106f -17d00193 -00000213 -fdd00093 -00002117 -43410113 -00110023 -00010f03 -fdd00e93 -01df0463 -3c00106f -00120213 -00200293 -fc521ce3 -17e00193 -00000213 -fcd00093 -00002117 -40010113 -00000013 -001100a3 -00110f03 -fcd00e93 -01df0463 -3880106f -00120213 -00200293 -fc521ae3 -17f00193 -00000213 -fcc00093 -00002117 -3c810113 -00000013 -00000013 -00110123 -00210f03 -fcc00e93 -01df0463 -34c0106f -00120213 -00200293 -fc5218e3 -18000193 -00000213 -fbc00093 -00000013 -00002117 -38810113 -001101a3 -00310f03 -fbc00e93 -01df0463 -3140106f -00120213 -00200293 -fc521ae3 -18100193 -00000213 -fbb00093 -00000013 -00002117 -35010113 -00000013 -00110223 -00410f03 -fbb00e93 -01df0463 -2d80106f -00120213 -00200293 -fc5218e3 -18200193 -00000213 -fab00093 -00000013 -00000013 -00002117 -31010113 -001102a3 -00510f03 -fab00e93 -01df0463 -29c0106f -00120213 -00200293 -fc5218e3 -18300193 -00000213 -00002117 -2e010113 -03300093 -00110023 -00010f03 -03300e93 -01df0463 -2680106f -00120213 -00200293 -fc521ce3 -18400193 -00000213 -00002117 -2ac10113 -02300093 -00000013 -001100a3 -00110f03 -02300e93 -01df0463 -2300106f -00120213 -00200293 -fc521ae3 -18500193 -00000213 -00002117 -27410113 -02200093 -00000013 -00000013 -00110123 -00210f03 -02200e93 -01df0463 -1f40106f -00120213 -00200293 -fc5218e3 -18600193 -00000213 -00002117 -23810113 -00000013 -01200093 -001101a3 -00310f03 -01200e93 -01df0463 -1bc0106f -00120213 -00200293 -fc521ae3 -18700193 -00000213 -00002117 -20010113 -00000013 -01100093 -00000013 -00110223 -00410f03 -01100e93 -01df0463 -1800106f -00120213 -00200293 -fc5218e3 -18800193 -00000213 -00002117 -1c410113 -00000013 -00000013 -00100093 -001102a3 -00510f03 -00100e93 -01df0463 -1440106f -00120213 -00200293 -fc5218e3 -0ef00513 -00002597 -18c58593 -00a581a3 -00002097 -19408093 -0aa00113 -00209023 -00009f03 -0aa00e93 -18900193 -01df0463 -1040106f -00002097 -17008093 -ffffb137 -a0010113 -00209123 -00209f03 -ffffbeb7 -a00e8e93 -18a00193 -01df0463 -0d80106f -00002097 -14408093 -beef1137 -aa010113 -00209223 -0040af03 -beef1eb7 -aa0e8e93 -18b00193 -01df0463 -0ac0106f -00002097 -11808093 -ffffa137 -00a10113 -00209323 -00609f03 -ffffaeb7 -00ae8e93 -18c00193 -01df0463 -0800106f -00002097 -0fa08093 -0aa00113 -fe209d23 -ffa09f03 -0aa00e93 -18d00193 -01df0463 -05c0106f -00002097 -0d608093 -ffffb137 -a0010113 -fe209e23 -ffc09f03 -ffffbeb7 -a00e8e93 -18e00193 -01df0463 -0300106f -00002097 -0aa08093 -00001137 -aa010113 -fe209f23 -ffe09f03 -00001eb7 -aa0e8e93 -18f00193 -01df0463 -0040106f -00002097 -07e08093 -ffffa137 -00a10113 -00209023 -00009f03 -ffffaeb7 -00ae8e93 -19000193 -7ddf1ee3 -00002097 -05808093 -12345137 -67810113 -fe008213 -02221023 -00009283 -00005eb7 -678e8e93 -19100193 -7bd298e3 -00002097 -02c08093 -00003137 -09810113 -ffb08093 -002093a3 -00002217 -01620213 -00021283 -00003eb7 -098e8e93 -19200193 -77d29ee3 -19300193 -00000213 -ffffd0b7 -cdd08093 -00002117 -fd810113 -00111023 -00011f03 -ffffdeb7 -cdde8e93 -75df18e3 -00120213 -00200293 -fc521ae3 -19400193 -00000213 -ffffc0b7 -ccd08093 -00002117 -fa010113 -00000013 -00111123 -00211f03 -ffffceb7 -ccde8e93 -71df1ae3 -00120213 -00200293 -fc5218e3 -19500193 -00000213 -ffffc0b7 -bcc08093 -00002117 -f6410113 -00000013 -00000013 -00111223 -00411f03 -ffffceb7 -bcce8e93 -6ddf1ae3 -00120213 -00200293 -fc5216e3 -19600193 -00000213 -ffffb0b7 -bbc08093 -00000013 -00002117 -f2010113 -00111323 -00611f03 -ffffbeb7 -bbce8e93 -69df1ce3 -00120213 -00200293 -fc5218e3 -19700193 -00000213 -ffffb0b7 -abb08093 -00000013 -00002117 -ee410113 -00000013 -00111423 -00811f03 -ffffbeb7 -abbe8e93 -65df1ce3 -00120213 -00200293 -fc5216e3 -19800193 -00000213 -ffffe0b7 -aab08093 -00000013 -00000013 -00002117 -ea010113 -00111523 -00a11f03 -ffffeeb7 -aabe8e93 -61df1ce3 -00120213 -00200293 -fc5216e3 -19900193 -00000213 -00002117 -e7010113 -000020b7 -23308093 -00111023 -00011f03 -00002eb7 -233e8e93 -5fdf10e3 -00120213 -00200293 -fc521ae3 -19a00193 -00000213 -00002117 -e3810113 -000010b7 -22308093 -00000013 -00111123 -00211f03 -00001eb7 -223e8e93 -5bdf12e3 -00120213 -00200293 -fc5218e3 -19b00193 -00000213 -00002117 -dfc10113 -000010b7 -12208093 -00000013 -00000013 -00111223 -00411f03 -00001eb7 -122e8e93 -57df12e3 -00120213 -00200293 -fc5216e3 -19c00193 -00000213 -00002117 -dbc10113 -00000013 -11200093 -00111323 -00611f03 -11200e93 -53df18e3 -00120213 -00200293 -fc521ce3 -19d00193 -00000213 -00002117 -d8810113 -00000013 -01100093 -00000013 -00111423 -00811f03 -01100e93 -4fdf1ce3 -00120213 -00200293 -fc521ae3 -19e00193 -00000213 -00002117 -d5010113 -00000013 -00000013 -000030b7 -00108093 -00111523 -00a11f03 -00003eb7 -001e8e93 -4bdf1ce3 -00120213 -00200293 -fc5216e3 -0000c537 -eef50513 -00002597 -d1058593 -00a59323 -00100093 -00000113 -00209f33 -00100e93 -19f00193 -49df10e3 -00100093 -00100113 -00209f33 -00200e93 -1a000193 -47df14e3 -00100093 -00700113 -00209f33 -08000e93 -1a100193 -45df18e3 -00100093 -00e00113 -00209f33 -00004eb7 -1a200193 -43df1ce3 -00100093 -01f00113 -00209f33 -80000eb7 -1a300193 -43df10e3 -fff00093 -00000113 -00209f33 -fff00e93 -1a400193 -41df14e3 -fff00093 -00100113 -00209f33 -ffe00e93 -1a500193 -3fdf18e3 -fff00093 -00700113 -00209f33 -f8000e93 -1a600193 -3ddf1ce3 -fff00093 -00e00113 -00209f33 -ffffceb7 -1a700193 -3ddf10e3 -fff00093 -01f00113 -00209f33 -80000eb7 -1a800193 -3bdf14e3 -212120b7 -12108093 -00000113 -00209f33 -21212eb7 -121e8e93 -1a900193 -39df14e3 -212120b7 -12108093 -00100113 -00209f33 -42424eb7 -242e8e93 -1aa00193 -37df14e3 -212120b7 -12108093 -00700113 -00209f33 -90909eb7 -080e8e93 -1ab00193 -35df14e3 -212120b7 -12108093 -00e00113 -00209f33 -48484eb7 -1ac00193 -33df16e3 -212120b7 -12108093 -01f00113 -00209f33 -80000eb7 -1ad00193 -31df18e3 -212120b7 -12108093 -fc000113 -00209f33 -21212eb7 -121e8e93 -1ae00193 -2fdf18e3 -212120b7 -12108093 -fc100113 -00209f33 -42424eb7 -242e8e93 -1af00193 -2ddf18e3 -212120b7 -12108093 -fc700113 -00209f33 -90909eb7 -080e8e93 -1b000193 -2bdf18e3 -212120b7 -12108093 -fce00113 -00209f33 -48484eb7 -1b100193 -29df1ae3 -00100093 -00700113 -002090b3 -08000e93 -1b600193 -27d09ee3 -00100093 -00e00113 -00209133 -00004eb7 -1b700193 -27d112e3 -00300093 -001090b3 -01800e93 -1b800193 -25d098e3 -00000213 -00100093 -00700113 -00209f33 -000f0313 -00120213 -00200293 -fe5214e3 -08000e93 -1b900193 -23d312e3 -00000213 -00100093 -00e00113 -00209f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00004eb7 -1ba00193 -1fd31ae3 -00000213 -00100093 -01f00113 -00209f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -80000eb7 -1bb00193 -1dd310e3 -00000213 -00100093 -00700113 -00209f33 -00120213 -00200293 -fe5216e3 -08000e93 -1bc00193 -19df1ce3 -00000213 -00100093 -00e00113 -00000013 -00209f33 -00120213 -00200293 -fe5214e3 -00004eb7 -1bd00193 -17df16e3 -00000213 -00100093 -01f00113 -00000013 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1be00193 -13df1ee3 -00000213 -00100093 -00000013 -00700113 -00209f33 -00120213 -00200293 -fe5214e3 -08000e93 -1bf00193 -11df18e3 -00000213 -00100093 -00000013 -00e00113 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -00004eb7 -1c000193 -0fdf10e3 -00000213 -00100093 -00000013 -00000013 -01f00113 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c100193 -0bdf18e3 -00000213 -00700113 -00100093 -00209f33 -00120213 -00200293 -fe5216e3 -08000e93 -1c200193 -09df14e3 -00000213 -00e00113 -00100093 -00000013 -00209f33 -00120213 -00200293 -fe5214e3 -00004eb7 -1c300193 -05df1ee3 -00000213 -01f00113 -00100093 -00000013 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c400193 -03df16e3 -00000213 -00700113 -00000013 -00100093 -00209f33 -00120213 -00200293 -fe5214e3 -08000e93 -1c500193 -01df10e3 -00000213 -00e00113 -00000013 -00100093 -00000013 -00209f33 -00120213 -00200293 -fe5212e3 -00004eb7 -1c600193 -7ddf1863 -00000213 -01f00113 -00000013 -00000013 -00100093 -00209f33 -00120213 -00200293 -fe5212e3 -80000eb7 -1c700193 -7bdf1063 -00f00093 -00101133 -00000e93 -1c800193 -79d11663 -02000093 -00009133 -02000e93 -1c900193 -77d11c63 -000010b3 -00000e93 -1ca00193 -77d09463 -40000093 -00001137 -80010113 -00209033 -00000e93 -1cb00193 -75d01663 -00100093 -00009f13 -00100e93 -1cc00193 -73df1c63 -00100093 -00109f13 -00200e93 -1cd00193 -73df1263 -00100093 -00709f13 -08000e93 -1ce00193 -71df1863 -00100093 -00e09f13 -00004eb7 -1cf00193 -6fdf1e63 -00100093 -01f09f13 -80000eb7 -1d000193 -6fdf1463 -fff00093 -00009f13 -fff00e93 -1d100193 -6ddf1a63 -fff00093 -00109f13 -ffe00e93 -1d200193 -6ddf1063 -fff00093 -00709f13 -f8000e93 -1d300193 -6bdf1663 -fff00093 -00e09f13 -ffffceb7 -1d400193 -69df1c63 -fff00093 -01f09f13 -80000eb7 -1d500193 -69df1263 -212120b7 -12108093 -00009f13 -21212eb7 -121e8e93 -1d600193 -67df1463 -212120b7 -12108093 -00109f13 -42424eb7 -242e8e93 -1d700193 -65df1663 -212120b7 -12108093 -00709f13 -90909eb7 -080e8e93 -1d800193 -63df1863 -212120b7 -12108093 -00e09f13 -48484eb7 -1d900193 -61df1c63 -212120b7 -12108093 -01f09f13 -80000eb7 -1da00193 -61df1063 -00100093 -00709093 -08000e93 -1de00193 -5fd09663 -00000213 -00100093 -00709f13 -000f0313 -00120213 -00200293 -fe5216e3 -08000e93 -1df00193 -5dd31263 -00000213 -00100093 -00e09f13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00004eb7 -1e000193 -59d31c63 -00000213 -00100093 -01f09f13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -80000eb7 -1e100193 -57d31463 -00000213 -00100093 -00709f13 -00120213 -00200293 -fe5218e3 -08000e93 -1e200193 -55df1263 -00000213 -00100093 -00000013 -00e09f13 -00120213 -00200293 -fe5216e3 -00004eb7 -1e300193 -51df1e63 -00000213 -00100093 -00000013 -00000013 -01f09f13 -00120213 -00200293 -fe5214e3 -80000eb7 -1e400193 -4fdf1863 -01f01093 -00000e93 -1e500193 -4fd09063 -02100093 -01409013 -00000e93 -1e600193 -4dd01663 -00000093 -00000113 -0020af33 -00000e93 -1e700193 -4bdf1a63 -00100093 -00100113 -0020af33 -00000e93 -1e800193 -49df1e63 -00300093 -00700113 -0020af33 -00100e93 -1e900193 -49df1263 -00700093 -00300113 -0020af33 -00000e93 -1ea00193 -47df1663 -00000093 -ffff8137 -0020af33 -00000e93 -1eb00193 -45df1a63 -800000b7 -00000113 -0020af33 -00100e93 -1ec00193 -43df1e63 -800000b7 -ffff8137 -0020af33 -00100e93 -1ed00193 -43df1263 -00000093 -00008137 -fff10113 -0020af33 -00100e93 -1ee00193 -41df1463 -800000b7 -fff08093 -00000113 -0020af33 -00000e93 -1ef00193 -3fdf1663 -800000b7 -fff08093 -00008137 -fff10113 -0020af33 -00000e93 -1f000193 -3ddf1663 -800000b7 -00008137 -fff10113 -0020af33 -00100e93 -1f100193 -3bdf1863 -800000b7 -fff08093 -ffff8137 -0020af33 -00000e93 -1f200193 -39df1a63 -00000093 -fff00113 -0020af33 -00000e93 -1f300193 -37df1e63 -fff00093 -00100113 -0020af33 -00100e93 -1f400193 -37df1263 -fff00093 -fff00113 -0020af33 -00000e93 -1f500193 -35df1663 -00e00093 -00d00113 -0020a0b3 -00000e93 -1f600193 -33d09a63 -00b00093 -00d00113 -0020a133 -00100e93 -1f700193 -31d11e63 -00d00093 -0010a0b3 -00000e93 -1f800193 -31d09463 -00000213 -00b00093 -00d00113 -0020af33 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -1f900193 -2dd31e63 -00000213 -00e00093 -00d00113 -0020af33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -1fa00193 -2bd31663 -00000213 -00c00093 -00d00113 -0020af33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -1fb00193 -27d31c63 -00000213 -00e00093 -00d00113 -0020af33 -00120213 -00200293 -fe5216e3 -00000e93 -1fc00193 -25df1863 -00000213 -00b00093 -00d00113 -00000013 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -1fd00193 -23df1263 -00000213 -00f00093 -00d00113 -00000013 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -1fe00193 -1fdf1a63 -00000213 -00a00093 -00000013 -00d00113 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -1ff00193 -1ddf1463 -00000213 -01000093 -00000013 -00d00113 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20000193 -19df1c63 -00000213 -00900093 -00000013 -00000013 -00d00113 -0020af33 -00120213 -00200293 -fe5212e3 -00100e93 -20100193 -17df1463 -00000213 -00d00113 -01100093 -0020af33 -00120213 -00200293 -fe5216e3 -00000e93 -20200193 -15df1063 -00000213 -00d00113 -00800093 -00000013 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -20300193 -11df1a63 -00000213 -00d00113 -01200093 -00000013 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20400193 -0fdf1263 -00000213 -00d00113 -00000013 -00700093 -0020af33 -00120213 -00200293 -fe5214e3 -00100e93 -20500193 -0bdf1c63 -00000213 -00d00113 -00000013 -01300093 -00000013 -0020af33 -00120213 -00200293 -fe5212e3 -00000e93 -20600193 -09df1463 -00000213 -00d00113 -00000013 -00000013 -00600093 -0020af33 -00120213 -00200293 -fe5212e3 -00100e93 -20700193 -05df1c63 -fff00093 -00102133 -00000e93 -20800193 -05d11263 -fff00093 -0000a133 -00100e93 -20900193 -03d11863 -000020b3 -00000e93 -20a00193 -03d09063 -01000093 -01e00113 -0020a033 -00000e93 -20b00193 -01d01463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00004097 +acc08093 +00008f03 +fff00e93 +0ed00193 +01df0463 +25d0206f +00004097 +ab008093 +00108f03 +00000e93 +0ee00193 +01df0463 +2410206f +00004097 +a9408093 +00208f03 +ff000e93 +0ef00193 +01df0463 +2250206f +00004097 +a7808093 +00308f03 +00f00e93 +0f000193 +01df0463 +2090206f +00004097 +a5f08093 +ffd08f03 +fff00e93 +0f100193 +01df0463 +1ed0206f +00004097 +a4308093 +ffe08f03 +00000e93 +0f200193 +01df0463 +1d10206f +00004097 +a2708093 +fff08f03 +ff000e93 +0f300193 +01df0463 +1b50206f +00004097 +a0b08093 +00008f03 +00f00e93 +0f400193 +01df0463 +1990206f +00004097 +9ec08093 +fe008093 +02008283 +fff00e93 +0f500193 +01d28463 +1790206f +00004097 +9cc08093 +ffa08093 +00708283 +00000e93 +0f600193 +01d28463 +1590206f +0f700193 +00000213 +00004097 +9a508093 +00108f03 +000f0313 +ff000e93 +01d30463 +1350206f +00120213 +00200293 +fc521ee3 +0f800193 +00000213 +00004097 +97608093 +00108f03 +00000013 +000f0313 +00f00e93 +01d30463 +1010206f +00120213 +00200293 +fc521ce3 +0f900193 +00000213 +00004097 +94008093 +00108f03 +00000013 +00000013 +000f0313 +00000e93 +01d30463 +0c90206f +00120213 +00200293 +fc521ae3 +0fa00193 +00000213 +00004097 +90908093 +00108f03 +ff000e93 +01df0463 +09d0206f +00120213 +00200293 +fe5210e3 +0fb00193 +00000213 +00004097 +8de08093 +00000013 +00108f03 +00f00e93 +01df0463 +06d0206f +00120213 +00200293 +fc521ee3 +0fc00193 +00000213 +00004097 +8ac08093 +00000013 +00000013 +00108f03 +00000e93 +01df0463 +0390206f +00120213 +00200293 +fc521ce3 +00004297 +88028293 +00028103 +00200113 +00200e93 +0fd00193 +01d10463 +00d0206f +00004297 +86028293 +00028103 +00000013 +00200113 +00200e93 +0fe00193 +01d10463 +7e80206f +00004097 +83c08093 +0000cf03 +0ff00e93 +0ff00193 +01df0463 +7cc0206f +00004097 +82008093 +0010cf03 +00000e93 +10000193 +01df0463 +7b00206f +00004097 +80408093 +0020cf03 +0f000e93 +10100193 +01df0463 +7940206f +00003097 +7e808093 +0030cf03 +00f00e93 +10200193 +01df0463 +7780206f +00003097 +7cf08093 +ffd0cf03 +0ff00e93 +10300193 +01df0463 +75c0206f +00003097 +7b308093 +ffe0cf03 +00000e93 +10400193 +01df0463 +7400206f +00003097 +79708093 +fff0cf03 +0f000e93 +10500193 +01df0463 +7240206f +00003097 +77b08093 +0000cf03 +00f00e93 +10600193 +01df0463 +7080206f +00003097 +75c08093 +fe008093 +0200c283 +0ff00e93 +10700193 +01d28463 +6e80206f +00003097 +73c08093 +ffa08093 +0070c283 +00000e93 +10800193 +01d28463 +6c80206f +10900193 +00000213 +00003097 +71508093 +0010cf03 +000f0313 +0f000e93 +01d30463 +6a40206f +00120213 +00200293 +fc521ee3 +10a00193 +00000213 +00003097 +6e608093 +0010cf03 +00000013 +000f0313 +00f00e93 +01d30463 +6700206f +00120213 +00200293 +fc521ce3 +10b00193 +00000213 +00003097 +6b008093 +0010cf03 +00000013 +00000013 +000f0313 +00000e93 +01d30463 +6380206f +00120213 +00200293 +fc521ae3 +10c00193 +00000213 +00003097 +67908093 +0010cf03 +0f000e93 +01df0463 +60c0206f +00120213 +00200293 +fe5210e3 +10d00193 +00000213 +00003097 +64e08093 +00000013 +0010cf03 +00f00e93 +01df0463 +5dc0206f +00120213 +00200293 +fc521ee3 +10e00193 +00000213 +00003097 +61c08093 +00000013 +00000013 +0010cf03 +00000e93 +01df0463 +5a80206f +00120213 +00200293 +fc521ce3 +00003297 +5f028293 +0002c103 +00200113 +00200e93 +10f00193 +01d10463 +57c0206f +00003297 +5d028293 +0002c103 +00000013 +00200113 +00200e93 +11000193 +01d10463 +5580206f +00003097 +5bc08093 +00009f03 +0ff00e93 +11100193 +01df0463 +53c0206f +00003097 +5a008093 +00209f03 +f0000e93 +11200193 +01df0463 +5200206f +00003097 +58408093 +00409f03 +00001eb7 +ff0e8e93 +11300193 +01df0463 +5000206f +00003097 +56408093 +00609f03 +fffffeb7 +00fe8e93 +11400193 +01df0463 +4e00206f +00003097 +54a08093 +ffa09f03 +0ff00e93 +11500193 +01df0463 +4c40206f +00003097 +52e08093 +ffc09f03 +f0000e93 +11600193 +01df0463 +4a80206f +00003097 +51208093 +ffe09f03 +00001eb7 +ff0e8e93 +11700193 +01df0463 +4880206f +00003097 +4f208093 +00009f03 +fffffeb7 +00fe8e93 +11800193 +01df0463 +4680206f +00003097 +4cc08093 +fe008093 +02009283 +0ff00e93 +11900193 +01d28463 +4480206f +00003097 +4ac08093 +ffb08093 +00709283 +f0000e93 +11a00193 +01d28463 +4280206f +11b00193 +00000213 +00003097 +48608093 +00209f03 +000f0313 +00001eb7 +ff0e8e93 +01d30463 +4000206f +00120213 +00200293 +fc521ce3 +11c00193 +00000213 +00003097 +45408093 +00209f03 +00000013 +000f0313 +fffffeb7 +00fe8e93 +01d30463 +3c80206f +00120213 +00200293 +fc521ae3 +11d00193 +00000213 +00003097 +41808093 +00209f03 +00000013 +00000013 +000f0313 +f0000e93 +01d30463 +3900206f +00120213 +00200293 +fc521ae3 +11e00193 +00000213 +00003097 +3e208093 +00209f03 +00001eb7 +ff0e8e93 +01df0463 +3600206f +00120213 +00200293 +fc521ee3 +11f00193 +00000213 +00003097 +3b408093 +00000013 +00209f03 +fffffeb7 +00fe8e93 +01df0463 +32c0206f +00120213 +00200293 +fc521ce3 +12000193 +00000213 +00003097 +37c08093 +00000013 +00000013 +00209f03 +f0000e93 +01df0463 +2f80206f +00120213 +00200293 +fc521ce3 +00003297 +35028293 +00029103 +00200113 +00200e93 +12100193 +01d10463 +2cc0206f +00003297 +33028293 +00029103 +00000013 +00200113 +00200e93 +12200193 +01d10463 +2a80206f +00003097 +30c08093 +0000df03 +0ff00e93 +12300193 +01df0463 +28c0206f +00003097 +2f008093 +0020df03 +00010eb7 +f00e8e93 +12400193 +01df0463 +26c0206f +00003097 +2d008093 +0040df03 +00001eb7 +ff0e8e93 +12500193 +01df0463 +24c0206f +00003097 +2b008093 +0060df03 +0000feb7 +00fe8e93 +12600193 +01df0463 +22c0206f +00003097 +29608093 +ffa0df03 +0ff00e93 +12700193 +01df0463 +2100206f +00003097 +27a08093 +ffc0df03 +00010eb7 +f00e8e93 +12800193 +01df0463 +1f00206f +00003097 +25a08093 +ffe0df03 +00001eb7 +ff0e8e93 +12900193 +01df0463 +1d00206f +00003097 +23a08093 +0000df03 +0000feb7 +00fe8e93 +12a00193 +01df0463 +1b00206f +00003097 +21408093 +fe008093 +0200d283 +0ff00e93 +12b00193 +01d28463 +1900206f +00003097 +1f408093 +ffb08093 +0070d283 +00010eb7 +f00e8e93 +12c00193 +01d28463 +16c0206f +12d00193 +00000213 +00003097 +1ca08093 +0020df03 +000f0313 +00001eb7 +ff0e8e93 +01d30463 +1440206f +00120213 +00200293 +fc521ce3 +12e00193 +00000213 +00003097 +19808093 +0020df03 +00000013 +000f0313 +0000feb7 +00fe8e93 +01d30463 +10c0206f +00120213 +00200293 +fc521ae3 +12f00193 +00000213 +00003097 +15c08093 +0020df03 +00000013 +00000013 +000f0313 +00010eb7 +f00e8e93 +01d30463 +0d00206f +00120213 +00200293 +fc5218e3 +13000193 +00000213 +00003097 +12208093 +0020df03 +00001eb7 +ff0e8e93 +01df0463 +0a00206f +00120213 +00200293 +fc521ee3 +13100193 +00000213 +00003097 +0f408093 +00000013 +0020df03 +0000feb7 +00fe8e93 +01df0463 +06c0206f +00120213 +00200293 +fc521ce3 +13200193 +00000213 +00003097 +0bc08093 +00000013 +00000013 +0020df03 +00010eb7 +f00e8e93 +01df0463 +0340206f +00120213 +00200293 +fc521ae3 +00003297 +08c28293 +0002d103 +00200113 +00200e93 +13300193 +01d10463 +0080206f +00003297 +06c28293 +0002d103 +00000013 +00200113 +00200e93 +13400193 +01d10463 +7e50106f +000000b7 +00000e93 +13500193 +01d08463 +7d10106f +fffff0b7 +4010d093 +80000e93 +13600193 +01d08463 +7b90106f +7ffff0b7 +4140d093 +7ff00e93 +13700193 +01d08463 +7a10106f +800000b7 +4140d093 +80000e93 +13800193 +01d08463 +7890106f +80000037 +00000e93 +13900193 +01d00463 +7750106f +00003097 +ff808093 +0000af03 +00ff0eb7 +0ffe8e93 +13a00193 +01df0463 +7550106f +00003097 +fd808093 +0040af03 +ff010eb7 +f00e8e93 +13b00193 +01df0463 +7350106f +00003097 +fb808093 +0080af03 +0ff01eb7 +ff0e8e93 +13c00193 +01df0463 +7150106f +00003097 +f9808093 +00c0af03 +f00ffeb7 +00fe8e93 +13d00193 +01df0463 +6f50106f +00003097 +f8408093 +ff40af03 +00ff0eb7 +0ffe8e93 +13e00193 +01df0463 +6d50106f +00003097 +f6408093 +ff80af03 +ff010eb7 +f00e8e93 +13f00193 +01df0463 +6b50106f +00003097 +f4408093 +ffc0af03 +0ff01eb7 +ff0e8e93 +14000193 +01df0463 +6950106f +00003097 +f2408093 +0000af03 +f00ffeb7 +00fe8e93 +14100193 +01df0463 +6750106f +00003097 +ef808093 +fe008093 +0200a283 +00ff0eb7 +0ffe8e93 +14200193 +01d28463 +6510106f +00003097 +ed408093 +ffd08093 +0070a283 +ff010eb7 +f00e8e93 +14300193 +01d28463 +62d0106f +14400193 +00000213 +00003097 +eac08093 +0040af03 +000f0313 +0ff01eb7 +ff0e8e93 +01d30463 +6050106f +00120213 +00200293 +fc521ce3 +14500193 +00000213 +00003097 +e7c08093 +0040af03 +00000013 +000f0313 +f00ffeb7 +00fe8e93 +01d30463 +5cd0106f +00120213 +00200293 +fc521ae3 +14600193 +00000213 +00003097 +e3c08093 +0040af03 +00000013 +00000013 +000f0313 +ff010eb7 +f00e8e93 +01d30463 +5910106f +00120213 +00200293 +fc5218e3 +14700193 +00000213 +00003097 +e0408093 +0040af03 +0ff01eb7 +ff0e8e93 +01df0463 +5610106f +00120213 +00200293 +fc521ee3 +14800193 +00000213 +00003097 +dd808093 +00000013 +0040af03 +f00ffeb7 +00fe8e93 +01df0463 +52d0106f +00120213 +00200293 +fc521ce3 +14900193 +00000213 +00003097 +d9c08093 +00000013 +00000013 +0040af03 +ff010eb7 +f00e8e93 +01df0463 +4f50106f +00120213 +00200293 +fc521ae3 +00003297 +d6c28293 +0002a103 +00200113 +00200e93 +14a00193 +01d10463 +4c90106f +00003297 +d4c28293 +0002a103 +00000013 +00200113 +00200e93 +14b00193 +01d10463 +4a50106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +ff100eb7 +f0fe8e93 +14c00193 +01df0463 +47d0106f +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +fff10eb7 +ff0e8e93 +14d00193 +01df0463 +4550106f +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +0fff1eb7 +fffe8e93 +14e00193 +01df0463 +42d0106f +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020ef33 +f0fffeb7 +0ffe8e93 +14f00193 +01df0463 +4050106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e0b3 +ff100eb7 +f0fe8e93 +15000193 +01d08463 +3dd0106f +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020e133 +ff100eb7 +f0fe8e93 +15100193 +01d10463 +3b50106f +ff0100b7 +f0008093 +0010e0b3 +ff010eb7 +f00e8e93 +15200193 +01d08463 +3950106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +000f0313 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15300193 +01d30463 +3590106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020ef33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +15400193 +01d30463 +3190106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020ef33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0fff1eb7 +fffe8e93 +15500193 +01d30463 +2d50106f +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +15600193 +01df0463 +29d0106f +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +15700193 +01df0463 +2610106f +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15800193 +01df0463 +2210106f +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15900193 +01df0463 +1e50106f +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +15a00193 +01df0463 +1a50106f +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15b00193 +01df0463 +1650106f +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5212e3 +ff100eb7 +f0fe8e93 +15c00193 +01df0463 +12d0106f +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fe5210e3 +fff10eb7 +ff0e8e93 +15d00193 +01df0463 +0f10106f +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +15e00193 +01df0463 +0b10106f +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020ef33 +00120213 +00200293 +fe5210e3 +ff100eb7 +f0fe8e93 +15f00193 +01df0463 +0750106f +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020ef33 +00120213 +00200293 +fc521ee3 +fff10eb7 +ff0e8e93 +16000193 +01df0463 +0350106f +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020ef33 +00120213 +00200293 +fc521ee3 +0fff1eb7 +fffe8e93 +16100193 +01df0463 +7f40106f +ff0100b7 +f0008093 +00106133 +ff010eb7 +f00e8e93 +16200193 +01d10463 +7d40106f +00ff00b7 +0ff08093 +0000e133 +00ff0eb7 +0ffe8e93 +16300193 +01d10463 +7b40106f +000060b3 +00000e93 +16400193 +01d08463 +7a00106f +111110b7 +11108093 +22222137 +22210113 +0020e033 +00000e93 +16500193 +01d00463 +77c0106f +ff0100b7 +f0008093 +f0f0ef13 +f0f00e93 +16600193 +01df0463 +7600106f +0ff010b7 +ff008093 +0f00ef13 +0ff01eb7 +ff0e8e93 +16700193 +01df0463 +7400106f +00ff00b7 +0ff08093 +70f0ef13 +00ff0eb7 +7ffe8e93 +16800193 +01df0463 +7200106f +f00ff0b7 +00f08093 +0f00ef13 +f00ffeb7 +0ffe8e93 +16900193 +01df0463 +7000106f +ff0100b7 +f0008093 +0f00e093 +ff010eb7 +ff0e8e93 +16a00193 +01d08463 +6e00106f +00000213 +0ff010b7 +ff008093 +0f00ef13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +ff0e8e93 +16b00193 +01d30463 +6ac0106f +00000213 +00ff00b7 +0ff08093 +70f0ef13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff0eb7 +7ffe8e93 +16c00193 +01d30463 +6740106f +00000213 +f00ff0b7 +00f08093 +0f00ef13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +16d00193 +01d30463 +6380106f +00000213 +0ff010b7 +ff008093 +0f00ef13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +ff0e8e93 +16e00193 +01df0463 +6080106f +00000213 +00ff00b7 +0ff08093 +00000013 +f0f0ef13 +00120213 +00200293 +fe5214e3 +fff00e93 +16f00193 +01df0463 +5d80106f +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00ef13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +17000193 +01df0463 +5a00106f +0f006093 +0f000e93 +17100193 +01d08463 +58c0106f +00ff00b7 +0ff08093 +70f0e013 +00000e93 +17200193 +01d00463 +5700106f +00002097 +5c808093 +faa00113 +00208023 +00008f03 +faa00e93 +17300193 +01df0463 +54c0106f +00002097 +5a408093 +00000113 +002080a3 +00108f03 +00000e93 +17400193 +01df0463 +5280106f +00002097 +58008093 +fffff137 +fa010113 +00208123 +00209f03 +fffffeb7 +fa0e8e93 +17500193 +01df0463 +4fc0106f +00002097 +55408093 +00a00113 +002081a3 +00308f03 +00a00e93 +17600193 +01df0463 +4d80106f +00002097 +53708093 +faa00113 +fe208ea3 +ffd08f03 +faa00e93 +17700193 +01df0463 +4b40106f +00002097 +51308093 +00000113 +fe208f23 +ffe08f03 +00000e93 +17800193 +01df0463 +4900106f +00002097 +4ef08093 +fa000113 +fe208fa3 +fff08f03 +fa000e93 +17900193 +01df0463 +46c0106f +00002097 +4cb08093 +00a00113 +00208023 +00008f03 +00a00e93 +17a00193 +01df0463 +4480106f +00002097 +4a808093 +12345137 +67810113 +fe008213 +02220023 +00008283 +07800e93 +17b00193 +01d28463 +41c0106f +00002097 +47c08093 +00003137 +09810113 +ffa08093 +002083a3 +00002217 +46520213 +00020283 +f9800e93 +17c00193 +01d28463 +3e80106f +17d00193 +00000213 +fdd00093 +00002117 +43410113 +00110023 +00010f03 +fdd00e93 +01df0463 +3c00106f +00120213 +00200293 +fc521ce3 +17e00193 +00000213 +fcd00093 +00002117 +40010113 +00000013 +001100a3 +00110f03 +fcd00e93 +01df0463 +3880106f +00120213 +00200293 +fc521ae3 +17f00193 +00000213 +fcc00093 +00002117 +3c810113 +00000013 +00000013 +00110123 +00210f03 +fcc00e93 +01df0463 +34c0106f +00120213 +00200293 +fc5218e3 +18000193 +00000213 +fbc00093 +00000013 +00002117 +38810113 +001101a3 +00310f03 +fbc00e93 +01df0463 +3140106f +00120213 +00200293 +fc521ae3 +18100193 +00000213 +fbb00093 +00000013 +00002117 +35010113 +00000013 +00110223 +00410f03 +fbb00e93 +01df0463 +2d80106f +00120213 +00200293 +fc5218e3 +18200193 +00000213 +fab00093 +00000013 +00000013 +00002117 +31010113 +001102a3 +00510f03 +fab00e93 +01df0463 +29c0106f +00120213 +00200293 +fc5218e3 +18300193 +00000213 +00002117 +2e010113 +03300093 +00110023 +00010f03 +03300e93 +01df0463 +2680106f +00120213 +00200293 +fc521ce3 +18400193 +00000213 +00002117 +2ac10113 +02300093 +00000013 +001100a3 +00110f03 +02300e93 +01df0463 +2300106f +00120213 +00200293 +fc521ae3 +18500193 +00000213 +00002117 +27410113 +02200093 +00000013 +00000013 +00110123 +00210f03 +02200e93 +01df0463 +1f40106f +00120213 +00200293 +fc5218e3 +18600193 +00000213 +00002117 +23810113 +00000013 +01200093 +001101a3 +00310f03 +01200e93 +01df0463 +1bc0106f +00120213 +00200293 +fc521ae3 +18700193 +00000213 +00002117 +20010113 +00000013 +01100093 +00000013 +00110223 +00410f03 +01100e93 +01df0463 +1800106f +00120213 +00200293 +fc5218e3 +18800193 +00000213 +00002117 +1c410113 +00000013 +00000013 +00100093 +001102a3 +00510f03 +00100e93 +01df0463 +1440106f +00120213 +00200293 +fc5218e3 +0ef00513 +00002597 +18c58593 +00a581a3 +00002097 +19408093 +0aa00113 +00209023 +00009f03 +0aa00e93 +18900193 +01df0463 +1040106f +00002097 +17008093 +ffffb137 +a0010113 +00209123 +00209f03 +ffffbeb7 +a00e8e93 +18a00193 +01df0463 +0d80106f +00002097 +14408093 +beef1137 +aa010113 +00209223 +0040af03 +beef1eb7 +aa0e8e93 +18b00193 +01df0463 +0ac0106f +00002097 +11808093 +ffffa137 +00a10113 +00209323 +00609f03 +ffffaeb7 +00ae8e93 +18c00193 +01df0463 +0800106f +00002097 +0fa08093 +0aa00113 +fe209d23 +ffa09f03 +0aa00e93 +18d00193 +01df0463 +05c0106f +00002097 +0d608093 +ffffb137 +a0010113 +fe209e23 +ffc09f03 +ffffbeb7 +a00e8e93 +18e00193 +01df0463 +0300106f +00002097 +0aa08093 +00001137 +aa010113 +fe209f23 +ffe09f03 +00001eb7 +aa0e8e93 +18f00193 +01df0463 +0040106f +00002097 +07e08093 +ffffa137 +00a10113 +00209023 +00009f03 +ffffaeb7 +00ae8e93 +19000193 +7ddf1ee3 +00002097 +05808093 +12345137 +67810113 +fe008213 +02221023 +00009283 +00005eb7 +678e8e93 +19100193 +7bd298e3 +00002097 +02c08093 +00003137 +09810113 +ffb08093 +002093a3 +00002217 +01620213 +00021283 +00003eb7 +098e8e93 +19200193 +77d29ee3 +19300193 +00000213 +ffffd0b7 +cdd08093 +00002117 +fd810113 +00111023 +00011f03 +ffffdeb7 +cdde8e93 +75df18e3 +00120213 +00200293 +fc521ae3 +19400193 +00000213 +ffffc0b7 +ccd08093 +00002117 +fa010113 +00000013 +00111123 +00211f03 +ffffceb7 +ccde8e93 +71df1ae3 +00120213 +00200293 +fc5218e3 +19500193 +00000213 +ffffc0b7 +bcc08093 +00002117 +f6410113 +00000013 +00000013 +00111223 +00411f03 +ffffceb7 +bcce8e93 +6ddf1ae3 +00120213 +00200293 +fc5216e3 +19600193 +00000213 +ffffb0b7 +bbc08093 +00000013 +00002117 +f2010113 +00111323 +00611f03 +ffffbeb7 +bbce8e93 +69df1ce3 +00120213 +00200293 +fc5218e3 +19700193 +00000213 +ffffb0b7 +abb08093 +00000013 +00002117 +ee410113 +00000013 +00111423 +00811f03 +ffffbeb7 +abbe8e93 +65df1ce3 +00120213 +00200293 +fc5216e3 +19800193 +00000213 +ffffe0b7 +aab08093 +00000013 +00000013 +00002117 +ea010113 +00111523 +00a11f03 +ffffeeb7 +aabe8e93 +61df1ce3 +00120213 +00200293 +fc5216e3 +19900193 +00000213 +00002117 +e7010113 +000020b7 +23308093 +00111023 +00011f03 +00002eb7 +233e8e93 +5fdf10e3 +00120213 +00200293 +fc521ae3 +19a00193 +00000213 +00002117 +e3810113 +000010b7 +22308093 +00000013 +00111123 +00211f03 +00001eb7 +223e8e93 +5bdf12e3 +00120213 +00200293 +fc5218e3 +19b00193 +00000213 +00002117 +dfc10113 +000010b7 +12208093 +00000013 +00000013 +00111223 +00411f03 +00001eb7 +122e8e93 +57df12e3 +00120213 +00200293 +fc5216e3 +19c00193 +00000213 +00002117 +dbc10113 +00000013 +11200093 +00111323 +00611f03 +11200e93 +53df18e3 +00120213 +00200293 +fc521ce3 +19d00193 +00000213 +00002117 +d8810113 +00000013 +01100093 +00000013 +00111423 +00811f03 +01100e93 +4fdf1ce3 +00120213 +00200293 +fc521ae3 +19e00193 +00000213 +00002117 +d5010113 +00000013 +00000013 +000030b7 +00108093 +00111523 +00a11f03 +00003eb7 +001e8e93 +4bdf1ce3 +00120213 +00200293 +fc5216e3 +0000c537 +eef50513 +00002597 +d1058593 +00a59323 +00100093 +00000113 +00209f33 +00100e93 +19f00193 +49df10e3 +00100093 +00100113 +00209f33 +00200e93 +1a000193 +47df14e3 +00100093 +00700113 +00209f33 +08000e93 +1a100193 +45df18e3 +00100093 +00e00113 +00209f33 +00004eb7 +1a200193 +43df1ce3 +00100093 +01f00113 +00209f33 +80000eb7 +1a300193 +43df10e3 +fff00093 +00000113 +00209f33 +fff00e93 +1a400193 +41df14e3 +fff00093 +00100113 +00209f33 +ffe00e93 +1a500193 +3fdf18e3 +fff00093 +00700113 +00209f33 +f8000e93 +1a600193 +3ddf1ce3 +fff00093 +00e00113 +00209f33 +ffffceb7 +1a700193 +3ddf10e3 +fff00093 +01f00113 +00209f33 +80000eb7 +1a800193 +3bdf14e3 +212120b7 +12108093 +00000113 +00209f33 +21212eb7 +121e8e93 +1a900193 +39df14e3 +212120b7 +12108093 +00100113 +00209f33 +42424eb7 +242e8e93 +1aa00193 +37df14e3 +212120b7 +12108093 +00700113 +00209f33 +90909eb7 +080e8e93 +1ab00193 +35df14e3 +212120b7 +12108093 +00e00113 +00209f33 +48484eb7 +1ac00193 +33df16e3 +212120b7 +12108093 +01f00113 +00209f33 +80000eb7 +1ad00193 +31df18e3 +212120b7 +12108093 +fc000113 +00209f33 +21212eb7 +121e8e93 +1ae00193 +2fdf18e3 +212120b7 +12108093 +fc100113 +00209f33 +42424eb7 +242e8e93 +1af00193 +2ddf18e3 +212120b7 +12108093 +fc700113 +00209f33 +90909eb7 +080e8e93 +1b000193 +2bdf18e3 +212120b7 +12108093 +fce00113 +00209f33 +48484eb7 +1b100193 +29df1ae3 +00100093 +00700113 +002090b3 +08000e93 +1b600193 +27d09ee3 +00100093 +00e00113 +00209133 +00004eb7 +1b700193 +27d112e3 +00300093 +001090b3 +01800e93 +1b800193 +25d098e3 +00000213 +00100093 +00700113 +00209f33 +000f0313 +00120213 +00200293 +fe5214e3 +08000e93 +1b900193 +23d312e3 +00000213 +00100093 +00e00113 +00209f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00004eb7 +1ba00193 +1fd31ae3 +00000213 +00100093 +01f00113 +00209f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +80000eb7 +1bb00193 +1dd310e3 +00000213 +00100093 +00700113 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +1bc00193 +19df1ce3 +00000213 +00100093 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +1bd00193 +17df16e3 +00000213 +00100093 +01f00113 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1be00193 +13df1ee3 +00000213 +00100093 +00000013 +00700113 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +1bf00193 +11df18e3 +00000213 +00100093 +00000013 +00e00113 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +1c000193 +0fdf10e3 +00000213 +00100093 +00000013 +00000013 +01f00113 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c100193 +0bdf18e3 +00000213 +00700113 +00100093 +00209f33 +00120213 +00200293 +fe5216e3 +08000e93 +1c200193 +09df14e3 +00000213 +00e00113 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5214e3 +00004eb7 +1c300193 +05df1ee3 +00000213 +01f00113 +00100093 +00000013 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c400193 +03df16e3 +00000213 +00700113 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5214e3 +08000e93 +1c500193 +01df10e3 +00000213 +00e00113 +00000013 +00100093 +00000013 +00209f33 +00120213 +00200293 +fe5212e3 +00004eb7 +1c600193 +7ddf1863 +00000213 +01f00113 +00000013 +00000013 +00100093 +00209f33 +00120213 +00200293 +fe5212e3 +80000eb7 +1c700193 +7bdf1063 +00f00093 +00101133 +00000e93 +1c800193 +79d11663 +02000093 +00009133 +02000e93 +1c900193 +77d11c63 +000010b3 +00000e93 +1ca00193 +77d09463 +40000093 +00001137 +80010113 +00209033 +00000e93 +1cb00193 +75d01663 +00100093 +00009f13 +00100e93 +1cc00193 +73df1c63 +00100093 +00109f13 +00200e93 +1cd00193 +73df1263 +00100093 +00709f13 +08000e93 +1ce00193 +71df1863 +00100093 +00e09f13 +00004eb7 +1cf00193 +6fdf1e63 +00100093 +01f09f13 +80000eb7 +1d000193 +6fdf1463 +fff00093 +00009f13 +fff00e93 +1d100193 +6ddf1a63 +fff00093 +00109f13 +ffe00e93 +1d200193 +6ddf1063 +fff00093 +00709f13 +f8000e93 +1d300193 +6bdf1663 +fff00093 +00e09f13 +ffffceb7 +1d400193 +69df1c63 +fff00093 +01f09f13 +80000eb7 +1d500193 +69df1263 +212120b7 +12108093 +00009f13 +21212eb7 +121e8e93 +1d600193 +67df1463 +212120b7 +12108093 +00109f13 +42424eb7 +242e8e93 +1d700193 +65df1663 +212120b7 +12108093 +00709f13 +90909eb7 +080e8e93 +1d800193 +63df1863 +212120b7 +12108093 +00e09f13 +48484eb7 +1d900193 +61df1c63 +212120b7 +12108093 +01f09f13 +80000eb7 +1da00193 +61df1063 +00100093 +00709093 +08000e93 +1de00193 +5fd09663 +00000213 +00100093 +00709f13 +000f0313 +00120213 +00200293 +fe5216e3 +08000e93 +1df00193 +5dd31263 +00000213 +00100093 +00e09f13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00004eb7 +1e000193 +59d31c63 +00000213 +00100093 +01f09f13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +80000eb7 +1e100193 +57d31463 +00000213 +00100093 +00709f13 +00120213 +00200293 +fe5218e3 +08000e93 +1e200193 +55df1263 +00000213 +00100093 +00000013 +00e09f13 +00120213 +00200293 +fe5216e3 +00004eb7 +1e300193 +51df1e63 +00000213 +00100093 +00000013 +00000013 +01f09f13 +00120213 +00200293 +fe5214e3 +80000eb7 +1e400193 +4fdf1863 +01f01093 +00000e93 +1e500193 +4fd09063 +02100093 +01409013 +00000e93 +1e600193 +4dd01663 +00000093 +00000113 +0020af33 +00000e93 +1e700193 +4bdf1a63 +00100093 +00100113 +0020af33 +00000e93 +1e800193 +49df1e63 +00300093 +00700113 +0020af33 +00100e93 +1e900193 +49df1263 +00700093 +00300113 +0020af33 +00000e93 +1ea00193 +47df1663 +00000093 +ffff8137 +0020af33 +00000e93 +1eb00193 +45df1a63 +800000b7 +00000113 +0020af33 +00100e93 +1ec00193 +43df1e63 +800000b7 +ffff8137 +0020af33 +00100e93 +1ed00193 +43df1263 +00000093 +00008137 +fff10113 +0020af33 +00100e93 +1ee00193 +41df1463 +800000b7 +fff08093 +00000113 +0020af33 +00000e93 +1ef00193 +3fdf1663 +800000b7 +fff08093 +00008137 +fff10113 +0020af33 +00000e93 +1f000193 +3ddf1663 +800000b7 +00008137 +fff10113 +0020af33 +00100e93 +1f100193 +3bdf1863 +800000b7 +fff08093 +ffff8137 +0020af33 +00000e93 +1f200193 +39df1a63 +00000093 +fff00113 +0020af33 +00000e93 +1f300193 +37df1e63 +fff00093 +00100113 +0020af33 +00100e93 +1f400193 +37df1263 +fff00093 +fff00113 +0020af33 +00000e93 +1f500193 +35df1663 +00e00093 +00d00113 +0020a0b3 +00000e93 +1f600193 +33d09a63 +00b00093 +00d00113 +0020a133 +00100e93 +1f700193 +31d11e63 +00d00093 +0010a0b3 +00000e93 +1f800193 +31d09463 +00000213 +00b00093 +00d00113 +0020af33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +1f900193 +2dd31e63 +00000213 +00e00093 +00d00113 +0020af33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +1fa00193 +2bd31663 +00000213 +00c00093 +00d00113 +0020af33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +1fb00193 +27d31c63 +00000213 +00e00093 +00d00113 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +1fc00193 +25df1863 +00000213 +00b00093 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +1fd00193 +23df1263 +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +1fe00193 +1fdf1a63 +00000213 +00a00093 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +1ff00193 +1ddf1463 +00000213 +01000093 +00000013 +00d00113 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20000193 +19df1c63 +00000213 +00900093 +00000013 +00000013 +00d00113 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +20100193 +17df1463 +00000213 +00d00113 +01100093 +0020af33 +00120213 +00200293 +fe5216e3 +00000e93 +20200193 +15df1063 +00000213 +00d00113 +00800093 +00000013 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +20300193 +11df1a63 +00000213 +00d00113 +01200093 +00000013 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20400193 +0fdf1263 +00000213 +00d00113 +00000013 +00700093 +0020af33 +00120213 +00200293 +fe5214e3 +00100e93 +20500193 +0bdf1c63 +00000213 +00d00113 +00000013 +01300093 +00000013 +0020af33 +00120213 +00200293 +fe5212e3 +00000e93 +20600193 +09df1463 +00000213 +00d00113 +00000013 +00000013 +00600093 +0020af33 +00120213 +00200293 +fe5212e3 +00100e93 +20700193 +05df1c63 +fff00093 +00102133 +00000e93 +20800193 +05d11263 +fff00093 +0000a133 +00100e93 +20900193 +03d11863 +000020b3 +00000e93 +20a00193 +03d09063 +01000093 +01e00113 +0020a033 +00000e93 +20b00193 +01d01463 +00301463 +00000a6f +00100193 +00000a6f +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +0ff000ff +efefefef +efefefef +0000efef +ff0000ff +f00f0ff0 +beefbeef +beefbeef +beefbeef +beefbeef +beefbeef +00000000 +00ff00ff +ff00ff00 +0ff00ff0 +f00ff00f +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +00000000 +00000000 +14d68693 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt b/SIM-CPU/rv32i_test/c_asm.txt similarity index 97% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt rename to SIM-CPU/rv32i_test/c_asm.txt index 372f0a1..71f7567 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_Assembly.txt +++ b/SIM-CPU/rv32i_test/c_asm.txt @@ -1,3549 +1,3538 @@ - -3testAll.om: file format elf32-littleriscv - - -Disassembly of section .text: - -00010080 <_start>: - 10080: 00000013 nop - -00010084 : - 10084: 00000093 li ra,0 - 10088: 0000af13 slti t5,ra,0 - 1008c: 00000e93 li t4,0 - 10090: 20c00193 li gp,524 - 10094: 01df0463 beq t5,t4,1009c - 10098: 54d0206f j 12de4 - -0001009c : - 1009c: 00100093 li ra,1 - 100a0: 0010af13 slti t5,ra,1 - 100a4: 00000e93 li t4,0 - 100a8: 20d00193 li gp,525 - 100ac: 01df0463 beq t5,t4,100b4 - 100b0: 5350206f j 12de4 - -000100b4 : - 100b4: 00300093 li ra,3 - 100b8: 0070af13 slti t5,ra,7 - 100bc: 00100e93 li t4,1 - 100c0: 20e00193 li gp,526 - 100c4: 01df0463 beq t5,t4,100cc - 100c8: 51d0206f j 12de4 - -000100cc : - 100cc: 00700093 li ra,7 - 100d0: 0030af13 slti t5,ra,3 - 100d4: 00000e93 li t4,0 - 100d8: 20f00193 li gp,527 - 100dc: 01df0463 beq t5,t4,100e4 - 100e0: 5050206f j 12de4 - -000100e4 : - 100e4: 00000093 li ra,0 - 100e8: 8000af13 slti t5,ra,-2048 - 100ec: 00000e93 li t4,0 - 100f0: 21000193 li gp,528 - 100f4: 01df0463 beq t5,t4,100fc - 100f8: 4ed0206f j 12de4 - -000100fc : - 100fc: 800000b7 lui ra,0x80000 - 10100: 0000af13 slti t5,ra,0 - 10104: 00100e93 li t4,1 - 10108: 21100193 li gp,529 - 1010c: 01df0463 beq t5,t4,10114 - 10110: 4d50206f j 12de4 - -00010114 : - 10114: 800000b7 lui ra,0x80000 - 10118: 8000af13 slti t5,ra,-2048 - 1011c: 00100e93 li t4,1 - 10120: 21200193 li gp,530 - 10124: 01df0463 beq t5,t4,1012c - 10128: 4bd0206f j 12de4 - -0001012c : - 1012c: 00000093 li ra,0 - 10130: 7ff0af13 slti t5,ra,2047 - 10134: 00100e93 li t4,1 - 10138: 21300193 li gp,531 - 1013c: 01df0463 beq t5,t4,10144 - 10140: 4a50206f j 12de4 - -00010144 : - 10144: 800000b7 lui ra,0x80000 - 10148: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1014c: 0000af13 slti t5,ra,0 - 10150: 00000e93 li t4,0 - 10154: 21400193 li gp,532 - 10158: 01df0463 beq t5,t4,10160 - 1015c: 4890206f j 12de4 - -00010160 : - 10160: 800000b7 lui ra,0x80000 - 10164: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10168: 7ff0af13 slti t5,ra,2047 - 1016c: 00000e93 li t4,0 - 10170: 21500193 li gp,533 - 10174: 01df0463 beq t5,t4,1017c - 10178: 46d0206f j 12de4 - -0001017c : - 1017c: 800000b7 lui ra,0x80000 - 10180: 7ff0af13 slti t5,ra,2047 - 10184: 00100e93 li t4,1 - 10188: 21600193 li gp,534 - 1018c: 01df0463 beq t5,t4,10194 - 10190: 4550206f j 12de4 - -00010194 : - 10194: 800000b7 lui ra,0x80000 - 10198: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1019c: 8000af13 slti t5,ra,-2048 - 101a0: 00000e93 li t4,0 - 101a4: 21700193 li gp,535 - 101a8: 01df0463 beq t5,t4,101b0 - 101ac: 4390206f j 12de4 - -000101b0 : - 101b0: 00000093 li ra,0 - 101b4: fff0af13 slti t5,ra,-1 - 101b8: 00000e93 li t4,0 - 101bc: 21800193 li gp,536 - 101c0: 01df0463 beq t5,t4,101c8 - 101c4: 4210206f j 12de4 - -000101c8 : - 101c8: fff00093 li ra,-1 - 101cc: 0010af13 slti t5,ra,1 - 101d0: 00100e93 li t4,1 - 101d4: 21900193 li gp,537 - 101d8: 01df0463 beq t5,t4,101e0 - 101dc: 4090206f j 12de4 - -000101e0 : - 101e0: fff00093 li ra,-1 - 101e4: fff0af13 slti t5,ra,-1 - 101e8: 00000e93 li t4,0 - 101ec: 21a00193 li gp,538 - 101f0: 01df0463 beq t5,t4,101f8 - 101f4: 3f10206f j 12de4 - -000101f8 : - 101f8: 00b00093 li ra,11 - 101fc: 00d0a093 slti ra,ra,13 - 10200: 00100e93 li t4,1 - 10204: 21b00193 li gp,539 - 10208: 01d08463 beq ra,t4,10210 - 1020c: 3d90206f j 12de4 - -00010210 : - 10210: 00000213 li tp,0 - 10214: 00f00093 li ra,15 - 10218: 00a0af13 slti t5,ra,10 - 1021c: 000f0313 mv t1,t5 - 10220: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10224: 00200293 li t0,2 - 10228: fe5216e3 bne tp,t0,10214 - 1022c: 00000e93 li t4,0 - 10230: 21c00193 li gp,540 - 10234: 01d30463 beq t1,t4,1023c - 10238: 3ad0206f j 12de4 - -0001023c : - 1023c: 00000213 li tp,0 - 10240: 00a00093 li ra,10 - 10244: 0100af13 slti t5,ra,16 - 10248: 00000013 nop - 1024c: 000f0313 mv t1,t5 - 10250: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10254: 00200293 li t0,2 - 10258: fe5214e3 bne tp,t0,10240 - 1025c: 00100e93 li t4,1 - 10260: 21d00193 li gp,541 - 10264: 01d30463 beq t1,t4,1026c - 10268: 37d0206f j 12de4 - -0001026c : - 1026c: 00000213 li tp,0 - 10270: 01000093 li ra,16 - 10274: 0090af13 slti t5,ra,9 - 10278: 00000013 nop - 1027c: 00000013 nop - 10280: 000f0313 mv t1,t5 - 10284: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10288: 00200293 li t0,2 - 1028c: fe5212e3 bne tp,t0,10270 - 10290: 00000e93 li t4,0 - 10294: 21e00193 li gp,542 - 10298: 01d30463 beq t1,t4,102a0 - 1029c: 3490206f j 12de4 - -000102a0 : - 102a0: 00000213 li tp,0 - 102a4: 00b00093 li ra,11 - 102a8: 00f0af13 slti t5,ra,15 - 102ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102b0: 00200293 li t0,2 - 102b4: fe5218e3 bne tp,t0,102a4 - 102b8: 00100e93 li t4,1 - 102bc: 21f00193 li gp,543 - 102c0: 01df0463 beq t5,t4,102c8 - 102c4: 3210206f j 12de4 - -000102c8 : - 102c8: 00000213 li tp,0 - 102cc: 01100093 li ra,17 - 102d0: 00000013 nop - 102d4: 0080af13 slti t5,ra,8 - 102d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 102dc: 00200293 li t0,2 - 102e0: fe5216e3 bne tp,t0,102cc - 102e4: 00000e93 li t4,0 - 102e8: 22000193 li gp,544 - 102ec: 01df0463 beq t5,t4,102f4 - 102f0: 2f50206f j 12de4 - -000102f4 : - 102f4: 00000213 li tp,0 - 102f8: 00c00093 li ra,12 - 102fc: 00000013 nop - 10300: 00000013 nop - 10304: 00e0af13 slti t5,ra,14 - 10308: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1030c: 00200293 li t0,2 - 10310: fe5214e3 bne tp,t0,102f8 - 10314: 00100e93 li t4,1 - 10318: 22100193 li gp,545 - 1031c: 01df0463 beq t5,t4,10324 - 10320: 2c50206f j 12de4 - -00010324 : - 10324: fff02093 slti ra,zero,-1 - 10328: 00000e93 li t4,0 - 1032c: 22200193 li gp,546 - 10330: 01d08463 beq ra,t4,10338 - 10334: 2b10206f j 12de4 - -00010338 : - 10338: 00ff00b7 lui ra,0xff0 - 1033c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 10340: fff0a013 slti zero,ra,-1 - 10344: 00000e93 li t4,0 - 10348: 22300193 li gp,547 - 1034c: 01d00463 beq zero,t4,10354 - 10350: 2950206f j 12de4 - -00010354 : - 10354: 00000093 li ra,0 - 10358: 0000bf13 sltiu t5,ra,0 - 1035c: 00000e93 li t4,0 - 10360: 22400193 li gp,548 - 10364: 01df0463 beq t5,t4,1036c - 10368: 27d0206f j 12de4 - -0001036c : - 1036c: 00100093 li ra,1 - 10370: 0010bf13 seqz t5,ra - 10374: 00000e93 li t4,0 - 10378: 22500193 li gp,549 - 1037c: 01df0463 beq t5,t4,10384 - 10380: 2650206f j 12de4 - -00010384 : - 10384: 00300093 li ra,3 - 10388: 0070bf13 sltiu t5,ra,7 - 1038c: 00100e93 li t4,1 - 10390: 22600193 li gp,550 - 10394: 01df0463 beq t5,t4,1039c - 10398: 24d0206f j 12de4 - -0001039c : - 1039c: 00700093 li ra,7 - 103a0: 0030bf13 sltiu t5,ra,3 - 103a4: 00000e93 li t4,0 - 103a8: 22700193 li gp,551 - 103ac: 01df0463 beq t5,t4,103b4 - 103b0: 2350206f j 12de4 - -000103b4 : - 103b4: 00000093 li ra,0 - 103b8: 8000bf13 sltiu t5,ra,-2048 - 103bc: 00100e93 li t4,1 - 103c0: 22800193 li gp,552 - 103c4: 01df0463 beq t5,t4,103cc - 103c8: 21d0206f j 12de4 - -000103cc : - 103cc: 800000b7 lui ra,0x80000 - 103d0: 0000bf13 sltiu t5,ra,0 - 103d4: 00000e93 li t4,0 - 103d8: 22900193 li gp,553 - 103dc: 01df0463 beq t5,t4,103e4 - 103e0: 2050206f j 12de4 - -000103e4 : - 103e4: 800000b7 lui ra,0x80000 - 103e8: 8000bf13 sltiu t5,ra,-2048 - 103ec: 00100e93 li t4,1 - 103f0: 22a00193 li gp,554 - 103f4: 01df0463 beq t5,t4,103fc - 103f8: 1ed0206f j 12de4 - -000103fc : - 103fc: 00000093 li ra,0 - 10400: 7ff0bf13 sltiu t5,ra,2047 - 10404: 00100e93 li t4,1 - 10408: 22b00193 li gp,555 - 1040c: 01df0463 beq t5,t4,10414 - 10410: 1d50206f j 12de4 - -00010414 : - 10414: 800000b7 lui ra,0x80000 - 10418: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1041c: 0000bf13 sltiu t5,ra,0 - 10420: 00000e93 li t4,0 - 10424: 22c00193 li gp,556 - 10428: 01df0463 beq t5,t4,10430 - 1042c: 1b90206f j 12de4 - -00010430 : - 10430: 800000b7 lui ra,0x80000 - 10434: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10438: 7ff0bf13 sltiu t5,ra,2047 - 1043c: 00000e93 li t4,0 - 10440: 22d00193 li gp,557 - 10444: 01df0463 beq t5,t4,1044c - 10448: 19d0206f j 12de4 - -0001044c : - 1044c: 800000b7 lui ra,0x80000 - 10450: 7ff0bf13 sltiu t5,ra,2047 - 10454: 00000e93 li t4,0 - 10458: 22e00193 li gp,558 - 1045c: 01df0463 beq t5,t4,10464 - 10460: 1850206f j 12de4 - -00010464 : - 10464: 800000b7 lui ra,0x80000 - 10468: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 1046c: 8000bf13 sltiu t5,ra,-2048 - 10470: 00100e93 li t4,1 - 10474: 22f00193 li gp,559 - 10478: 01df0463 beq t5,t4,10480 - 1047c: 1690206f j 12de4 - -00010480 : - 10480: 00000093 li ra,0 - 10484: fff0bf13 sltiu t5,ra,-1 - 10488: 00100e93 li t4,1 - 1048c: 23000193 li gp,560 - 10490: 01df0463 beq t5,t4,10498 - 10494: 1510206f j 12de4 - -00010498 : - 10498: fff00093 li ra,-1 - 1049c: 0010bf13 seqz t5,ra - 104a0: 00000e93 li t4,0 - 104a4: 23100193 li gp,561 - 104a8: 01df0463 beq t5,t4,104b0 - 104ac: 1390206f j 12de4 - -000104b0 : - 104b0: fff00093 li ra,-1 - 104b4: fff0bf13 sltiu t5,ra,-1 - 104b8: 00000e93 li t4,0 - 104bc: 23200193 li gp,562 - 104c0: 01df0463 beq t5,t4,104c8 - 104c4: 1210206f j 12de4 - -000104c8 : - 104c8: 00b00093 li ra,11 - 104cc: 00d0b093 sltiu ra,ra,13 - 104d0: 00100e93 li t4,1 - 104d4: 23300193 li gp,563 - 104d8: 01d08463 beq ra,t4,104e0 - 104dc: 1090206f j 12de4 - -000104e0 : - 104e0: 00000213 li tp,0 - 104e4: 00f00093 li ra,15 - 104e8: 00a0bf13 sltiu t5,ra,10 - 104ec: 000f0313 mv t1,t5 - 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 104f4: 00200293 li t0,2 - 104f8: fe5216e3 bne tp,t0,104e4 - 104fc: 00000e93 li t4,0 - 10500: 23400193 li gp,564 - 10504: 01d30463 beq t1,t4,1050c - 10508: 0dd0206f j 12de4 - -0001050c : - 1050c: 00000213 li tp,0 - 10510: 00a00093 li ra,10 - 10514: 0100bf13 sltiu t5,ra,16 - 10518: 00000013 nop - 1051c: 000f0313 mv t1,t5 - 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10524: 00200293 li t0,2 - 10528: fe5214e3 bne tp,t0,10510 - 1052c: 00100e93 li t4,1 - 10530: 23500193 li gp,565 - 10534: 01d30463 beq t1,t4,1053c - 10538: 0ad0206f j 12de4 - -0001053c : - 1053c: 00000213 li tp,0 - 10540: 01000093 li ra,16 - 10544: 0090bf13 sltiu t5,ra,9 - 10548: 00000013 nop - 1054c: 00000013 nop - 10550: 000f0313 mv t1,t5 - 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10558: 00200293 li t0,2 - 1055c: fe5212e3 bne tp,t0,10540 - 10560: 00000e93 li t4,0 - 10564: 23700193 li gp,567 - 10568: 01d30463 beq t1,t4,10570 - 1056c: 0790206f j 12de4 - -00010570 : - 10570: 00000213 li tp,0 - 10574: 00b00093 li ra,11 - 10578: 00f0bf13 sltiu t5,ra,15 - 1057c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10580: 00200293 li t0,2 - 10584: fe5218e3 bne tp,t0,10574 - 10588: 00100e93 li t4,1 - 1058c: 23800193 li gp,568 - 10590: 01df0463 beq t5,t4,10598 - 10594: 0510206f j 12de4 - -00010598 : - 10598: 00000213 li tp,0 - 1059c: 01100093 li ra,17 - 105a0: 00000013 nop - 105a4: 0080bf13 sltiu t5,ra,8 - 105a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 105ac: 00200293 li t0,2 - 105b0: fe5216e3 bne tp,t0,1059c - 105b4: 00000e93 li t4,0 - 105b8: 23900193 li gp,569 - 105bc: 01df0463 beq t5,t4,105c4 - 105c0: 0250206f j 12de4 - -000105c4 : - 105c4: 00000213 li tp,0 - 105c8: 00c00093 li ra,12 - 105cc: 00000013 nop - 105d0: 00000013 nop - 105d4: 00e0bf13 sltiu t5,ra,14 - 105d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 105dc: 00200293 li t0,2 - 105e0: fe5214e3 bne tp,t0,105c8 - 105e4: 00100e93 li t4,1 - 105e8: 23a00193 li gp,570 - 105ec: 01df0463 beq t5,t4,105f4 - 105f0: 7f40206f j 12de4 - -000105f4 : - 105f4: fff03093 sltiu ra,zero,-1 - 105f8: 00100e93 li t4,1 - 105fc: 23b00193 li gp,571 - 10600: 01d08463 beq ra,t4,10608 - 10604: 7e00206f j 12de4 - -00010608 : - 10608: 00ff00b7 lui ra,0xff0 - 1060c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 10610: fff0b013 sltiu zero,ra,-1 - 10614: 00000e93 li t4,0 - 10618: 23c00193 li gp,572 - 1061c: 01d00463 beq zero,t4,10624 - 10620: 7c40206f j 12de4 - -00010624 : - 10624: 00000093 li ra,0 - 10628: 00000113 li sp,0 - 1062c: 0020bf33 sltu t5,ra,sp - 10630: 00000e93 li t4,0 - 10634: 23d00193 li gp,573 - 10638: 01df0463 beq t5,t4,10640 - 1063c: 7a80206f j 12de4 - -00010640 : - 10640: 00100093 li ra,1 - 10644: 00100113 li sp,1 - 10648: 0020bf33 sltu t5,ra,sp - 1064c: 00000e93 li t4,0 - 10650: 23e00193 li gp,574 - 10654: 01df0463 beq t5,t4,1065c - 10658: 78c0206f j 12de4 - -0001065c : - 1065c: 00300093 li ra,3 - 10660: 00700113 li sp,7 - 10664: 0020bf33 sltu t5,ra,sp - 10668: 00100e93 li t4,1 - 1066c: 23f00193 li gp,575 - 10670: 01df0463 beq t5,t4,10678 - 10674: 7700206f j 12de4 - -00010678 : - 10678: 00700093 li ra,7 - 1067c: 00300113 li sp,3 - 10680: 0020bf33 sltu t5,ra,sp - 10684: 00000e93 li t4,0 - 10688: 24000193 li gp,576 - 1068c: 01df0463 beq t5,t4,10694 - 10690: 7540206f j 12de4 - -00010694 : - 10694: 00000093 li ra,0 - 10698: ffff8137 lui sp,0xffff8 - 1069c: 0020bf33 sltu t5,ra,sp - 106a0: 00100e93 li t4,1 - 106a4: 24100193 li gp,577 - 106a8: 01df0463 beq t5,t4,106b0 - 106ac: 7380206f j 12de4 - -000106b0 : - 106b0: 800000b7 lui ra,0x80000 - 106b4: 00000113 li sp,0 - 106b8: 0020bf33 sltu t5,ra,sp - 106bc: 00000e93 li t4,0 - 106c0: 24200193 li gp,578 - 106c4: 01df0463 beq t5,t4,106cc - 106c8: 71c0206f j 12de4 - -000106cc : - 106cc: 800000b7 lui ra,0x80000 - 106d0: ffff8137 lui sp,0xffff8 - 106d4: 0020bf33 sltu t5,ra,sp - 106d8: 00100e93 li t4,1 - 106dc: 24300193 li gp,579 - 106e0: 01df0463 beq t5,t4,106e8 - 106e4: 7000206f j 12de4 - -000106e8 : - 106e8: 00000093 li ra,0 - 106ec: 00008137 lui sp,0x8 - 106f0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 106f4: 0020bf33 sltu t5,ra,sp - 106f8: 00100e93 li t4,1 - 106fc: 24400193 li gp,580 - 10700: 01df0463 beq t5,t4,10708 - 10704: 6e00206f j 12de4 - -00010708 : - 10708: 800000b7 lui ra,0x80000 - 1070c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10710: 00000113 li sp,0 - 10714: 0020bf33 sltu t5,ra,sp - 10718: 00000e93 li t4,0 - 1071c: 24500193 li gp,581 - 10720: 01df0463 beq t5,t4,10728 - 10724: 6c00206f j 12de4 - -00010728 : - 10728: 800000b7 lui ra,0x80000 - 1072c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10730: 00008137 lui sp,0x8 - 10734: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10738: 0020bf33 sltu t5,ra,sp - 1073c: 00000e93 li t4,0 - 10740: 24600193 li gp,582 - 10744: 01df0463 beq t5,t4,1074c - 10748: 69c0206f j 12de4 - -0001074c : - 1074c: 800000b7 lui ra,0x80000 - 10750: 00008137 lui sp,0x8 - 10754: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 10758: 0020bf33 sltu t5,ra,sp - 1075c: 00000e93 li t4,0 - 10760: 24700193 li gp,583 - 10764: 01df0463 beq t5,t4,1076c - 10768: 67c0206f j 12de4 - -0001076c : - 1076c: 800000b7 lui ra,0x80000 - 10770: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10774: ffff8137 lui sp,0xffff8 - 10778: 0020bf33 sltu t5,ra,sp - 1077c: 00100e93 li t4,1 - 10780: 24800193 li gp,584 - 10784: 01df0463 beq t5,t4,1078c - 10788: 65c0206f j 12de4 - -0001078c : - 1078c: 00000093 li ra,0 - 10790: fff00113 li sp,-1 - 10794: 0020bf33 sltu t5,ra,sp - 10798: 00100e93 li t4,1 - 1079c: 24900193 li gp,585 - 107a0: 01df0463 beq t5,t4,107a8 - 107a4: 6400206f j 12de4 - -000107a8 : - 107a8: fff00093 li ra,-1 - 107ac: 00100113 li sp,1 - 107b0: 0020bf33 sltu t5,ra,sp - 107b4: 00000e93 li t4,0 - 107b8: 24a00193 li gp,586 - 107bc: 01df0463 beq t5,t4,107c4 - 107c0: 6240206f j 12de4 - -000107c4 : - 107c4: fff00093 li ra,-1 - 107c8: fff00113 li sp,-1 - 107cc: 0020bf33 sltu t5,ra,sp - 107d0: 00000e93 li t4,0 - 107d4: 24b00193 li gp,587 - 107d8: 01df0463 beq t5,t4,107e0 - 107dc: 6080206f j 12de4 - -000107e0 : - 107e0: 00e00093 li ra,14 - 107e4: 00d00113 li sp,13 - 107e8: 0020b0b3 sltu ra,ra,sp - 107ec: 00000e93 li t4,0 - 107f0: 24c00193 li gp,588 - 107f4: 01d08463 beq ra,t4,107fc - 107f8: 5ec0206f j 12de4 - -000107fc : - 107fc: 00b00093 li ra,11 - 10800: 00d00113 li sp,13 - 10804: 0020b133 sltu sp,ra,sp - 10808: 00100e93 li t4,1 - 1080c: 24d00193 li gp,589 - 10810: 01d10463 beq sp,t4,10818 - 10814: 5d00206f j 12de4 - -00010818 : - 10818: 00d00093 li ra,13 - 1081c: 0010b0b3 sltu ra,ra,ra - 10820: 00000e93 li t4,0 - 10824: 24e00193 li gp,590 - 10828: 01d08463 beq ra,t4,10830 - 1082c: 5b80206f j 12de4 - -00010830 : - 10830: 00000213 li tp,0 - 10834: 00b00093 li ra,11 - 10838: 00d00113 li sp,13 - 1083c: 0020bf33 sltu t5,ra,sp - 10840: 000f0313 mv t1,t5 - 10844: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10848: 00200293 li t0,2 - 1084c: fe5214e3 bne tp,t0,10834 - 10850: 00100e93 li t4,1 - 10854: 24f00193 li gp,591 - 10858: 01d30463 beq t1,t4,10860 - 1085c: 5880206f j 12de4 - -00010860 : - 10860: 00000213 li tp,0 - 10864: 00e00093 li ra,14 - 10868: 00d00113 li sp,13 - 1086c: 0020bf33 sltu t5,ra,sp - 10870: 00000013 nop - 10874: 000f0313 mv t1,t5 - 10878: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1087c: 00200293 li t0,2 - 10880: fe5212e3 bne tp,t0,10864 - 10884: 00000e93 li t4,0 - 10888: 25000193 li gp,592 - 1088c: 01d30463 beq t1,t4,10894 - 10890: 5540206f j 12de4 - -00010894 : - 10894: 00000213 li tp,0 - 10898: 00c00093 li ra,12 - 1089c: 00d00113 li sp,13 - 108a0: 0020bf33 sltu t5,ra,sp - 108a4: 00000013 nop - 108a8: 00000013 nop - 108ac: 000f0313 mv t1,t5 - 108b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 108b4: 00200293 li t0,2 - 108b8: fe5210e3 bne tp,t0,10898 - 108bc: 00100e93 li t4,1 - 108c0: 25100193 li gp,593 - 108c4: 01d30463 beq t1,t4,108cc - 108c8: 51c0206f j 12de4 - -000108cc : - 108cc: 00000213 li tp,0 - 108d0: 00e00093 li ra,14 - 108d4: 00d00113 li sp,13 - 108d8: 0020bf33 sltu t5,ra,sp - 108dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 108e0: 00200293 li t0,2 - 108e4: fe5216e3 bne tp,t0,108d0 - 108e8: 00000e93 li t4,0 - 108ec: 25200193 li gp,594 - 108f0: 01df0463 beq t5,t4,108f8 - 108f4: 4f00206f j 12de4 - -000108f8 : - 108f8: 00000213 li tp,0 - 108fc: 00b00093 li ra,11 - 10900: 00d00113 li sp,13 - 10904: 00000013 nop - 10908: 0020bf33 sltu t5,ra,sp - 1090c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10910: 00200293 li t0,2 - 10914: fe5214e3 bne tp,t0,108fc - 10918: 00100e93 li t4,1 - 1091c: 25300193 li gp,595 - 10920: 01df0463 beq t5,t4,10928 - 10924: 4c00206f j 12de4 - -00010928 : - 10928: 00000213 li tp,0 - 1092c: 00f00093 li ra,15 - 10930: 00d00113 li sp,13 - 10934: 00000013 nop - 10938: 00000013 nop - 1093c: 0020bf33 sltu t5,ra,sp - 10940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10944: 00200293 li t0,2 - 10948: fe5212e3 bne tp,t0,1092c - 1094c: 00000e93 li t4,0 - 10950: 25400193 li gp,596 - 10954: 01df0463 beq t5,t4,1095c - 10958: 48c0206f j 12de4 - -0001095c : - 1095c: 00000213 li tp,0 - 10960: 00a00093 li ra,10 - 10964: 00000013 nop - 10968: 00d00113 li sp,13 - 1096c: 0020bf33 sltu t5,ra,sp - 10970: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10974: 00200293 li t0,2 - 10978: fe5214e3 bne tp,t0,10960 - 1097c: 00100e93 li t4,1 - 10980: 25500193 li gp,597 - 10984: 01df0463 beq t5,t4,1098c - 10988: 45c0206f j 12de4 - -0001098c : - 1098c: 00000213 li tp,0 - 10990: 01000093 li ra,16 - 10994: 00000013 nop - 10998: 00d00113 li sp,13 - 1099c: 00000013 nop - 109a0: 0020bf33 sltu t5,ra,sp - 109a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109a8: 00200293 li t0,2 - 109ac: fe5212e3 bne tp,t0,10990 - 109b0: 00000e93 li t4,0 - 109b4: 25600193 li gp,598 - 109b8: 01df0463 beq t5,t4,109c0 - 109bc: 4280206f j 12de4 - -000109c0 : - 109c0: 00000213 li tp,0 - 109c4: 00900093 li ra,9 - 109c8: 00000013 nop - 109cc: 00000013 nop - 109d0: 00d00113 li sp,13 - 109d4: 0020bf33 sltu t5,ra,sp - 109d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 109dc: 00200293 li t0,2 - 109e0: fe5212e3 bne tp,t0,109c4 - 109e4: 00100e93 li t4,1 - 109e8: 25700193 li gp,599 - 109ec: 01df0463 beq t5,t4,109f4 - 109f0: 3f40206f j 12de4 - -000109f4 : - 109f4: 00000213 li tp,0 - 109f8: 00d00113 li sp,13 - 109fc: 01100093 li ra,17 - 10a00: 0020bf33 sltu t5,ra,sp - 10a04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a08: 00200293 li t0,2 - 10a0c: fe5216e3 bne tp,t0,109f8 - 10a10: 00000e93 li t4,0 - 10a14: 25900193 li gp,601 - 10a18: 01df0463 beq t5,t4,10a20 - 10a1c: 3c80206f j 12de4 - -00010a20 : - 10a20: 00000213 li tp,0 - 10a24: 00d00113 li sp,13 - 10a28: 00800093 li ra,8 - 10a2c: 00000013 nop - 10a30: 0020bf33 sltu t5,ra,sp - 10a34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a38: 00200293 li t0,2 - 10a3c: fe5214e3 bne tp,t0,10a24 - 10a40: 00100e93 li t4,1 - 10a44: 25a00193 li gp,602 - 10a48: 01df0463 beq t5,t4,10a50 - 10a4c: 3980206f j 12de4 - -00010a50 : - 10a50: 00000213 li tp,0 - 10a54: 00d00113 li sp,13 - 10a58: 01200093 li ra,18 - 10a5c: 00000013 nop - 10a60: 00000013 nop - 10a64: 0020bf33 sltu t5,ra,sp - 10a68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a6c: 00200293 li t0,2 - 10a70: fe5212e3 bne tp,t0,10a54 - 10a74: 00000e93 li t4,0 - 10a78: 25b00193 li gp,603 - 10a7c: 01df0463 beq t5,t4,10a84 - 10a80: 3640206f j 12de4 - -00010a84 : - 10a84: 00000213 li tp,0 - 10a88: 00d00113 li sp,13 - 10a8c: 00000013 nop - 10a90: 00700093 li ra,7 - 10a94: 0020bf33 sltu t5,ra,sp - 10a98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10a9c: 00200293 li t0,2 - 10aa0: fe5214e3 bne tp,t0,10a88 - 10aa4: 00100e93 li t4,1 - 10aa8: 25c00193 li gp,604 - 10aac: 01df0463 beq t5,t4,10ab4 - 10ab0: 3340206f j 12de4 - -00010ab4 : - 10ab4: 00000213 li tp,0 - 10ab8: 00d00113 li sp,13 - 10abc: 00000013 nop - 10ac0: 01300093 li ra,19 - 10ac4: 00000013 nop - 10ac8: 0020bf33 sltu t5,ra,sp - 10acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ad0: 00200293 li t0,2 - 10ad4: fe5212e3 bne tp,t0,10ab8 - 10ad8: 00000e93 li t4,0 - 10adc: 25d00193 li gp,605 - 10ae0: 01df0463 beq t5,t4,10ae8 - 10ae4: 3000206f j 12de4 - -00010ae8 : - 10ae8: 00000213 li tp,0 - 10aec: 00d00113 li sp,13 - 10af0: 00000013 nop - 10af4: 00000013 nop - 10af8: 00600093 li ra,6 - 10afc: 0020bf33 sltu t5,ra,sp - 10b00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10b04: 00200293 li t0,2 - 10b08: fe5212e3 bne tp,t0,10aec - 10b0c: 00100e93 li t4,1 - 10b10: 25e00193 li gp,606 - 10b14: 01df0463 beq t5,t4,10b1c - 10b18: 2cc0206f j 12de4 - -00010b1c : - 10b1c: fff00093 li ra,-1 - 10b20: 00103133 snez sp,ra - 10b24: 00100e93 li t4,1 - 10b28: 25f00193 li gp,607 - 10b2c: 01d10463 beq sp,t4,10b34 - 10b30: 2b40206f j 12de4 - -00010b34 : - 10b34: fff00093 li ra,-1 - 10b38: 0000b133 sltu sp,ra,zero - 10b3c: 00000e93 li t4,0 - 10b40: 26000193 li gp,608 - 10b44: 01d10463 beq sp,t4,10b4c - 10b48: 29c0206f j 12de4 - -00010b4c : - 10b4c: 000030b3 snez ra,zero - 10b50: 00000e93 li t4,0 - 10b54: 26100193 li gp,609 - 10b58: 01d08463 beq ra,t4,10b60 - 10b5c: 2880206f j 12de4 - -00010b60 : - 10b60: 01000093 li ra,16 - 10b64: 01e00113 li sp,30 - 10b68: 0020b033 sltu zero,ra,sp - 10b6c: 00000e93 li t4,0 - 10b70: 26200193 li gp,610 - 10b74: 01d00463 beq zero,t4,10b7c - 10b78: 26c0206f j 12de4 - -00010b7c : - 10b7c: 800000b7 lui ra,0x80000 - 10b80: 00000113 li sp,0 - 10b84: 4020df33 sra t5,ra,sp - 10b88: 80000eb7 lui t4,0x80000 - 10b8c: 26300193 li gp,611 - 10b90: 01df0463 beq t5,t4,10b98 - 10b94: 2500206f j 12de4 - -00010b98 : - 10b98: 800000b7 lui ra,0x80000 - 10b9c: 00100113 li sp,1 - 10ba0: 4020df33 sra t5,ra,sp - 10ba4: c0000eb7 lui t4,0xc0000 - 10ba8: 26400193 li gp,612 - 10bac: 01df0463 beq t5,t4,10bb4 - 10bb0: 2340206f j 12de4 - -00010bb4 : - 10bb4: 800000b7 lui ra,0x80000 - 10bb8: 00700113 li sp,7 - 10bbc: 4020df33 sra t5,ra,sp - 10bc0: ff000eb7 lui t4,0xff000 - 10bc4: 26500193 li gp,613 - 10bc8: 01df0463 beq t5,t4,10bd0 - 10bcc: 2180206f j 12de4 - -00010bd0 : - 10bd0: 800000b7 lui ra,0x80000 - 10bd4: 00e00113 li sp,14 - 10bd8: 4020df33 sra t5,ra,sp - 10bdc: fffe0eb7 lui t4,0xfffe0 - 10be0: 26600193 li gp,614 - 10be4: 01df0463 beq t5,t4,10bec - 10be8: 1fc0206f j 12de4 - -00010bec : - 10bec: 800000b7 lui ra,0x80000 - 10bf0: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 10bf4: 01f00113 li sp,31 - 10bf8: 4020df33 sra t5,ra,sp - 10bfc: fff00e93 li t4,-1 - 10c00: 26700193 li gp,615 - 10c04: 01df0463 beq t5,t4,10c0c - 10c08: 1dc0206f j 12de4 - -00010c0c : - 10c0c: 800000b7 lui ra,0x80000 - 10c10: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c14: 00000113 li sp,0 - 10c18: 4020df33 sra t5,ra,sp - 10c1c: 80000eb7 lui t4,0x80000 - 10c20: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c24: 26800193 li gp,616 - 10c28: 01df0463 beq t5,t4,10c30 - 10c2c: 1b80206f j 12de4 - -00010c30 : - 10c30: 800000b7 lui ra,0x80000 - 10c34: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c38: 00100113 li sp,1 - 10c3c: 4020df33 sra t5,ra,sp - 10c40: 40000eb7 lui t4,0x40000 - 10c44: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> - 10c48: 26900193 li gp,617 - 10c4c: 01df0463 beq t5,t4,10c54 - 10c50: 1940206f j 12de4 - -00010c54 : - 10c54: 800000b7 lui ra,0x80000 - 10c58: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c5c: 00700113 li sp,7 - 10c60: 4020df33 sra t5,ra,sp - 10c64: 01000eb7 lui t4,0x1000 - 10c68: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> - 10c6c: 26a00193 li gp,618 - 10c70: 01df0463 beq t5,t4,10c78 - 10c74: 1700206f j 12de4 - -00010c78 : - 10c78: 800000b7 lui ra,0x80000 - 10c7c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10c80: 00e00113 li sp,14 - 10c84: 4020df33 sra t5,ra,sp - 10c88: 00020eb7 lui t4,0x20 - 10c8c: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> - 10c90: 26b00193 li gp,619 - 10c94: 01df0463 beq t5,t4,10c9c - 10c98: 14c0206f j 12de4 - -00010c9c : - 10c9c: 800000b7 lui ra,0x80000 - 10ca0: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 10ca4: 01f00113 li sp,31 - 10ca8: 4020df33 sra t5,ra,sp - 10cac: 00000e93 li t4,0 - 10cb0: 26c00193 li gp,620 - 10cb4: 01df0463 beq t5,t4,10cbc - 10cb8: 12c0206f j 12de4 - -00010cbc : - 10cbc: 818180b7 lui ra,0x81818 - 10cc0: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10cc4: 00000113 li sp,0 - 10cc8: 4020df33 sra t5,ra,sp - 10ccc: 81818eb7 lui t4,0x81818 - 10cd0: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 10cd4: 26d00193 li gp,621 - 10cd8: 01df0463 beq t5,t4,10ce0 - 10cdc: 1080206f j 12de4 - -00010ce0 : - 10ce0: 818180b7 lui ra,0x81818 - 10ce4: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10ce8: 00100113 li sp,1 - 10cec: 4020df33 sra t5,ra,sp - 10cf0: c0c0ceb7 lui t4,0xc0c0c - 10cf4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 10cf8: 26e00193 li gp,622 - 10cfc: 01df0463 beq t5,t4,10d04 - 10d00: 0e40206f j 12de4 - -00010d04 : - 10d04: 818180b7 lui ra,0x81818 - 10d08: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d0c: 00700113 li sp,7 - 10d10: 4020df33 sra t5,ra,sp - 10d14: ff030eb7 lui t4,0xff030 - 10d18: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 10d1c: 26f00193 li gp,623 - 10d20: 01df0463 beq t5,t4,10d28 - 10d24: 0c00206f j 12de4 - -00010d28 : - 10d28: 818180b7 lui ra,0x81818 - 10d2c: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d30: 00e00113 li sp,14 - 10d34: 4020df33 sra t5,ra,sp - 10d38: fffe0eb7 lui t4,0xfffe0 - 10d3c: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 10d40: 27000193 li gp,624 - 10d44: 01df0463 beq t5,t4,10d4c - 10d48: 09c0206f j 12de4 - -00010d4c : - 10d4c: 818180b7 lui ra,0x81818 - 10d50: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d54: 01f00113 li sp,31 - 10d58: 4020df33 sra t5,ra,sp - 10d5c: fff00e93 li t4,-1 - 10d60: 27100193 li gp,625 - 10d64: 01df0463 beq t5,t4,10d6c - 10d68: 07c0206f j 12de4 - -00010d6c : - 10d6c: 818180b7 lui ra,0x81818 - 10d70: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d74: fc000113 li sp,-64 - 10d78: 4020df33 sra t5,ra,sp - 10d7c: 81818eb7 lui t4,0x81818 - 10d80: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 10d84: 27200193 li gp,626 - 10d88: 01df0463 beq t5,t4,10d90 - 10d8c: 0580206f j 12de4 - -00010d90 : - 10d90: 818180b7 lui ra,0x81818 - 10d94: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10d98: fc100113 li sp,-63 - 10d9c: 4020df33 sra t5,ra,sp - 10da0: c0c0ceb7 lui t4,0xc0c0c - 10da4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 10da8: 27300193 li gp,627 - 10dac: 01df0463 beq t5,t4,10db4 - 10db0: 0340206f j 12de4 - -00010db4 : - 10db4: 818180b7 lui ra,0x81818 - 10db8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10dbc: fc700113 li sp,-57 - 10dc0: 4020df33 sra t5,ra,sp - 10dc4: ff030eb7 lui t4,0xff030 - 10dc8: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 10dcc: 27400193 li gp,628 - 10dd0: 01df0463 beq t5,t4,10dd8 - 10dd4: 0100206f j 12de4 - -00010dd8 : - 10dd8: 818180b7 lui ra,0x81818 - 10ddc: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10de0: fce00113 li sp,-50 - 10de4: 4020df33 sra t5,ra,sp - 10de8: fffe0eb7 lui t4,0xfffe0 - 10dec: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 10df0: 27500193 li gp,629 - 10df4: 01df0463 beq t5,t4,10dfc - 10df8: 7ed0106f j 12de4 - -00010dfc : - 10dfc: 818180b7 lui ra,0x81818 - 10e00: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 10e04: fff00113 li sp,-1 - 10e08: 4020df33 sra t5,ra,sp - 10e0c: fff00e93 li t4,-1 - 10e10: 27600193 li gp,630 - 10e14: 01df0463 beq t5,t4,10e1c - 10e18: 7cd0106f j 12de4 - -00010e1c : - 10e1c: 800000b7 lui ra,0x80000 - 10e20: 00700113 li sp,7 - 10e24: 4020d0b3 sra ra,ra,sp - 10e28: ff000eb7 lui t4,0xff000 - 10e2c: 27700193 li gp,631 - 10e30: 01d08463 beq ra,t4,10e38 - 10e34: 7b10106f j 12de4 - -00010e38 : - 10e38: 800000b7 lui ra,0x80000 - 10e3c: 00e00113 li sp,14 - 10e40: 4020d133 sra sp,ra,sp - 10e44: fffe0eb7 lui t4,0xfffe0 - 10e48: 27800193 li gp,632 - 10e4c: 01d10463 beq sp,t4,10e54 - 10e50: 7950106f j 12de4 - -00010e54 : - 10e54: 00700093 li ra,7 - 10e58: 4010d0b3 sra ra,ra,ra - 10e5c: 00000e93 li t4,0 - 10e60: 27900193 li gp,633 - 10e64: 01d08463 beq ra,t4,10e6c - 10e68: 77d0106f j 12de4 - -00010e6c : - 10e6c: 00000213 li tp,0 - 10e70: 800000b7 lui ra,0x80000 - 10e74: 00700113 li sp,7 - 10e78: 4020df33 sra t5,ra,sp - 10e7c: 000f0313 mv t1,t5 - 10e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10e84: 00200293 li t0,2 - 10e88: fe5214e3 bne tp,t0,10e70 - 10e8c: ff000eb7 lui t4,0xff000 - 10e90: 27a00193 li gp,634 - 10e94: 01d30463 beq t1,t4,10e9c - 10e98: 74d0106f j 12de4 - -00010e9c : - 10e9c: 00000213 li tp,0 - 10ea0: 800000b7 lui ra,0x80000 - 10ea4: 00e00113 li sp,14 - 10ea8: 4020df33 sra t5,ra,sp - 10eac: 00000013 nop - 10eb0: 000f0313 mv t1,t5 - 10eb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10eb8: 00200293 li t0,2 - 10ebc: fe5212e3 bne tp,t0,10ea0 - 10ec0: fffe0eb7 lui t4,0xfffe0 - 10ec4: 27b00193 li gp,635 - 10ec8: 01d30463 beq t1,t4,10ed0 - 10ecc: 7190106f j 12de4 - -00010ed0 : - 10ed0: 00000213 li tp,0 - 10ed4: 800000b7 lui ra,0x80000 - 10ed8: 01f00113 li sp,31 - 10edc: 4020df33 sra t5,ra,sp - 10ee0: 00000013 nop - 10ee4: 00000013 nop - 10ee8: 000f0313 mv t1,t5 - 10eec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10ef0: 00200293 li t0,2 - 10ef4: fe5210e3 bne tp,t0,10ed4 - 10ef8: fff00e93 li t4,-1 - 10efc: 27c00193 li gp,636 - 10f00: 01d30463 beq t1,t4,10f08 - 10f04: 6e10106f j 12de4 - -00010f08 : - 10f08: 00000213 li tp,0 - 10f0c: 800000b7 lui ra,0x80000 - 10f10: 00700113 li sp,7 - 10f14: 4020df33 sra t5,ra,sp - 10f18: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f1c: 00200293 li t0,2 - 10f20: fe5216e3 bne tp,t0,10f0c - 10f24: ff000eb7 lui t4,0xff000 - 10f28: 27d00193 li gp,637 - 10f2c: 01df0463 beq t5,t4,10f34 - 10f30: 6b50106f j 12de4 - -00010f34 : - 10f34: 00000213 li tp,0 - 10f38: 800000b7 lui ra,0x80000 - 10f3c: 00e00113 li sp,14 - 10f40: 00000013 nop - 10f44: 4020df33 sra t5,ra,sp - 10f48: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f4c: 00200293 li t0,2 - 10f50: fe5214e3 bne tp,t0,10f38 - 10f54: fffe0eb7 lui t4,0xfffe0 - 10f58: 27e00193 li gp,638 - 10f5c: 01df0463 beq t5,t4,10f64 - 10f60: 6850106f j 12de4 - -00010f64 : - 10f64: 00000213 li tp,0 - 10f68: 800000b7 lui ra,0x80000 - 10f6c: 01f00113 li sp,31 - 10f70: 00000013 nop - 10f74: 00000013 nop - 10f78: 4020df33 sra t5,ra,sp - 10f7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10f80: 00200293 li t0,2 - 10f84: fe5212e3 bne tp,t0,10f68 - 10f88: fff00e93 li t4,-1 - 10f8c: 27f00193 li gp,639 - 10f90: 01df0463 beq t5,t4,10f98 - 10f94: 6510106f j 12de4 - -00010f98 : - 10f98: 00000213 li tp,0 - 10f9c: 800000b7 lui ra,0x80000 - 10fa0: 00000013 nop - 10fa4: 00700113 li sp,7 - 10fa8: 4020df33 sra t5,ra,sp - 10fac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fb0: 00200293 li t0,2 - 10fb4: fe5214e3 bne tp,t0,10f9c - 10fb8: ff000eb7 lui t4,0xff000 - 10fbc: 28000193 li gp,640 - 10fc0: 01df0463 beq t5,t4,10fc8 - 10fc4: 6210106f j 12de4 - -00010fc8 : - 10fc8: 00000213 li tp,0 - 10fcc: 800000b7 lui ra,0x80000 - 10fd0: 00000013 nop - 10fd4: 00e00113 li sp,14 - 10fd8: 00000013 nop - 10fdc: 4020df33 sra t5,ra,sp - 10fe0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 10fe4: 00200293 li t0,2 - 10fe8: fe5212e3 bne tp,t0,10fcc - 10fec: fffe0eb7 lui t4,0xfffe0 - 10ff0: 28100193 li gp,641 - 10ff4: 01df0463 beq t5,t4,10ffc - 10ff8: 5ed0106f j 12de4 - -00010ffc : - 10ffc: 00000213 li tp,0 - 11000: 800000b7 lui ra,0x80000 - 11004: 00000013 nop - 11008: 00000013 nop - 1100c: 01f00113 li sp,31 - 11010: 4020df33 sra t5,ra,sp - 11014: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11018: 00200293 li t0,2 - 1101c: fe5212e3 bne tp,t0,11000 - 11020: fff00e93 li t4,-1 - 11024: 28200193 li gp,642 - 11028: 01df0463 beq t5,t4,11030 - 1102c: 5b90106f j 12de4 - -00011030 : - 11030: 00000213 li tp,0 - 11034: 00700113 li sp,7 - 11038: 800000b7 lui ra,0x80000 - 1103c: 4020df33 sra t5,ra,sp - 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11044: 00200293 li t0,2 - 11048: fe5216e3 bne tp,t0,11034 - 1104c: ff000eb7 lui t4,0xff000 - 11050: 28300193 li gp,643 - 11054: 01df0463 beq t5,t4,1105c - 11058: 58d0106f j 12de4 - -0001105c : - 1105c: 00000213 li tp,0 - 11060: 00e00113 li sp,14 - 11064: 800000b7 lui ra,0x80000 - 11068: 00000013 nop - 1106c: 4020df33 sra t5,ra,sp - 11070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11074: 00200293 li t0,2 - 11078: fe5214e3 bne tp,t0,11060 - 1107c: fffe0eb7 lui t4,0xfffe0 - 11080: 28400193 li gp,644 - 11084: 01df0463 beq t5,t4,1108c - 11088: 55d0106f j 12de4 - -0001108c : - 1108c: 00000213 li tp,0 - 11090: 01f00113 li sp,31 - 11094: 800000b7 lui ra,0x80000 - 11098: 00000013 nop - 1109c: 00000013 nop - 110a0: 4020df33 sra t5,ra,sp - 110a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110a8: 00200293 li t0,2 - 110ac: fe5212e3 bne tp,t0,11090 - 110b0: fff00e93 li t4,-1 - 110b4: 28500193 li gp,645 - 110b8: 01df0463 beq t5,t4,110c0 - 110bc: 5290106f j 12de4 - -000110c0 : - 110c0: 00000213 li tp,0 - 110c4: 00700113 li sp,7 - 110c8: 00000013 nop - 110cc: 800000b7 lui ra,0x80000 - 110d0: 4020df33 sra t5,ra,sp - 110d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 110d8: 00200293 li t0,2 - 110dc: fe5214e3 bne tp,t0,110c4 - 110e0: ff000eb7 lui t4,0xff000 - 110e4: 28600193 li gp,646 - 110e8: 01df0463 beq t5,t4,110f0 - 110ec: 4f90106f j 12de4 - -000110f0 : - 110f0: 00000213 li tp,0 - 110f4: 00e00113 li sp,14 - 110f8: 00000013 nop - 110fc: 800000b7 lui ra,0x80000 - 11100: 00000013 nop - 11104: 4020df33 sra t5,ra,sp - 11108: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1110c: 00200293 li t0,2 - 11110: fe5212e3 bne tp,t0,110f4 - 11114: fffe0eb7 lui t4,0xfffe0 - 11118: 28700193 li gp,647 - 1111c: 01df0463 beq t5,t4,11124 - 11120: 4c50106f j 12de4 - -00011124 : - 11124: 00000213 li tp,0 - 11128: 01f00113 li sp,31 - 1112c: 00000013 nop - 11130: 00000013 nop - 11134: 800000b7 lui ra,0x80000 - 11138: 4020df33 sra t5,ra,sp - 1113c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11140: 00200293 li t0,2 - 11144: fe5212e3 bne tp,t0,11128 - 11148: fff00e93 li t4,-1 - 1114c: 28800193 li gp,648 - 11150: 01df0463 beq t5,t4,11158 - 11154: 4910106f j 12de4 - -00011158 : - 11158: 00f00093 li ra,15 - 1115c: 40105133 sra sp,zero,ra - 11160: 00000e93 li t4,0 - 11164: 28900193 li gp,649 - 11168: 01d10463 beq sp,t4,11170 - 1116c: 4790106f j 12de4 - -00011170 : - 11170: 02000093 li ra,32 - 11174: 4000d133 sra sp,ra,zero - 11178: 02000e93 li t4,32 - 1117c: 28a00193 li gp,650 - 11180: 01d10463 beq sp,t4,11188 - 11184: 4610106f j 12de4 - -00011188 : - 11188: 400050b3 sra ra,zero,zero - 1118c: 00000e93 li t4,0 - 11190: 28b00193 li gp,651 - 11194: 01d08463 beq ra,t4,1119c - 11198: 44d0106f j 12de4 - -0001119c : - 1119c: 40000093 li ra,1024 - 111a0: 00001137 lui sp,0x1 - 111a4: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 111a8: 4020d033 sra zero,ra,sp - 111ac: 00000e93 li t4,0 - 111b0: 28c00193 li gp,652 - 111b4: 01d00463 beq zero,t4,111bc - 111b8: 42d0106f j 12de4 - -000111bc : - 111bc: 00000093 li ra,0 - 111c0: 4000df13 srai t5,ra,0x0 - 111c4: 00000e93 li t4,0 - 111c8: 28d00193 li gp,653 - 111cc: 01df0463 beq t5,t4,111d4 - 111d0: 4150106f j 12de4 - -000111d4 : - 111d4: 800000b7 lui ra,0x80000 - 111d8: 4010df13 srai t5,ra,0x1 - 111dc: c0000eb7 lui t4,0xc0000 - 111e0: 28e00193 li gp,654 - 111e4: 01df0463 beq t5,t4,111ec - 111e8: 3fd0106f j 12de4 - -000111ec : - 111ec: 800000b7 lui ra,0x80000 - 111f0: 4070df13 srai t5,ra,0x7 - 111f4: ff000eb7 lui t4,0xff000 - 111f8: 28f00193 li gp,655 - 111fc: 01df0463 beq t5,t4,11204 - 11200: 3e50106f j 12de4 - -00011204 : - 11204: 800000b7 lui ra,0x80000 - 11208: 40e0df13 srai t5,ra,0xe - 1120c: fffe0eb7 lui t4,0xfffe0 - 11210: 29000193 li gp,656 - 11214: 01df0463 beq t5,t4,1121c - 11218: 3cd0106f j 12de4 - -0001121c : - 1121c: 800000b7 lui ra,0x80000 - 11220: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11224: 41f0df13 srai t5,ra,0x1f - 11228: fff00e93 li t4,-1 - 1122c: 29100193 li gp,657 - 11230: 01df0463 beq t5,t4,11238 - 11234: 3b10106f j 12de4 - -00011238 : - 11238: 800000b7 lui ra,0x80000 - 1123c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11240: 4010df13 srai t5,ra,0x1 - 11244: 40000eb7 lui t4,0x40000 - 11248: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> - 1124c: 29200193 li gp,658 - 11250: 01df0463 beq t5,t4,11258 - 11254: 3910106f j 12de4 - -00011258 : - 11258: 800000b7 lui ra,0x80000 - 1125c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11260: 4070df13 srai t5,ra,0x7 - 11264: 01000eb7 lui t4,0x1000 - 11268: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> - 1126c: 29300193 li gp,659 - 11270: 01df0463 beq t5,t4,11278 - 11274: 3710106f j 12de4 - -00011278 : - 11278: 800000b7 lui ra,0x80000 - 1127c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11280: 40e0df13 srai t5,ra,0xe - 11284: 00020eb7 lui t4,0x20 - 11288: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> - 1128c: 29400193 li gp,660 - 11290: 01df0463 beq t5,t4,11298 - 11294: 3510106f j 12de4 - -00011298 : - 11298: 800000b7 lui ra,0x80000 - 1129c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 112a0: 41f0df13 srai t5,ra,0x1f - 112a4: 00000e93 li t4,0 - 112a8: 29500193 li gp,661 - 112ac: 01df0463 beq t5,t4,112b4 - 112b0: 3350106f j 12de4 - -000112b4 : - 112b4: 818180b7 lui ra,0x81818 - 112b8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112bc: 4000df13 srai t5,ra,0x0 - 112c0: 81818eb7 lui t4,0x81818 - 112c4: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> - 112c8: 29600193 li gp,662 - 112cc: 01df0463 beq t5,t4,112d4 - 112d0: 3150106f j 12de4 - -000112d4 : - 112d4: 818180b7 lui ra,0x81818 - 112d8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112dc: 4010df13 srai t5,ra,0x1 - 112e0: c0c0ceb7 lui t4,0xc0c0c - 112e4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> - 112e8: 29700193 li gp,663 - 112ec: 01df0463 beq t5,t4,112f4 - 112f0: 2f50106f j 12de4 - -000112f4 : - 112f4: 818180b7 lui ra,0x81818 - 112f8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 112fc: 4070df13 srai t5,ra,0x7 - 11300: ff030eb7 lui t4,0xff030 - 11304: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> - 11308: 29800193 li gp,664 - 1130c: 01df0463 beq t5,t4,11314 - 11310: 2d50106f j 12de4 - -00011314 : - 11314: 818180b7 lui ra,0x81818 - 11318: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 1131c: 40e0df13 srai t5,ra,0xe - 11320: fffe0eb7 lui t4,0xfffe0 - 11324: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> - 11328: 29900193 li gp,665 - 1132c: 01df0463 beq t5,t4,11334 - 11330: 2b50106f j 12de4 - -00011334 : - 11334: 818180b7 lui ra,0x81818 - 11338: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> - 1133c: 41f0df13 srai t5,ra,0x1f - 11340: fff00e93 li t4,-1 - 11344: 29a00193 li gp,666 - 11348: 01df0463 beq t5,t4,11350 - 1134c: 2990106f j 12de4 - -00011350 : - 11350: 800000b7 lui ra,0x80000 - 11354: 4070d093 srai ra,ra,0x7 - 11358: ff000eb7 lui t4,0xff000 - 1135c: 29b00193 li gp,667 - 11360: 01d08463 beq ra,t4,11368 - 11364: 2810106f j 12de4 - -00011368 : - 11368: 00000213 li tp,0 - 1136c: 800000b7 lui ra,0x80000 - 11370: 4070df13 srai t5,ra,0x7 - 11374: 000f0313 mv t1,t5 - 11378: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1137c: 00200293 li t0,2 - 11380: fe5216e3 bne tp,t0,1136c - 11384: ff000eb7 lui t4,0xff000 - 11388: 29c00193 li gp,668 - 1138c: 01d30463 beq t1,t4,11394 - 11390: 2550106f j 12de4 - -00011394 : - 11394: 00000213 li tp,0 - 11398: 800000b7 lui ra,0x80000 - 1139c: 40e0df13 srai t5,ra,0xe - 113a0: 00000013 nop - 113a4: 000f0313 mv t1,t5 - 113a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 113ac: 00200293 li t0,2 - 113b0: fe5214e3 bne tp,t0,11398 - 113b4: fffe0eb7 lui t4,0xfffe0 - 113b8: 29d00193 li gp,669 - 113bc: 01d30463 beq t1,t4,113c4 - 113c0: 2250106f j 12de4 - -000113c4 : - 113c4: 00000213 li tp,0 - 113c8: 800000b7 lui ra,0x80000 - 113cc: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 113d0: 41f0df13 srai t5,ra,0x1f - 113d4: 00000013 nop - 113d8: 00000013 nop - 113dc: 000f0313 mv t1,t5 - 113e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 113e4: 00200293 li t0,2 - 113e8: fe5210e3 bne tp,t0,113c8 - 113ec: fff00e93 li t4,-1 - 113f0: 29e00193 li gp,670 - 113f4: 01d30463 beq t1,t4,113fc - 113f8: 1ed0106f j 12de4 - -000113fc : - 113fc: 00000213 li tp,0 - 11400: 800000b7 lui ra,0x80000 - 11404: 4070df13 srai t5,ra,0x7 - 11408: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1140c: 00200293 li t0,2 - 11410: fe5218e3 bne tp,t0,11400 - 11414: ff000eb7 lui t4,0xff000 - 11418: 29f00193 li gp,671 - 1141c: 01df0463 beq t5,t4,11424 - 11420: 1c50106f j 12de4 - -00011424 : - 11424: 00000213 li tp,0 - 11428: 800000b7 lui ra,0x80000 - 1142c: 00000013 nop - 11430: 40e0df13 srai t5,ra,0xe - 11434: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11438: 00200293 li t0,2 - 1143c: fe5216e3 bne tp,t0,11428 - 11440: fffe0eb7 lui t4,0xfffe0 - 11444: 2a000193 li gp,672 - 11448: 01df0463 beq t5,t4,11450 - 1144c: 1990106f j 12de4 - -00011450 : - 11450: 00000213 li tp,0 - 11454: 800000b7 lui ra,0x80000 - 11458: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 1145c: 00000013 nop - 11460: 00000013 nop - 11464: 41f0df13 srai t5,ra,0x1f - 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1146c: 00200293 li t0,2 - 11470: fe5212e3 bne tp,t0,11454 - 11474: fff00e93 li t4,-1 - 11478: 2a100193 li gp,673 - 1147c: 01df0463 beq t5,t4,11484 - 11480: 1650106f j 12de4 - -00011484 : - 11484: 40405093 srai ra,zero,0x4 - 11488: 00000e93 li t4,0 - 1148c: 2a200193 li gp,674 - 11490: 01d08463 beq ra,t4,11498 - 11494: 1510106f j 12de4 - -00011498 : - 11498: 02100093 li ra,33 - 1149c: 40a0d013 srai zero,ra,0xa - 114a0: 00000e93 li t4,0 - 114a4: 2a300193 li gp,675 - 114a8: 01d00463 beq zero,t4,114b0 - 114ac: 1390106f j 12de4 - -000114b0 : - 114b0: 800000b7 lui ra,0x80000 - 114b4: 00000113 li sp,0 - 114b8: 0020df33 srl t5,ra,sp - 114bc: 80000eb7 lui t4,0x80000 - 114c0: 2a400193 li gp,676 - 114c4: 01df0463 beq t5,t4,114cc - 114c8: 11d0106f j 12de4 - -000114cc : - 114cc: 800000b7 lui ra,0x80000 - 114d0: 00100113 li sp,1 - 114d4: 0020df33 srl t5,ra,sp - 114d8: 40000eb7 lui t4,0x40000 - 114dc: 2a500193 li gp,677 - 114e0: 01df0463 beq t5,t4,114e8 - 114e4: 1010106f j 12de4 - -000114e8 : - 114e8: 800000b7 lui ra,0x80000 - 114ec: 00700113 li sp,7 - 114f0: 0020df33 srl t5,ra,sp - 114f4: 01000eb7 lui t4,0x1000 - 114f8: 2a600193 li gp,678 - 114fc: 01df0463 beq t5,t4,11504 - 11500: 0e50106f j 12de4 - -00011504 : - 11504: 800000b7 lui ra,0x80000 - 11508: 00e00113 li sp,14 - 1150c: 0020df33 srl t5,ra,sp - 11510: 00020eb7 lui t4,0x20 - 11514: 2a700193 li gp,679 - 11518: 01df0463 beq t5,t4,11520 - 1151c: 0c90106f j 12de4 - -00011520 : - 11520: 800000b7 lui ra,0x80000 - 11524: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11528: 01f00113 li sp,31 - 1152c: 0020df33 srl t5,ra,sp - 11530: 00100e93 li t4,1 - 11534: 2a800193 li gp,680 - 11538: 01df0463 beq t5,t4,11540 - 1153c: 0a90106f j 12de4 - -00011540 : - 11540: fff00093 li ra,-1 - 11544: 00000113 li sp,0 - 11548: 0020df33 srl t5,ra,sp - 1154c: fff00e93 li t4,-1 - 11550: 2a900193 li gp,681 - 11554: 01df0463 beq t5,t4,1155c - 11558: 08d0106f j 12de4 - -0001155c : - 1155c: fff00093 li ra,-1 - 11560: 00100113 li sp,1 - 11564: 0020df33 srl t5,ra,sp - 11568: 80000eb7 lui t4,0x80000 - 1156c: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11570: 2aa00193 li gp,682 - 11574: 01df0463 beq t5,t4,1157c - 11578: 06d0106f j 12de4 - -0001157c : - 1157c: fff00093 li ra,-1 - 11580: 00700113 li sp,7 - 11584: 0020df33 srl t5,ra,sp - 11588: 02000eb7 lui t4,0x2000 - 1158c: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> - 11590: 2ab00193 li gp,683 - 11594: 01df0463 beq t5,t4,1159c - 11598: 04d0106f j 12de4 - -0001159c : - 1159c: fff00093 li ra,-1 - 115a0: 00e00113 li sp,14 - 115a4: 0020df33 srl t5,ra,sp - 115a8: 00040eb7 lui t4,0x40 - 115ac: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> - 115b0: 2ac00193 li gp,684 - 115b4: 01df0463 beq t5,t4,115bc - 115b8: 02d0106f j 12de4 - -000115bc : - 115bc: fff00093 li ra,-1 - 115c0: 01f00113 li sp,31 - 115c4: 0020df33 srl t5,ra,sp - 115c8: 00100e93 li t4,1 - 115cc: 2ad00193 li gp,685 - 115d0: 01df0463 beq t5,t4,115d8 - 115d4: 0110106f j 12de4 - -000115d8 : - 115d8: 212120b7 lui ra,0x21212 - 115dc: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 115e0: 00000113 li sp,0 - 115e4: 0020df33 srl t5,ra,sp - 115e8: 21212eb7 lui t4,0x21212 - 115ec: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 115f0: 2ae00193 li gp,686 - 115f4: 01df0463 beq t5,t4,115fc - 115f8: 7ec0106f j 12de4 - -000115fc : - 115fc: 212120b7 lui ra,0x21212 - 11600: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11604: 00100113 li sp,1 - 11608: 0020df33 srl t5,ra,sp - 1160c: 10909eb7 lui t4,0x10909 - 11610: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 11614: 2af00193 li gp,687 - 11618: 01df0463 beq t5,t4,11620 - 1161c: 7c80106f j 12de4 - -00011620 : - 11620: 212120b7 lui ra,0x21212 - 11624: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11628: 00700113 li sp,7 - 1162c: 0020df33 srl t5,ra,sp - 11630: 00424eb7 lui t4,0x424 - 11634: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 11638: 2b000193 li gp,688 - 1163c: 01df0463 beq t5,t4,11644 - 11640: 7a40106f j 12de4 - -00011644 : - 11644: 212120b7 lui ra,0x21212 - 11648: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 1164c: 00e00113 li sp,14 - 11650: 0020df33 srl t5,ra,sp - 11654: 00008eb7 lui t4,0x8 - 11658: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 1165c: 2b100193 li gp,689 - 11660: 01df0463 beq t5,t4,11668 - 11664: 7800106f j 12de4 - -00011668 : - 11668: 212120b7 lui ra,0x21212 - 1166c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11670: 01f00113 li sp,31 - 11674: 0020df33 srl t5,ra,sp - 11678: 00000e93 li t4,0 - 1167c: 2b200193 li gp,690 - 11680: 01df0463 beq t5,t4,11688 - 11684: 7600106f j 12de4 - -00011688 : - 11688: 212120b7 lui ra,0x21212 - 1168c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11690: fc000113 li sp,-64 - 11694: 0020df33 srl t5,ra,sp - 11698: 21212eb7 lui t4,0x21212 - 1169c: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 116a0: 2b300193 li gp,691 - 116a4: 01df0463 beq t5,t4,116ac - 116a8: 73c0106f j 12de4 - -000116ac : - 116ac: 212120b7 lui ra,0x21212 - 116b0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116b4: fc100113 li sp,-63 - 116b8: 0020df33 srl t5,ra,sp - 116bc: 10909eb7 lui t4,0x10909 - 116c0: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 116c4: 2b400193 li gp,692 - 116c8: 01df0463 beq t5,t4,116d0 - 116cc: 7180106f j 12de4 - -000116d0 : - 116d0: 212120b7 lui ra,0x21212 - 116d4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116d8: fc700113 li sp,-57 - 116dc: 0020df33 srl t5,ra,sp - 116e0: 00424eb7 lui t4,0x424 - 116e4: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 116e8: 2b500193 li gp,693 - 116ec: 01df0463 beq t5,t4,116f4 - 116f0: 6f40106f j 12de4 - -000116f4 : - 116f4: 212120b7 lui ra,0x21212 - 116f8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 116fc: fce00113 li sp,-50 - 11700: 0020df33 srl t5,ra,sp - 11704: 00008eb7 lui t4,0x8 - 11708: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 1170c: 2b600193 li gp,694 - 11710: 01df0463 beq t5,t4,11718 - 11714: 6d00106f j 12de4 - -00011718 : - 11718: 212120b7 lui ra,0x21212 - 1171c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11720: fff00113 li sp,-1 - 11724: 0020df33 srl t5,ra,sp - 11728: 00000e93 li t4,0 - 1172c: 2b700193 li gp,695 - 11730: 01df0463 beq t5,t4,11738 - 11734: 6b00106f j 12de4 - -00011738 : - 11738: 800000b7 lui ra,0x80000 - 1173c: 00700113 li sp,7 - 11740: 0020d0b3 srl ra,ra,sp - 11744: 01000eb7 lui t4,0x1000 - 11748: 2b800193 li gp,696 - 1174c: 01d08463 beq ra,t4,11754 - 11750: 6940106f j 12de4 - -00011754 : - 11754: 800000b7 lui ra,0x80000 - 11758: 00e00113 li sp,14 - 1175c: 0020d133 srl sp,ra,sp - 11760: 00020eb7 lui t4,0x20 - 11764: 2b900193 li gp,697 - 11768: 01d10463 beq sp,t4,11770 - 1176c: 6780106f j 12de4 - -00011770 : - 11770: 00700093 li ra,7 - 11774: 0010d0b3 srl ra,ra,ra - 11778: 00000e93 li t4,0 - 1177c: 2ba00193 li gp,698 - 11780: 01d08463 beq ra,t4,11788 - 11784: 6600106f j 12de4 - -00011788 : - 11788: 00000213 li tp,0 - 1178c: 800000b7 lui ra,0x80000 - 11790: 00700113 li sp,7 - 11794: 0020df33 srl t5,ra,sp - 11798: 000f0313 mv t1,t5 - 1179c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117a0: 00200293 li t0,2 - 117a4: fe5214e3 bne tp,t0,1178c - 117a8: 01000eb7 lui t4,0x1000 - 117ac: 2bb00193 li gp,699 - 117b0: 01d30463 beq t1,t4,117b8 - 117b4: 6300106f j 12de4 - -000117b8 : - 117b8: 00000213 li tp,0 - 117bc: 800000b7 lui ra,0x80000 - 117c0: 00e00113 li sp,14 - 117c4: 0020df33 srl t5,ra,sp - 117c8: 00000013 nop - 117cc: 000f0313 mv t1,t5 - 117d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 117d4: 00200293 li t0,2 - 117d8: fe5212e3 bne tp,t0,117bc - 117dc: 00020eb7 lui t4,0x20 - 117e0: 2bc00193 li gp,700 - 117e4: 01d30463 beq t1,t4,117ec - 117e8: 5fc0106f j 12de4 - -000117ec : - 117ec: 00000213 li tp,0 - 117f0: 800000b7 lui ra,0x80000 - 117f4: 01f00113 li sp,31 - 117f8: 0020df33 srl t5,ra,sp - 117fc: 00000013 nop - 11800: 00000013 nop - 11804: 000f0313 mv t1,t5 - 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1180c: 00200293 li t0,2 - 11810: fe5210e3 bne tp,t0,117f0 - 11814: 00100e93 li t4,1 - 11818: 2bd00193 li gp,701 - 1181c: 01d30463 beq t1,t4,11824 - 11820: 5c40106f j 12de4 - -00011824 : - 11824: 00000213 li tp,0 - 11828: 800000b7 lui ra,0x80000 - 1182c: 00700113 li sp,7 - 11830: 0020df33 srl t5,ra,sp - 11834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11838: 00200293 li t0,2 - 1183c: fe5216e3 bne tp,t0,11828 - 11840: 01000eb7 lui t4,0x1000 - 11844: 2be00193 li gp,702 - 11848: 01df0463 beq t5,t4,11850 - 1184c: 5980106f j 12de4 - -00011850 : - 11850: 00000213 li tp,0 - 11854: 800000b7 lui ra,0x80000 - 11858: 00e00113 li sp,14 - 1185c: 00000013 nop - 11860: 0020df33 srl t5,ra,sp - 11864: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11868: 00200293 li t0,2 - 1186c: fe5214e3 bne tp,t0,11854 - 11870: 00020eb7 lui t4,0x20 - 11874: 2bf00193 li gp,703 - 11878: 01df0463 beq t5,t4,11880 - 1187c: 5680106f j 12de4 - -00011880 : - 11880: 00000213 li tp,0 - 11884: 800000b7 lui ra,0x80000 - 11888: 01f00113 li sp,31 - 1188c: 00000013 nop - 11890: 00000013 nop - 11894: 0020df33 srl t5,ra,sp - 11898: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1189c: 00200293 li t0,2 - 118a0: fe5212e3 bne tp,t0,11884 - 118a4: 00100e93 li t4,1 - 118a8: 2c000193 li gp,704 - 118ac: 01df0463 beq t5,t4,118b4 - 118b0: 5340106f j 12de4 - -000118b4 : - 118b4: 00000213 li tp,0 - 118b8: 800000b7 lui ra,0x80000 - 118bc: 00000013 nop - 118c0: 00700113 li sp,7 - 118c4: 0020df33 srl t5,ra,sp - 118c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 118cc: 00200293 li t0,2 - 118d0: fe5214e3 bne tp,t0,118b8 - 118d4: 01000eb7 lui t4,0x1000 - 118d8: 2c100193 li gp,705 - 118dc: 01df0463 beq t5,t4,118e4 - 118e0: 5040106f j 12de4 - -000118e4 : - 118e4: 00000213 li tp,0 - 118e8: 800000b7 lui ra,0x80000 - 118ec: 00000013 nop - 118f0: 00e00113 li sp,14 - 118f4: 00000013 nop - 118f8: 0020df33 srl t5,ra,sp - 118fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11900: 00200293 li t0,2 - 11904: fe5212e3 bne tp,t0,118e8 - 11908: 00020eb7 lui t4,0x20 - 1190c: 2c200193 li gp,706 - 11910: 01df0463 beq t5,t4,11918 - 11914: 4d00106f j 12de4 - -00011918 : - 11918: 00000213 li tp,0 - 1191c: 800000b7 lui ra,0x80000 - 11920: 00000013 nop - 11924: 00000013 nop - 11928: 01f00113 li sp,31 - 1192c: 0020df33 srl t5,ra,sp - 11930: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11934: 00200293 li t0,2 - 11938: fe5212e3 bne tp,t0,1191c - 1193c: 00100e93 li t4,1 - 11940: 2c300193 li gp,707 - 11944: 01df0463 beq t5,t4,1194c - 11948: 49c0106f j 12de4 - -0001194c : - 1194c: 00000213 li tp,0 - 11950: 00700113 li sp,7 - 11954: 800000b7 lui ra,0x80000 - 11958: 0020df33 srl t5,ra,sp - 1195c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11960: 00200293 li t0,2 - 11964: fe5216e3 bne tp,t0,11950 - 11968: 01000eb7 lui t4,0x1000 - 1196c: 2c400193 li gp,708 - 11970: 01df0463 beq t5,t4,11978 - 11974: 4700106f j 12de4 - -00011978 : - 11978: 00000213 li tp,0 - 1197c: 00e00113 li sp,14 - 11980: 800000b7 lui ra,0x80000 - 11984: 00000013 nop - 11988: 0020df33 srl t5,ra,sp - 1198c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11990: 00200293 li t0,2 - 11994: fe5214e3 bne tp,t0,1197c - 11998: 00020eb7 lui t4,0x20 - 1199c: 2c500193 li gp,709 - 119a0: 01df0463 beq t5,t4,119a8 - 119a4: 4400106f j 12de4 - -000119a8 : - 119a8: 00000213 li tp,0 - 119ac: 01f00113 li sp,31 - 119b0: 800000b7 lui ra,0x80000 - 119b4: 00000013 nop - 119b8: 00000013 nop - 119bc: 0020df33 srl t5,ra,sp - 119c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119c4: 00200293 li t0,2 - 119c8: fe5212e3 bne tp,t0,119ac - 119cc: 00100e93 li t4,1 - 119d0: 2c600193 li gp,710 - 119d4: 01df0463 beq t5,t4,119dc - 119d8: 40c0106f j 12de4 - -000119dc : - 119dc: 00000213 li tp,0 - 119e0: 00700113 li sp,7 - 119e4: 00000013 nop - 119e8: 800000b7 lui ra,0x80000 - 119ec: 0020df33 srl t5,ra,sp - 119f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 119f4: 00200293 li t0,2 - 119f8: fe5214e3 bne tp,t0,119e0 - 119fc: 01000eb7 lui t4,0x1000 - 11a00: 2c700193 li gp,711 - 11a04: 01df0463 beq t5,t4,11a0c - 11a08: 3dc0106f j 12de4 - -00011a0c : - 11a0c: 00000213 li tp,0 - 11a10: 00e00113 li sp,14 - 11a14: 00000013 nop - 11a18: 800000b7 lui ra,0x80000 - 11a1c: 00000013 nop - 11a20: 0020df33 srl t5,ra,sp - 11a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11a28: 00200293 li t0,2 - 11a2c: fe5212e3 bne tp,t0,11a10 - 11a30: 00020eb7 lui t4,0x20 - 11a34: 2c800193 li gp,712 - 11a38: 01df0463 beq t5,t4,11a40 - 11a3c: 3a80106f j 12de4 - -00011a40 : - 11a40: 00000213 li tp,0 - 11a44: 01f00113 li sp,31 - 11a48: 00000013 nop - 11a4c: 00000013 nop - 11a50: 800000b7 lui ra,0x80000 - 11a54: 0020df33 srl t5,ra,sp - 11a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11a5c: 00200293 li t0,2 - 11a60: fe5212e3 bne tp,t0,11a44 - 11a64: 00100e93 li t4,1 - 11a68: 2c900193 li gp,713 - 11a6c: 01df0463 beq t5,t4,11a74 - 11a70: 3740106f j 12de4 - -00011a74 : - 11a74: 00f00093 li ra,15 - 11a78: 00105133 srl sp,zero,ra - 11a7c: 00000e93 li t4,0 - 11a80: 2ca00193 li gp,714 - 11a84: 01d10463 beq sp,t4,11a8c - 11a88: 35c0106f j 12de4 - -00011a8c : - 11a8c: 02000093 li ra,32 - 11a90: 0000d133 srl sp,ra,zero - 11a94: 02000e93 li t4,32 - 11a98: 2cb00193 li gp,715 - 11a9c: 01d10463 beq sp,t4,11aa4 - 11aa0: 3440106f j 12de4 - -00011aa4 : - 11aa4: 000050b3 srl ra,zero,zero - 11aa8: 00000e93 li t4,0 - 11aac: 2cc00193 li gp,716 - 11ab0: 01d08463 beq ra,t4,11ab8 - 11ab4: 3300106f j 12de4 - -00011ab8 : - 11ab8: 40000093 li ra,1024 - 11abc: 00001137 lui sp,0x1 - 11ac0: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> - 11ac4: 0020d033 srl zero,ra,sp - 11ac8: 00000e93 li t4,0 - 11acc: 2cd00193 li gp,717 - 11ad0: 01d00463 beq zero,t4,11ad8 - 11ad4: 3100106f j 12de4 - -00011ad8 : - 11ad8: 800000b7 lui ra,0x80000 - 11adc: 00000113 li sp,0 - 11ae0: 0020df33 srl t5,ra,sp - 11ae4: 80000eb7 lui t4,0x80000 - 11ae8: 2ce00193 li gp,718 - 11aec: 01df0463 beq t5,t4,11af4 - 11af0: 2f40106f j 12de4 - -00011af4 : - 11af4: 800000b7 lui ra,0x80000 - 11af8: 00100113 li sp,1 - 11afc: 0020df33 srl t5,ra,sp - 11b00: 40000eb7 lui t4,0x40000 - 11b04: 2cf00193 li gp,719 - 11b08: 01df0463 beq t5,t4,11b10 - 11b0c: 2d80106f j 12de4 - -00011b10 : - 11b10: 800000b7 lui ra,0x80000 - 11b14: 00700113 li sp,7 - 11b18: 0020df33 srl t5,ra,sp - 11b1c: 01000eb7 lui t4,0x1000 - 11b20: 2d000193 li gp,720 - 11b24: 01df0463 beq t5,t4,11b2c - 11b28: 2bc0106f j 12de4 - -00011b2c : - 11b2c: 800000b7 lui ra,0x80000 - 11b30: 00e00113 li sp,14 - 11b34: 0020df33 srl t5,ra,sp - 11b38: 00020eb7 lui t4,0x20 - 11b3c: 2d100193 li gp,721 - 11b40: 01df0463 beq t5,t4,11b48 - 11b44: 2a00106f j 12de4 - -00011b48 : - 11b48: 800000b7 lui ra,0x80000 - 11b4c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11b50: 01f00113 li sp,31 - 11b54: 0020df33 srl t5,ra,sp - 11b58: 00100e93 li t4,1 - 11b5c: 2d200193 li gp,722 - 11b60: 01df0463 beq t5,t4,11b68 - 11b64: 2800106f j 12de4 - -00011b68 : - 11b68: fff00093 li ra,-1 - 11b6c: 00000113 li sp,0 - 11b70: 0020df33 srl t5,ra,sp - 11b74: fff00e93 li t4,-1 - 11b78: 2d300193 li gp,723 - 11b7c: 01df0463 beq t5,t4,11b84 - 11b80: 2640106f j 12de4 - -00011b84 : - 11b84: fff00093 li ra,-1 - 11b88: 00100113 li sp,1 - 11b8c: 0020df33 srl t5,ra,sp - 11b90: 80000eb7 lui t4,0x80000 - 11b94: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11b98: 2d400193 li gp,724 - 11b9c: 01df0463 beq t5,t4,11ba4 - 11ba0: 2440106f j 12de4 - -00011ba4 : - 11ba4: fff00093 li ra,-1 - 11ba8: 00700113 li sp,7 - 11bac: 0020df33 srl t5,ra,sp - 11bb0: 02000eb7 lui t4,0x2000 - 11bb4: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> - 11bb8: 2d500193 li gp,725 - 11bbc: 01df0463 beq t5,t4,11bc4 - 11bc0: 2240106f j 12de4 - -00011bc4 : - 11bc4: fff00093 li ra,-1 - 11bc8: 00e00113 li sp,14 - 11bcc: 0020df33 srl t5,ra,sp - 11bd0: 00040eb7 lui t4,0x40 - 11bd4: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> - 11bd8: 2d600193 li gp,726 - 11bdc: 01df0463 beq t5,t4,11be4 - 11be0: 2040106f j 12de4 - -00011be4 : - 11be4: fff00093 li ra,-1 - 11be8: 01f00113 li sp,31 - 11bec: 0020df33 srl t5,ra,sp - 11bf0: 00100e93 li t4,1 - 11bf4: 2d700193 li gp,727 - 11bf8: 01df0463 beq t5,t4,11c00 - 11bfc: 1e80106f j 12de4 - -00011c00 : - 11c00: 212120b7 lui ra,0x21212 - 11c04: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c08: 00000113 li sp,0 - 11c0c: 0020df33 srl t5,ra,sp - 11c10: 21212eb7 lui t4,0x21212 - 11c14: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> - 11c18: 2d800193 li gp,728 - 11c1c: 01df0463 beq t5,t4,11c24 - 11c20: 1c40106f j 12de4 - -00011c24 : - 11c24: 212120b7 lui ra,0x21212 - 11c28: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c2c: 00100113 li sp,1 - 11c30: 0020df33 srl t5,ra,sp - 11c34: 10909eb7 lui t4,0x10909 - 11c38: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> - 11c3c: 2d900193 li gp,729 - 11c40: 01df0463 beq t5,t4,11c48 - 11c44: 1a00106f j 12de4 - -00011c48 : - 11c48: 212120b7 lui ra,0x21212 - 11c4c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c50: 00700113 li sp,7 - 11c54: 0020df33 srl t5,ra,sp - 11c58: 00424eb7 lui t4,0x424 - 11c5c: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> - 11c60: 2da00193 li gp,730 - 11c64: 01df0463 beq t5,t4,11c6c - 11c68: 17c0106f j 12de4 - -00011c6c : - 11c6c: 212120b7 lui ra,0x21212 - 11c70: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c74: 00e00113 li sp,14 - 11c78: 0020df33 srl t5,ra,sp - 11c7c: 00008eb7 lui t4,0x8 - 11c80: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> - 11c84: 2db00193 li gp,731 - 11c88: 01df0463 beq t5,t4,11c90 - 11c8c: 1580106f j 12de4 - -00011c90 : - 11c90: 212120b7 lui ra,0x21212 - 11c94: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> - 11c98: 01f00113 li sp,31 - 11c9c: 0020df33 srl t5,ra,sp - 11ca0: 00000e93 li t4,0 - 11ca4: 2dc00193 li gp,732 - 11ca8: 01df0463 beq t5,t4,11cb0 - 11cac: 1380106f j 12de4 - -00011cb0 : - 11cb0: 800000b7 lui ra,0x80000 - 11cb4: 0070d093 srli ra,ra,0x7 - 11cb8: 01000eb7 lui t4,0x1000 - 11cbc: 2dd00193 li gp,733 - 11cc0: 01d08463 beq ra,t4,11cc8 - 11cc4: 1200106f j 12de4 - -00011cc8 : - 11cc8: 00000213 li tp,0 - 11ccc: 800000b7 lui ra,0x80000 - 11cd0: 0070df13 srli t5,ra,0x7 - 11cd4: 000f0313 mv t1,t5 - 11cd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11cdc: 00200293 li t0,2 - 11ce0: fe5216e3 bne tp,t0,11ccc - 11ce4: 01000eb7 lui t4,0x1000 - 11ce8: 2de00193 li gp,734 - 11cec: 01d30463 beq t1,t4,11cf4 - 11cf0: 0f40106f j 12de4 - -00011cf4 : - 11cf4: 00000213 li tp,0 - 11cf8: 800000b7 lui ra,0x80000 - 11cfc: 00e0df13 srli t5,ra,0xe - 11d00: 00000013 nop - 11d04: 000f0313 mv t1,t5 - 11d08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d0c: 00200293 li t0,2 - 11d10: fe5214e3 bne tp,t0,11cf8 - 11d14: 00020eb7 lui t4,0x20 - 11d18: 2df00193 li gp,735 - 11d1c: 01d30463 beq t1,t4,11d24 - 11d20: 0c40106f j 12de4 - -00011d24 : - 11d24: 00000213 li tp,0 - 11d28: 800000b7 lui ra,0x80000 - 11d2c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11d30: 01f0df13 srli t5,ra,0x1f - 11d34: 00000013 nop - 11d38: 00000013 nop - 11d3c: 000f0313 mv t1,t5 - 11d40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d44: 00200293 li t0,2 - 11d48: fe5210e3 bne tp,t0,11d28 - 11d4c: 00100e93 li t4,1 - 11d50: 2e000193 li gp,736 - 11d54: 01d30463 beq t1,t4,11d5c - 11d58: 08c0106f j 12de4 - -00011d5c : - 11d5c: 00000213 li tp,0 - 11d60: 800000b7 lui ra,0x80000 - 11d64: 0070df13 srli t5,ra,0x7 - 11d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d6c: 00200293 li t0,2 - 11d70: fe5218e3 bne tp,t0,11d60 - 11d74: 01000eb7 lui t4,0x1000 - 11d78: 2e100193 li gp,737 - 11d7c: 01df0463 beq t5,t4,11d84 - 11d80: 0640106f j 12de4 - -00011d84 : - 11d84: 00000213 li tp,0 - 11d88: 800000b7 lui ra,0x80000 - 11d8c: 00000013 nop - 11d90: 00e0df13 srli t5,ra,0xe - 11d94: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11d98: 00200293 li t0,2 - 11d9c: fe5216e3 bne tp,t0,11d88 - 11da0: 00020eb7 lui t4,0x20 - 11da4: 2e200193 li gp,738 - 11da8: 01df0463 beq t5,t4,11db0 - 11dac: 0380106f j 12de4 - -00011db0 : - 11db0: 00000213 li tp,0 - 11db4: 800000b7 lui ra,0x80000 - 11db8: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> - 11dbc: 00000013 nop - 11dc0: 00000013 nop - 11dc4: 01f0df13 srli t5,ra,0x1f - 11dc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11dcc: 00200293 li t0,2 - 11dd0: fe5212e3 bne tp,t0,11db4 - 11dd4: 00100e93 li t4,1 - 11dd8: 2e300193 li gp,739 - 11ddc: 01df0463 beq t5,t4,11de4 - 11de0: 0040106f j 12de4 - -00011de4 : - 11de4: 00405093 srli ra,zero,0x4 - 11de8: 00000e93 li t4,0 - 11dec: 2e400193 li gp,740 - 11df0: 7fd09ae3 bne ra,t4,12de4 - -00011df4 : - 11df4: 02100093 li ra,33 - 11df8: 00a0d013 srli zero,ra,0xa - 11dfc: 00000e93 li t4,0 - 11e00: 2e500193 li gp,741 - 11e04: 7fd010e3 bne zero,t4,12de4 - -00011e08 : - 11e08: 00000093 li ra,0 - 11e0c: 00000113 li sp,0 - 11e10: 40208f33 sub t5,ra,sp - 11e14: 00000e93 li t4,0 - 11e18: 2e600193 li gp,742 - 11e1c: 7ddf14e3 bne t5,t4,12de4 - -00011e20 : - 11e20: 00100093 li ra,1 - 11e24: 00100113 li sp,1 - 11e28: 40208f33 sub t5,ra,sp - 11e2c: 00000e93 li t4,0 - 11e30: 2e700193 li gp,743 - 11e34: 7bdf18e3 bne t5,t4,12de4 - -00011e38 : - 11e38: 00300093 li ra,3 - 11e3c: 00700113 li sp,7 - 11e40: 40208f33 sub t5,ra,sp - 11e44: ffc00e93 li t4,-4 - 11e48: 2e800193 li gp,744 - 11e4c: 79df1ce3 bne t5,t4,12de4 - -00011e50 : - 11e50: 00000093 li ra,0 - 11e54: ffff8137 lui sp,0xffff8 - 11e58: 40208f33 sub t5,ra,sp - 11e5c: 00008eb7 lui t4,0x8 - 11e60: 2e900193 li gp,745 - 11e64: 79df10e3 bne t5,t4,12de4 - -00011e68 : - 11e68: 800000b7 lui ra,0x80000 - 11e6c: 00000113 li sp,0 - 11e70: 40208f33 sub t5,ra,sp - 11e74: 80000eb7 lui t4,0x80000 - 11e78: 2ea00193 li gp,746 - 11e7c: 77df14e3 bne t5,t4,12de4 - -00011e80 : - 11e80: 800000b7 lui ra,0x80000 - 11e84: ffff8137 lui sp,0xffff8 - 11e88: 40208f33 sub t5,ra,sp - 11e8c: 80008eb7 lui t4,0x80008 - 11e90: 2eb00193 li gp,747 - 11e94: 75df18e3 bne t5,t4,12de4 - -00011e98 : - 11e98: 00000093 li ra,0 - 11e9c: 00008137 lui sp,0x8 - 11ea0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11ea4: 40208f33 sub t5,ra,sp - 11ea8: ffff8eb7 lui t4,0xffff8 - 11eac: 001e8e93 addi t4,t4,1 # ffff8001 <__global_pointer$+0xfffe38f9> - 11eb0: 2ec00193 li gp,748 - 11eb4: 73df18e3 bne t5,t4,12de4 - -00011eb8 : - 11eb8: 800000b7 lui ra,0x80000 - 11ebc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ec0: 00000113 li sp,0 - 11ec4: 40208f33 sub t5,ra,sp - 11ec8: 80000eb7 lui t4,0x80000 - 11ecc: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ed0: 2ed00193 li gp,749 - 11ed4: 71df18e3 bne t5,t4,12de4 - -00011ed8 : - 11ed8: 800000b7 lui ra,0x80000 - 11edc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11ee0: 00008137 lui sp,0x8 - 11ee4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11ee8: 40208f33 sub t5,ra,sp - 11eec: 7fff8eb7 lui t4,0x7fff8 - 11ef0: 2ee00193 li gp,750 - 11ef4: 6fdf18e3 bne t5,t4,12de4 - -00011ef8 : - 11ef8: 800000b7 lui ra,0x80000 - 11efc: 00008137 lui sp,0x8 - 11f00: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> - 11f04: 40208f33 sub t5,ra,sp - 11f08: 7fff8eb7 lui t4,0x7fff8 - 11f0c: 001e8e93 addi t4,t4,1 # 7fff8001 <__global_pointer$+0x7ffe38f9> - 11f10: 2ef00193 li gp,751 - 11f14: 6ddf18e3 bne t5,t4,12de4 - -00011f18 : - 11f18: 800000b7 lui ra,0x80000 - 11f1c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> - 11f20: ffff8137 lui sp,0xffff8 - 11f24: 40208f33 sub t5,ra,sp - 11f28: 80008eb7 lui t4,0x80008 - 11f2c: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff38f7> - 11f30: 2f000193 li gp,752 - 11f34: 6bdf18e3 bne t5,t4,12de4 - -00011f38 : - 11f38: 00000093 li ra,0 - 11f3c: fff00113 li sp,-1 - 11f40: 40208f33 sub t5,ra,sp - 11f44: 00100e93 li t4,1 - 11f48: 2f100193 li gp,753 - 11f4c: 69df1ce3 bne t5,t4,12de4 - -00011f50 : - 11f50: fff00093 li ra,-1 - 11f54: 00100113 li sp,1 - 11f58: 40208f33 sub t5,ra,sp - 11f5c: ffe00e93 li t4,-2 - 11f60: 2f200193 li gp,754 - 11f64: 69df10e3 bne t5,t4,12de4 - -00011f68 : - 11f68: fff00093 li ra,-1 - 11f6c: fff00113 li sp,-1 - 11f70: 40208f33 sub t5,ra,sp - 11f74: 00000e93 li t4,0 - 11f78: 2f300193 li gp,755 - 11f7c: 67df14e3 bne t5,t4,12de4 - -00011f80 : - 11f80: 00d00093 li ra,13 - 11f84: 00b00113 li sp,11 - 11f88: 402080b3 sub ra,ra,sp - 11f8c: 00200e93 li t4,2 - 11f90: 2f400193 li gp,756 - 11f94: 65d098e3 bne ra,t4,12de4 - -00011f98 : - 11f98: 00e00093 li ra,14 - 11f9c: 00b00113 li sp,11 - 11fa0: 40208133 sub sp,ra,sp - 11fa4: 00300e93 li t4,3 - 11fa8: 2f500193 li gp,757 - 11fac: 63d11ce3 bne sp,t4,12de4 - -00011fb0 : - 11fb0: 00d00093 li ra,13 - 11fb4: 401080b3 sub ra,ra,ra - 11fb8: 00000e93 li t4,0 - 11fbc: 2f600193 li gp,758 - 11fc0: 63d092e3 bne ra,t4,12de4 - -00011fc4 : - 11fc4: 00000213 li tp,0 - 11fc8: 00d00093 li ra,13 - 11fcc: 00b00113 li sp,11 - 11fd0: 40208f33 sub t5,ra,sp - 11fd4: 000f0313 mv t1,t5 - 11fd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 11fdc: 00200293 li t0,2 - 11fe0: fe5214e3 bne tp,t0,11fc8 - 11fe4: 00200e93 li t4,2 - 11fe8: 2f700193 li gp,759 - 11fec: 5fd31ce3 bne t1,t4,12de4 - -00011ff0 : - 11ff0: 00000213 li tp,0 - 11ff4: 00e00093 li ra,14 - 11ff8: 00b00113 li sp,11 - 11ffc: 40208f33 sub t5,ra,sp - 12000: 00000013 nop - 12004: 000f0313 mv t1,t5 - 12008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1200c: 00200293 li t0,2 - 12010: fe5212e3 bne tp,t0,11ff4 - 12014: 00300e93 li t4,3 - 12018: 2f800193 li gp,760 - 1201c: 5dd314e3 bne t1,t4,12de4 - -00012020 : - 12020: 00000213 li tp,0 - 12024: 00f00093 li ra,15 - 12028: 00b00113 li sp,11 - 1202c: 40208f33 sub t5,ra,sp - 12030: 00000013 nop - 12034: 00000013 nop - 12038: 000f0313 mv t1,t5 - 1203c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12040: 00200293 li t0,2 - 12044: fe5210e3 bne tp,t0,12024 - 12048: 00400e93 li t4,4 - 1204c: 2f900193 li gp,761 - 12050: 59d31ae3 bne t1,t4,12de4 - -00012054 : - 12054: 00000213 li tp,0 - 12058: 00d00093 li ra,13 - 1205c: 00b00113 li sp,11 - 12060: 40208f33 sub t5,ra,sp - 12064: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12068: 00200293 li t0,2 - 1206c: fe5216e3 bne tp,t0,12058 - 12070: 00200e93 li t4,2 - 12074: 2fa00193 li gp,762 - 12078: 57df16e3 bne t5,t4,12de4 - -0001207c : - 1207c: 00000213 li tp,0 - 12080: 00e00093 li ra,14 - 12084: 00b00113 li sp,11 - 12088: 00000013 nop - 1208c: 40208f33 sub t5,ra,sp - 12090: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12094: 00200293 li t0,2 - 12098: fe5214e3 bne tp,t0,12080 - 1209c: 00300e93 li t4,3 - 120a0: 2fb00193 li gp,763 - 120a4: 55df10e3 bne t5,t4,12de4 - -000120a8 : - 120a8: 00000213 li tp,0 - 120ac: 00f00093 li ra,15 - 120b0: 00b00113 li sp,11 - 120b4: 00000013 nop - 120b8: 00000013 nop - 120bc: 40208f33 sub t5,ra,sp - 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120c4: 00200293 li t0,2 - 120c8: fe5212e3 bne tp,t0,120ac - 120cc: 00400e93 li t4,4 - 120d0: 2fc00193 li gp,764 - 120d4: 51df18e3 bne t5,t4,12de4 - -000120d8 : - 120d8: 00000213 li tp,0 - 120dc: 00d00093 li ra,13 - 120e0: 00000013 nop - 120e4: 00b00113 li sp,11 - 120e8: 40208f33 sub t5,ra,sp - 120ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 120f0: 00200293 li t0,2 - 120f4: fe5214e3 bne tp,t0,120dc - 120f8: 00200e93 li t4,2 - 120fc: 2fd00193 li gp,765 - 12100: 4fdf12e3 bne t5,t4,12de4 - -00012104 : - 12104: 00000213 li tp,0 - 12108: 00e00093 li ra,14 - 1210c: 00000013 nop - 12110: 00b00113 li sp,11 - 12114: 00000013 nop - 12118: 40208f33 sub t5,ra,sp - 1211c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12120: 00200293 li t0,2 - 12124: fe5212e3 bne tp,t0,12108 - 12128: 00300e93 li t4,3 - 1212c: 2fe00193 li gp,766 - 12130: 4bdf1ae3 bne t5,t4,12de4 - -00012134 : - 12134: 00000213 li tp,0 - 12138: 00f00093 li ra,15 - 1213c: 00000013 nop - 12140: 00000013 nop - 12144: 00b00113 li sp,11 - 12148: 40208f33 sub t5,ra,sp - 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12150: 00200293 li t0,2 - 12154: fe5212e3 bne tp,t0,12138 - 12158: 00400e93 li t4,4 - 1215c: 2ff00193 li gp,767 - 12160: 49df12e3 bne t5,t4,12de4 - -00012164 : - 12164: 00000213 li tp,0 - 12168: 00b00113 li sp,11 - 1216c: 00d00093 li ra,13 - 12170: 40208f33 sub t5,ra,sp - 12174: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12178: 00200293 li t0,2 - 1217c: fe5216e3 bne tp,t0,12168 - 12180: 00200e93 li t4,2 - 12184: 30000193 li gp,768 - 12188: 45df1ee3 bne t5,t4,12de4 - -0001218c : - 1218c: 00000213 li tp,0 - 12190: 00b00113 li sp,11 - 12194: 00e00093 li ra,14 - 12198: 00000013 nop - 1219c: 40208f33 sub t5,ra,sp - 121a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121a4: 00200293 li t0,2 - 121a8: fe5214e3 bne tp,t0,12190 - 121ac: 00300e93 li t4,3 - 121b0: 30100193 li gp,769 - 121b4: 43df18e3 bne t5,t4,12de4 - -000121b8 : - 121b8: 00000213 li tp,0 - 121bc: 00b00113 li sp,11 - 121c0: 00f00093 li ra,15 - 121c4: 00000013 nop - 121c8: 00000013 nop - 121cc: 40208f33 sub t5,ra,sp - 121d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 121d4: 00200293 li t0,2 - 121d8: fe5212e3 bne tp,t0,121bc - 121dc: 00400e93 li t4,4 - 121e0: 30200193 li gp,770 - 121e4: 41df10e3 bne t5,t4,12de4 - -000121e8 : - 121e8: 00000213 li tp,0 - 121ec: 00b00113 li sp,11 - 121f0: 00000013 nop - 121f4: 00d00093 li ra,13 - 121f8: 40208f33 sub t5,ra,sp - 121fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12200: 00200293 li t0,2 - 12204: fe5214e3 bne tp,t0,121ec - 12208: 00200e93 li t4,2 - 1220c: 30300193 li gp,771 - 12210: 3ddf1ae3 bne t5,t4,12de4 - -00012214 : - 12214: 00000213 li tp,0 - 12218: 00b00113 li sp,11 - 1221c: 00000013 nop - 12220: 00e00093 li ra,14 - 12224: 00000013 nop - 12228: 40208f33 sub t5,ra,sp - 1222c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12230: 00200293 li t0,2 - 12234: fe5212e3 bne tp,t0,12218 - 12238: 00300e93 li t4,3 - 1223c: 30400193 li gp,772 - 12240: 3bdf12e3 bne t5,t4,12de4 - -00012244 : - 12244: 00000213 li tp,0 - 12248: 00b00113 li sp,11 - 1224c: 00000013 nop - 12250: 00000013 nop - 12254: 00f00093 li ra,15 - 12258: 40208f33 sub t5,ra,sp - 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12260: 00200293 li t0,2 - 12264: fe5212e3 bne tp,t0,12248 - 12268: 00400e93 li t4,4 - 1226c: 30500193 li gp,773 - 12270: 37df1ae3 bne t5,t4,12de4 - -00012274 : - 12274: ff100093 li ra,-15 - 12278: 40100133 neg sp,ra - 1227c: 00f00e93 li t4,15 - 12280: 30600193 li gp,774 - 12284: 37d110e3 bne sp,t4,12de4 - -00012288 : - 12288: 02000093 li ra,32 - 1228c: 40008133 sub sp,ra,zero - 12290: 02000e93 li t4,32 - 12294: 30700193 li gp,775 - 12298: 35d116e3 bne sp,t4,12de4 - -0001229c : - 1229c: 400000b3 neg ra,zero - 122a0: 00000e93 li t4,0 - 122a4: 30800193 li gp,776 - 122a8: 33d09ee3 bne ra,t4,12de4 - -000122ac : - 122ac: 01000093 li ra,16 - 122b0: 01e00113 li sp,30 - 122b4: 40208033 sub zero,ra,sp - 122b8: 00000e93 li t4,0 - 122bc: 30900193 li gp,777 - 122c0: 33d012e3 bne zero,t4,12de4 - -000122c4 : - 122c4: 00002097 auipc ra,0x2 - 122c8: b8c08093 addi ra,ra,-1140 # 13e50 - 122cc: 00aa0137 lui sp,0xaa0 - 122d0: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> - 122d4: 0020a023 sw sp,0(ra) - 122d8: 0000af03 lw t5,0(ra) - 122dc: 00aa0eb7 lui t4,0xaa0 - 122e0: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> - 122e4: 30a00193 li gp,778 - 122e8: 2fdf1ee3 bne t5,t4,12de4 - -000122ec : - 122ec: 00002097 auipc ra,0x2 - 122f0: b6408093 addi ra,ra,-1180 # 13e50 - 122f4: aa00b137 lui sp,0xaa00b - 122f8: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 122fc: 0020a223 sw sp,4(ra) - 12300: 0040af03 lw t5,4(ra) - 12304: aa00beb7 lui t4,0xaa00b - 12308: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 1230c: 30b00193 li gp,779 - 12310: 2ddf1ae3 bne t5,t4,12de4 - -00012314 : - 12314: 00002097 auipc ra,0x2 - 12318: b3c08093 addi ra,ra,-1220 # 13e50 - 1231c: 0aa01137 lui sp,0xaa01 - 12320: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 12324: 0020a423 sw sp,8(ra) - 12328: 0080af03 lw t5,8(ra) - 1232c: 0aa01eb7 lui t4,0xaa01 - 12330: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 12334: 30c00193 li gp,780 - 12338: 2bdf16e3 bne t5,t4,12de4 - -0001233c : - 1233c: 00002097 auipc ra,0x2 - 12340: b1408093 addi ra,ra,-1260 # 13e50 - 12344: a00aa137 lui sp,0xa00aa - 12348: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> - 1234c: 0020a623 sw sp,12(ra) - 12350: 00c0af03 lw t5,12(ra) - 12354: a00aaeb7 lui t4,0xa00aa - 12358: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> - 1235c: 30d00193 li gp,781 - 12360: 29df12e3 bne t5,t4,12de4 - -00012364 : - 12364: 00002097 auipc ra,0x2 - 12368: b0808093 addi ra,ra,-1272 # 13e6c - 1236c: 00aa0137 lui sp,0xaa0 - 12370: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> - 12374: fe20aa23 sw sp,-12(ra) - 12378: ff40af03 lw t5,-12(ra) - 1237c: 00aa0eb7 lui t4,0xaa0 - 12380: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> - 12384: 30e00193 li gp,782 - 12388: 25df1ee3 bne t5,t4,12de4 - -0001238c : - 1238c: 00002097 auipc ra,0x2 - 12390: ae008093 addi ra,ra,-1312 # 13e6c - 12394: aa00b137 lui sp,0xaa00b - 12398: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 1239c: fe20ac23 sw sp,-8(ra) - 123a0: ff80af03 lw t5,-8(ra) - 123a4: aa00beb7 lui t4,0xaa00b - 123a8: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> - 123ac: 30f00193 li gp,783 - 123b0: 23df1ae3 bne t5,t4,12de4 - -000123b4 : - 123b4: 00002097 auipc ra,0x2 - 123b8: ab808093 addi ra,ra,-1352 # 13e6c - 123bc: 0aa01137 lui sp,0xaa01 - 123c0: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 123c4: fe20ae23 sw sp,-4(ra) - 123c8: ffc0af03 lw t5,-4(ra) - 123cc: 0aa01eb7 lui t4,0xaa01 - 123d0: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> - 123d4: 31000193 li gp,784 - 123d8: 21df16e3 bne t5,t4,12de4 - -000123dc : - 123dc: 00002097 auipc ra,0x2 - 123e0: a9008093 addi ra,ra,-1392 # 13e6c - 123e4: a00aa137 lui sp,0xa00aa - 123e8: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> - 123ec: 0020a023 sw sp,0(ra) - 123f0: 0000af03 lw t5,0(ra) - 123f4: a00aaeb7 lui t4,0xa00aa - 123f8: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> - 123fc: 31100193 li gp,785 - 12400: 1fdf12e3 bne t5,t4,12de4 - -00012404 : - 12404: 00002097 auipc ra,0x2 - 12408: a6c08093 addi ra,ra,-1428 # 13e70 - 1240c: 12345137 lui sp,0x12345 - 12410: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12330f70> - 12414: fe008213 addi tp,ra,-32 - 12418: 02222023 sw sp,32(tp) # 20 <_start-0x10060> - 1241c: 0000a283 lw t0,0(ra) - 12420: 12345eb7 lui t4,0x12345 - 12424: 678e8e93 addi t4,t4,1656 # 12345678 <__global_pointer$+0x12330f70> - 12428: 31200193 li gp,786 - 1242c: 1bd29ce3 bne t0,t4,12de4 - -00012430 : - 12430: 00002097 auipc ra,0x2 - 12434: a4008093 addi ra,ra,-1472 # 13e70 - 12438: 58213137 lui sp,0x58213 - 1243c: 09810113 addi sp,sp,152 # 58213098 <__global_pointer$+0x581fe990> - 12440: ffd08093 addi ra,ra,-3 - 12444: 0020a3a3 sw sp,7(ra) - 12448: 00002217 auipc tp,0x2 - 1244c: a2c20213 addi tp,tp,-1492 # 13e74 - 12450: 00022283 lw t0,0(tp) # 0 <_start-0x10080> - 12454: 58213eb7 lui t4,0x58213 - 12458: 098e8e93 addi t4,t4,152 # 58213098 <__global_pointer$+0x581fe990> - 1245c: 31300193 li gp,787 - 12460: 19d292e3 bne t0,t4,12de4 - -00012464 : - 12464: 31400193 li gp,788 - 12468: 00000213 li tp,0 - 1246c: aabbd0b7 lui ra,0xaabbd - 12470: cdd08093 addi ra,ra,-803 # aabbccdd <__global_pointer$+0xaaba85d5> - 12474: 00002117 auipc sp,0x2 - 12478: 9dc10113 addi sp,sp,-1572 # 13e50 - 1247c: 00112023 sw ra,0(sp) - 12480: 00012f03 lw t5,0(sp) - 12484: aabbdeb7 lui t4,0xaabbd - 12488: cdde8e93 addi t4,t4,-803 # aabbccdd <__global_pointer$+0xaaba85d5> - 1248c: 15df1ce3 bne t5,t4,12de4 - 12490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12494: 00200293 li t0,2 - 12498: fc521ae3 bne tp,t0,1246c - -0001249c : - 1249c: 31500193 li gp,789 - 124a0: 00000213 li tp,0 - 124a4: daabc0b7 lui ra,0xdaabc - 124a8: ccd08093 addi ra,ra,-819 # daabbccd <__global_pointer$+0xdaaa75c5> - 124ac: 00002117 auipc sp,0x2 - 124b0: 9a410113 addi sp,sp,-1628 # 13e50 - 124b4: 00000013 nop - 124b8: 00112223 sw ra,4(sp) - 124bc: 00412f03 lw t5,4(sp) - 124c0: daabceb7 lui t4,0xdaabc - 124c4: ccde8e93 addi t4,t4,-819 # daabbccd <__global_pointer$+0xdaaa75c5> - 124c8: 11df1ee3 bne t5,t4,12de4 - 124cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 124d0: 00200293 li t0,2 - 124d4: fc5218e3 bne tp,t0,124a4 - -000124d8 : - 124d8: 31600193 li gp,790 - 124dc: 00000213 li tp,0 - 124e0: ddaac0b7 lui ra,0xddaac - 124e4: bcc08093 addi ra,ra,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> - 124e8: 00002117 auipc sp,0x2 - 124ec: 96810113 addi sp,sp,-1688 # 13e50 - 124f0: 00000013 nop - 124f4: 00000013 nop - 124f8: 00112423 sw ra,8(sp) - 124fc: 00812f03 lw t5,8(sp) - 12500: ddaaceb7 lui t4,0xddaac - 12504: bcce8e93 addi t4,t4,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> - 12508: 0ddf1ee3 bne t5,t4,12de4 - 1250c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12510: 00200293 li t0,2 - 12514: fc5216e3 bne tp,t0,124e0 - -00012518 : - 12518: 31700193 li gp,791 - 1251c: 00000213 li tp,0 - 12520: cddab0b7 lui ra,0xcddab - 12524: bbc08093 addi ra,ra,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> - 12528: 00000013 nop - 1252c: 00002117 auipc sp,0x2 - 12530: 92410113 addi sp,sp,-1756 # 13e50 - 12534: 00112623 sw ra,12(sp) - 12538: 00c12f03 lw t5,12(sp) - 1253c: cddabeb7 lui t4,0xcddab - 12540: bbce8e93 addi t4,t4,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> - 12544: 0bdf10e3 bne t5,t4,12de4 - 12548: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1254c: 00200293 li t0,2 - 12550: fc5218e3 bne tp,t0,12520 - -00012554 : - 12554: 31800193 li gp,792 - 12558: 00000213 li tp,0 - 1255c: ccddb0b7 lui ra,0xccddb - 12560: abb08093 addi ra,ra,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> - 12564: 00000013 nop - 12568: 00002117 auipc sp,0x2 - 1256c: 8e810113 addi sp,sp,-1816 # 13e50 - 12570: 00000013 nop - 12574: 00112823 sw ra,16(sp) - 12578: 01012f03 lw t5,16(sp) - 1257c: ccddbeb7 lui t4,0xccddb - 12580: abbe8e93 addi t4,t4,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> - 12584: 07df10e3 bne t5,t4,12de4 - 12588: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1258c: 00200293 li t0,2 - 12590: fc5216e3 bne tp,t0,1255c - -00012594 : - 12594: 31900193 li gp,793 - 12598: 00000213 li tp,0 - 1259c: bccde0b7 lui ra,0xbccde - 125a0: aab08093 addi ra,ra,-1365 # bccddaab <__global_pointer$+0xbccc93a3> - 125a4: 00000013 nop - 125a8: 00000013 nop - 125ac: 00002117 auipc sp,0x2 - 125b0: 8a410113 addi sp,sp,-1884 # 13e50 - 125b4: 00112a23 sw ra,20(sp) - 125b8: 01412f03 lw t5,20(sp) - 125bc: bccdeeb7 lui t4,0xbccde - 125c0: aabe8e93 addi t4,t4,-1365 # bccddaab <__global_pointer$+0xbccc93a3> - 125c4: 03df10e3 bne t5,t4,12de4 - 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 125cc: 00200293 li t0,2 - 125d0: fc5216e3 bne tp,t0,1259c - -000125d4 : - 125d4: 31a00193 li gp,794 - 125d8: 00000213 li tp,0 - 125dc: 00002117 auipc sp,0x2 - 125e0: 87410113 addi sp,sp,-1932 # 13e50 - 125e4: 001120b7 lui ra,0x112 - 125e8: 23308093 addi ra,ra,563 # 112233 <__global_pointer$+0xfdb2b> - 125ec: 00112023 sw ra,0(sp) - 125f0: 00012f03 lw t5,0(sp) - 125f4: 00112eb7 lui t4,0x112 - 125f8: 233e8e93 addi t4,t4,563 # 112233 <__global_pointer$+0xfdb2b> - 125fc: 7fdf1463 bne t5,t4,12de4 - 12600: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12604: 00200293 li t0,2 - 12608: fc521ae3 bne tp,t0,125dc - -0001260c : - 1260c: 31b00193 li gp,795 - 12610: 00000213 li tp,0 - 12614: 00002117 auipc sp,0x2 - 12618: 83c10113 addi sp,sp,-1988 # 13e50 - 1261c: 300110b7 lui ra,0x30011 - 12620: 22308093 addi ra,ra,547 # 30011223 <__global_pointer$+0x2fffcb1b> - 12624: 00000013 nop - 12628: 00112223 sw ra,4(sp) - 1262c: 00412f03 lw t5,4(sp) - 12630: 30011eb7 lui t4,0x30011 - 12634: 223e8e93 addi t4,t4,547 # 30011223 <__global_pointer$+0x2fffcb1b> - 12638: 7bdf1663 bne t5,t4,12de4 - 1263c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12640: 00200293 li t0,2 - 12644: fc5218e3 bne tp,t0,12614 - -00012648 : - 12648: 31c00193 li gp,796 - 1264c: 00000213 li tp,0 - 12650: 00002117 auipc sp,0x2 - 12654: 80010113 addi sp,sp,-2048 # 13e50 - 12658: 330010b7 lui ra,0x33001 - 1265c: 12208093 addi ra,ra,290 # 33001122 <__global_pointer$+0x32feca1a> - 12660: 00000013 nop - 12664: 00000013 nop - 12668: 00112423 sw ra,8(sp) - 1266c: 00812f03 lw t5,8(sp) - 12670: 33001eb7 lui t4,0x33001 - 12674: 122e8e93 addi t4,t4,290 # 33001122 <__global_pointer$+0x32feca1a> - 12678: 77df1663 bne t5,t4,12de4 - 1267c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12680: 00200293 li t0,2 - 12684: fc5216e3 bne tp,t0,12650 - -00012688 : - 12688: 31d00193 li gp,797 - 1268c: 00000213 li tp,0 - 12690: 00001117 auipc sp,0x1 - 12694: 7c010113 addi sp,sp,1984 # 13e50 - 12698: 00000013 nop - 1269c: 233000b7 lui ra,0x23300 - 126a0: 11208093 addi ra,ra,274 # 23300112 <__global_pointer$+0x232eba0a> - 126a4: 00112623 sw ra,12(sp) - 126a8: 00c12f03 lw t5,12(sp) - 126ac: 23300eb7 lui t4,0x23300 - 126b0: 112e8e93 addi t4,t4,274 # 23300112 <__global_pointer$+0x232eba0a> - 126b4: 73df1863 bne t5,t4,12de4 - 126b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 126bc: 00200293 li t0,2 - 126c0: fc5218e3 bne tp,t0,12690 - -000126c4 : - 126c4: 31e00193 li gp,798 - 126c8: 00000213 li tp,0 - 126cc: 00001117 auipc sp,0x1 - 126d0: 78410113 addi sp,sp,1924 # 13e50 - 126d4: 00000013 nop - 126d8: 223300b7 lui ra,0x22330 - 126dc: 01108093 addi ra,ra,17 # 22330011 <__global_pointer$+0x2231b909> - 126e0: 00000013 nop - 126e4: 00112823 sw ra,16(sp) - 126e8: 01012f03 lw t5,16(sp) - 126ec: 22330eb7 lui t4,0x22330 - 126f0: 011e8e93 addi t4,t4,17 # 22330011 <__global_pointer$+0x2231b909> - 126f4: 6fdf1863 bne t5,t4,12de4 - 126f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 126fc: 00200293 li t0,2 - 12700: fc5216e3 bne tp,t0,126cc - -00012704 : - 12704: 31f00193 li gp,799 - 12708: 00000213 li tp,0 - 1270c: 00001117 auipc sp,0x1 - 12710: 74410113 addi sp,sp,1860 # 13e50 - 12714: 00000013 nop - 12718: 00000013 nop - 1271c: 122330b7 lui ra,0x12233 - 12720: 00108093 addi ra,ra,1 # 12233001 <__global_pointer$+0x1221e8f9> - 12724: 00112a23 sw ra,20(sp) - 12728: 01412f03 lw t5,20(sp) - 1272c: 12233eb7 lui t4,0x12233 - 12730: 001e8e93 addi t4,t4,1 # 12233001 <__global_pointer$+0x1221e8f9> - 12734: 6bdf1863 bne t5,t4,12de4 - 12738: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1273c: 00200293 li t0,2 - 12740: fc5216e3 bne tp,t0,1270c - -00012744 : - 12744: ff0100b7 lui ra,0xff010 - 12748: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1274c: 0f0f1137 lui sp,0xf0f1 - 12750: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12754: 0020cf33 xor t5,ra,sp - 12758: f00ffeb7 lui t4,0xf00ff - 1275c: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12760: 32000193 li gp,800 - 12764: 69df1063 bne t5,t4,12de4 - -00012768 : - 12768: 0ff010b7 lui ra,0xff01 - 1276c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12770: f0f0f137 lui sp,0xf0f0f - 12774: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12778: 0020cf33 xor t5,ra,sp - 1277c: ff010eb7 lui t4,0xff010 - 12780: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12784: 32100193 li gp,801 - 12788: 65df1e63 bne t5,t4,12de4 - -0001278c : - 1278c: 00ff00b7 lui ra,0xff0 - 12790: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12794: 0f0f1137 lui sp,0xf0f1 - 12798: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 1279c: 0020cf33 xor t5,ra,sp - 127a0: 0ff01eb7 lui t4,0xff01 - 127a4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 127a8: 32200193 li gp,802 - 127ac: 63df1c63 bne t5,t4,12de4 - -000127b0 : - 127b0: f00ff0b7 lui ra,0xf00ff - 127b4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 127b8: f0f0f137 lui sp,0xf0f0f - 127bc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 127c0: 0020cf33 xor t5,ra,sp - 127c4: 00ff0eb7 lui t4,0xff0 - 127c8: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> - 127cc: 32300193 li gp,803 - 127d0: 61df1a63 bne t5,t4,12de4 - -000127d4 : - 127d4: ff0100b7 lui ra,0xff010 - 127d8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 127dc: 0f0f1137 lui sp,0xf0f1 - 127e0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 127e4: 0020c0b3 xor ra,ra,sp - 127e8: f00ffeb7 lui t4,0xf00ff - 127ec: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 127f0: 32400193 li gp,804 - 127f4: 5fd09863 bne ra,t4,12de4 - -000127f8 : - 127f8: ff0100b7 lui ra,0xff010 - 127fc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12800: 0f0f1137 lui sp,0xf0f1 - 12804: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12808: 0020c133 xor sp,ra,sp - 1280c: f00ffeb7 lui t4,0xf00ff - 12810: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12814: 32500193 li gp,805 - 12818: 5dd11663 bne sp,t4,12de4 - -0001281c : - 1281c: ff0100b7 lui ra,0xff010 - 12820: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12824: 0010c0b3 xor ra,ra,ra - 12828: 00000e93 li t4,0 - 1282c: 32600193 li gp,806 - 12830: 5bd09a63 bne ra,t4,12de4 - -00012834 : - 12834: 00000213 li tp,0 - 12838: ff0100b7 lui ra,0xff010 - 1283c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12840: 0f0f1137 lui sp,0xf0f1 - 12844: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12848: 0020cf33 xor t5,ra,sp - 1284c: 000f0313 mv t1,t5 - 12850: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12854: 00200293 li t0,2 - 12858: fe5210e3 bne tp,t0,12838 - 1285c: f00ffeb7 lui t4,0xf00ff - 12860: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12864: 32700193 li gp,807 - 12868: 57d31e63 bne t1,t4,12de4 - -0001286c : - 1286c: 00000213 li tp,0 - 12870: 0ff010b7 lui ra,0xff01 - 12874: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12878: f0f0f137 lui sp,0xf0f0f - 1287c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12880: 0020cf33 xor t5,ra,sp - 12884: 00000013 nop - 12888: 000f0313 mv t1,t5 - 1288c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12890: 00200293 li t0,2 - 12894: fc521ee3 bne tp,t0,12870 - 12898: ff010eb7 lui t4,0xff010 - 1289c: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 128a0: 32800193 li gp,808 - 128a4: 55d31063 bne t1,t4,12de4 - -000128a8 : - 128a8: 00000213 li tp,0 - 128ac: 00ff00b7 lui ra,0xff0 - 128b0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 128b4: 0f0f1137 lui sp,0xf0f1 - 128b8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 128bc: 0020cf33 xor t5,ra,sp - 128c0: 00000013 nop - 128c4: 00000013 nop - 128c8: 000f0313 mv t1,t5 - 128cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 128d0: 00200293 li t0,2 - 128d4: fc521ce3 bne tp,t0,128ac - 128d8: 0ff01eb7 lui t4,0xff01 - 128dc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 128e0: 32900193 li gp,809 - 128e4: 51d31063 bne t1,t4,12de4 - -000128e8 : - 128e8: 00000213 li tp,0 - 128ec: ff0100b7 lui ra,0xff010 - 128f0: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 128f4: 0f0f1137 lui sp,0xf0f1 - 128f8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 128fc: 0020cf33 xor t5,ra,sp - 12900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12904: 00200293 li t0,2 - 12908: fe5212e3 bne tp,t0,128ec - 1290c: f00ffeb7 lui t4,0xf00ff - 12910: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12914: 32a00193 li gp,810 - 12918: 4ddf1663 bne t5,t4,12de4 - -0001291c : - 1291c: 00000213 li tp,0 - 12920: 0ff010b7 lui ra,0xff01 - 12924: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12928: f0f0f137 lui sp,0xf0f0f - 1292c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12930: 00000013 nop - 12934: 0020cf33 xor t5,ra,sp - 12938: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 1293c: 00200293 li t0,2 - 12940: fe5210e3 bne tp,t0,12920 - 12944: ff010eb7 lui t4,0xff010 - 12948: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1294c: 32b00193 li gp,811 - 12950: 49df1a63 bne t5,t4,12de4 - -00012954 : - 12954: 00000213 li tp,0 - 12958: 00ff00b7 lui ra,0xff0 - 1295c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12960: 0f0f1137 lui sp,0xf0f1 - 12964: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12968: 00000013 nop - 1296c: 00000013 nop - 12970: 0020cf33 xor t5,ra,sp - 12974: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12978: 00200293 li t0,2 - 1297c: fc521ee3 bne tp,t0,12958 - 12980: 0ff01eb7 lui t4,0xff01 - 12984: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12988: 32c00193 li gp,812 - 1298c: 45df1c63 bne t5,t4,12de4 - -00012990 : - 12990: 00000213 li tp,0 - 12994: ff0100b7 lui ra,0xff010 - 12998: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 1299c: 00000013 nop - 129a0: 0f0f1137 lui sp,0xf0f1 - 129a4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 129a8: 0020cf33 xor t5,ra,sp - 129ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129b0: 00200293 li t0,2 - 129b4: fe5210e3 bne tp,t0,12994 - 129b8: f00ffeb7 lui t4,0xf00ff - 129bc: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 129c0: 32d00193 li gp,813 - 129c4: 43df1063 bne t5,t4,12de4 - -000129c8 : - 129c8: 00000213 li tp,0 - 129cc: 0ff010b7 lui ra,0xff01 - 129d0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 129d4: 00000013 nop - 129d8: f0f0f137 lui sp,0xf0f0f - 129dc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 129e0: 00000013 nop - 129e4: 0020cf33 xor t5,ra,sp - 129e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 129ec: 00200293 li t0,2 - 129f0: fc521ee3 bne tp,t0,129cc - 129f4: ff010eb7 lui t4,0xff010 - 129f8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 129fc: 32e00193 li gp,814 - 12a00: 3fdf1263 bne t5,t4,12de4 - -00012a04 : - 12a04: 00000213 li tp,0 - 12a08: 00ff00b7 lui ra,0xff0 - 12a0c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12a10: 00000013 nop - 12a14: 00000013 nop - 12a18: 0f0f1137 lui sp,0xf0f1 - 12a1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12a20: 0020cf33 xor t5,ra,sp - 12a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a28: 00200293 li t0,2 - 12a2c: fc521ee3 bne tp,t0,12a08 - 12a30: 0ff01eb7 lui t4,0xff01 - 12a34: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12a38: 32f00193 li gp,815 - 12a3c: 3bdf1463 bne t5,t4,12de4 - -00012a40 : - 12a40: 00000213 li tp,0 - 12a44: 0f0f1137 lui sp,0xf0f1 - 12a48: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12a4c: ff0100b7 lui ra,0xff010 - 12a50: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12a54: 0020cf33 xor t5,ra,sp - 12a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a5c: 00200293 li t0,2 - 12a60: fe5212e3 bne tp,t0,12a44 - 12a64: f00ffeb7 lui t4,0xf00ff - 12a68: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12a6c: 33000193 li gp,816 - 12a70: 37df1a63 bne t5,t4,12de4 - -00012a74 : - 12a74: 00000213 li tp,0 - 12a78: f0f0f137 lui sp,0xf0f0f - 12a7c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12a80: 0ff010b7 lui ra,0xff01 - 12a84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12a88: 00000013 nop - 12a8c: 0020cf33 xor t5,ra,sp - 12a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12a94: 00200293 li t0,2 - 12a98: fe5210e3 bne tp,t0,12a78 - 12a9c: ff010eb7 lui t4,0xff010 - 12aa0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12aa4: 33100193 li gp,817 - 12aa8: 33df1e63 bne t5,t4,12de4 - -00012aac : - 12aac: 00000213 li tp,0 - 12ab0: 0f0f1137 lui sp,0xf0f1 - 12ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12ab8: 00ff00b7 lui ra,0xff0 - 12abc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12ac0: 00000013 nop - 12ac4: 00000013 nop - 12ac8: 0020cf33 xor t5,ra,sp - 12acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12ad0: 00200293 li t0,2 - 12ad4: fc521ee3 bne tp,t0,12ab0 - 12ad8: 0ff01eb7 lui t4,0xff01 - 12adc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12ae0: 33200193 li gp,818 - 12ae4: 31df1063 bne t5,t4,12de4 - -00012ae8 : - 12ae8: 00000213 li tp,0 - 12aec: 0f0f1137 lui sp,0xf0f1 - 12af0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12af4: 00000013 nop - 12af8: ff0100b7 lui ra,0xff010 - 12afc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12b00: 0020cf33 xor t5,ra,sp - 12b04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b08: 00200293 li t0,2 - 12b0c: fe5210e3 bne tp,t0,12aec - 12b10: f00ffeb7 lui t4,0xf00ff - 12b14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12b18: 33300193 li gp,819 - 12b1c: 2ddf1463 bne t5,t4,12de4 - -00012b20 : - 12b20: 00000213 li tp,0 - 12b24: f0f0f137 lui sp,0xf0f0f - 12b28: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> - 12b2c: 00000013 nop - 12b30: 0ff010b7 lui ra,0xff01 - 12b34: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12b38: 00000013 nop - 12b3c: 0020cf33 xor t5,ra,sp - 12b40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b44: 00200293 li t0,2 - 12b48: fc521ee3 bne tp,t0,12b24 - 12b4c: ff010eb7 lui t4,0xff010 - 12b50: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12b54: 33400193 li gp,820 - 12b58: 29df1663 bne t5,t4,12de4 - -00012b5c : - 12b5c: 00000213 li tp,0 - 12b60: 0f0f1137 lui sp,0xf0f1 - 12b64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> - 12b68: 00000013 nop - 12b6c: 00000013 nop - 12b70: 00ff00b7 lui ra,0xff0 - 12b74: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12b78: 0020cf33 xor t5,ra,sp - 12b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12b80: 00200293 li t0,2 - 12b84: fc521ee3 bne tp,t0,12b60 - 12b88: 0ff01eb7 lui t4,0xff01 - 12b8c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12b90: 33500193 li gp,821 - 12b94: 25df1863 bne t5,t4,12de4 - -00012b98 : - 12b98: ff0100b7 lui ra,0xff010 - 12b9c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12ba0: 00104133 xor sp,zero,ra - 12ba4: ff010eb7 lui t4,0xff010 - 12ba8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> - 12bac: 33600193 li gp,822 - 12bb0: 23d11a63 bne sp,t4,12de4 - -00012bb4 : - 12bb4: 00ff00b7 lui ra,0xff0 - 12bb8: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12bbc: 0000c133 xor sp,ra,zero - 12bc0: 00ff0eb7 lui t4,0xff0 - 12bc4: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12bc8: 33700193 li gp,823 - 12bcc: 21d11c63 bne sp,t4,12de4 - -00012bd0 : - 12bd0: 000040b3 xor ra,zero,zero - 12bd4: 00000e93 li t4,0 - 12bd8: 33800193 li gp,824 - 12bdc: 21d09463 bne ra,t4,12de4 - -00012be0 : - 12be0: 111110b7 lui ra,0x11111 - 12be4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fca09> - 12be8: 22222137 lui sp,0x22222 - 12bec: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220db1a> - 12bf0: 0020c033 xor zero,ra,sp - 12bf4: 00000e93 li t4,0 - 12bf8: 33900193 li gp,825 - 12bfc: 1fd01463 bne zero,t4,12de4 - -00012c00 : - 12c00: 00ff10b7 lui ra,0xff1 - 12c04: f0008093 addi ra,ra,-256 # ff0f00 <__global_pointer$+0xfdc7f8> - 12c08: f0f0cf13 xori t5,ra,-241 - 12c0c: ff00feb7 lui t4,0xff00f - 12c10: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> - 12c14: 33a00193 li gp,826 - 12c18: 1ddf1663 bne t5,t4,12de4 - -00012c1c : - 12c1c: 0ff010b7 lui ra,0xff01 - 12c20: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12c24: 0f00cf13 xori t5,ra,240 - 12c28: 0ff01eb7 lui t4,0xff01 - 12c2c: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12c30: 33b00193 li gp,827 - 12c34: 1bdf1863 bne t5,t4,12de4 - -00012c38 : - 12c38: 00ff10b7 lui ra,0xff1 - 12c3c: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> - 12c40: 70f0cf13 xori t5,ra,1807 - 12c44: 00ff1eb7 lui t4,0xff1 - 12c48: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12c4c: 33c00193 li gp,828 - 12c50: 19df1a63 bne t5,t4,12de4 - -00012c54 : - 12c54: f00ff0b7 lui ra,0xf00ff - 12c58: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12c5c: 0f00cf13 xori t5,ra,240 - 12c60: f00ffeb7 lui t4,0xf00ff - 12c64: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12c68: 33d00193 li gp,829 - 12c6c: 17df1c63 bne t5,t4,12de4 - -00012c70 : - 12c70: ff00f0b7 lui ra,0xff00f - 12c74: 70008093 addi ra,ra,1792 # ff00f700 <__global_pointer$+0xfeffaff8> - 12c78: 70f0c093 xori ra,ra,1807 - 12c7c: ff00feb7 lui t4,0xff00f - 12c80: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> - 12c84: 33e00193 li gp,830 - 12c88: 15d09e63 bne ra,t4,12de4 - -00012c8c : - 12c8c: 00000213 li tp,0 - 12c90: 0ff010b7 lui ra,0xff01 - 12c94: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12c98: 0f00cf13 xori t5,ra,240 - 12c9c: 000f0313 mv t1,t5 - 12ca0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12ca4: 00200293 li t0,2 - 12ca8: fe5214e3 bne tp,t0,12c90 - 12cac: 0ff01eb7 lui t4,0xff01 - 12cb0: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12cb4: 33f00193 li gp,831 - 12cb8: 13d31663 bne t1,t4,12de4 - -00012cbc : - 12cbc: 00000213 li tp,0 - 12cc0: 00ff10b7 lui ra,0xff1 - 12cc4: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> - 12cc8: 70f0cf13 xori t5,ra,1807 - 12ccc: 00000013 nop - 12cd0: 000f0313 mv t1,t5 - 12cd4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12cd8: 00200293 li t0,2 - 12cdc: fe5212e3 bne tp,t0,12cc0 - 12ce0: 00ff1eb7 lui t4,0xff1 - 12ce4: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12ce8: 34000193 li gp,832 - 12cec: 0fd31c63 bne t1,t4,12de4 - -00012cf0 : - 12cf0: 00000213 li tp,0 - 12cf4: f00ff0b7 lui ra,0xf00ff - 12cf8: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12cfc: 0f00cf13 xori t5,ra,240 - 12d00: 00000013 nop - 12d04: 00000013 nop - 12d08: 000f0313 mv t1,t5 - 12d0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d10: 00200293 li t0,2 - 12d14: fe5210e3 bne tp,t0,12cf4 - 12d18: f00ffeb7 lui t4,0xf00ff - 12d1c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12d20: 34100193 li gp,833 - 12d24: 0dd31063 bne t1,t4,12de4 - -00012d28 : - 12d28: 00000213 li tp,0 - 12d2c: 0ff010b7 lui ra,0xff01 - 12d30: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> - 12d34: 0f00cf13 xori t5,ra,240 - 12d38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d3c: 00200293 li t0,2 - 12d40: fe5216e3 bne tp,t0,12d2c - 12d44: 0ff01eb7 lui t4,0xff01 - 12d48: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> - 12d4c: 34200193 li gp,834 - 12d50: 09df1a63 bne t5,t4,12de4 - -00012d54 : - 12d54: 00000213 li tp,0 - 12d58: 00ff10b7 lui ra,0xff1 - 12d5c: fff08093 addi ra,ra,-1 # ff0fff <__global_pointer$+0xfdc8f7> - 12d60: 00000013 nop - 12d64: 00f0cf13 xori t5,ra,15 - 12d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12d6c: 00200293 li t0,2 - 12d70: fe5214e3 bne tp,t0,12d58 - 12d74: 00ff1eb7 lui t4,0xff1 - 12d78: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> - 12d7c: 34300193 li gp,835 - 12d80: 07df1263 bne t5,t4,12de4 - -00012d84 : - 12d84: 00000213 li tp,0 - 12d88: f00ff0b7 lui ra,0xf00ff - 12d8c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> - 12d90: 00000013 nop - 12d94: 00000013 nop - 12d98: 0f00cf13 xori t5,ra,240 - 12d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> - 12da0: 00200293 li t0,2 - 12da4: fe5212e3 bne tp,t0,12d88 - 12da8: f00ffeb7 lui t4,0xf00ff - 12dac: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> - 12db0: 34400193 li gp,836 - 12db4: 03df1863 bne t5,t4,12de4 - -00012db8 : - 12db8: 0f004093 xori ra,zero,240 - 12dbc: 0f000e93 li t4,240 - 12dc0: 34500193 li gp,837 - 12dc4: 03d09063 bne ra,t4,12de4 - -00012dc8 : - 12dc8: 00ff00b7 lui ra,0xff0 - 12dcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> - 12dd0: 70f0c013 xori zero,ra,1807 - 12dd4: 00000e93 li t4,0 - 12dd8: 34600193 li gp,838 - 12ddc: 01d01463 bne zero,t4,12de4 - 12de0: 00301463 bne zero,gp,12de8 - -00012de4 : - 12de4: 00000a6f jal s4,12de4 - -00012de8 : - 12de8: 00100193 li gp,1 - -00012dec : - 12dec: 00000a6f jal s4,12dec - 12df0: c0001073 unimp - ... +00010084 : + 10084: 00000093 li ra,0 + 10088: 0000af13 slti t5,ra,0 + 1008c: 00000e93 li t4,0 + 10090: 20c00193 li gp,524 + 10094: 01df0463 beq t5,t4,1009c + 10098: 54d0206f j 12de4 + +0001009c : + 1009c: 00100093 li ra,1 + 100a0: 0010af13 slti t5,ra,1 + 100a4: 00000e93 li t4,0 + 100a8: 20d00193 li gp,525 + 100ac: 01df0463 beq t5,t4,100b4 + 100b0: 5350206f j 12de4 + +000100b4 : + 100b4: 00300093 li ra,3 + 100b8: 0070af13 slti t5,ra,7 + 100bc: 00100e93 li t4,1 + 100c0: 20e00193 li gp,526 + 100c4: 01df0463 beq t5,t4,100cc + 100c8: 51d0206f j 12de4 + +000100cc : + 100cc: 00700093 li ra,7 + 100d0: 0030af13 slti t5,ra,3 + 100d4: 00000e93 li t4,0 + 100d8: 20f00193 li gp,527 + 100dc: 01df0463 beq t5,t4,100e4 + 100e0: 5050206f j 12de4 + +000100e4 : + 100e4: 00000093 li ra,0 + 100e8: 8000af13 slti t5,ra,-2048 + 100ec: 00000e93 li t4,0 + 100f0: 21000193 li gp,528 + 100f4: 01df0463 beq t5,t4,100fc + 100f8: 4ed0206f j 12de4 + +000100fc : + 100fc: 800000b7 lui ra,0x80000 + 10100: 0000af13 slti t5,ra,0 + 10104: 00100e93 li t4,1 + 10108: 21100193 li gp,529 + 1010c: 01df0463 beq t5,t4,10114 + 10110: 4d50206f j 12de4 + +00010114 : + 10114: 800000b7 lui ra,0x80000 + 10118: 8000af13 slti t5,ra,-2048 + 1011c: 00100e93 li t4,1 + 10120: 21200193 li gp,530 + 10124: 01df0463 beq t5,t4,1012c + 10128: 4bd0206f j 12de4 + +0001012c : + 1012c: 00000093 li ra,0 + 10130: 7ff0af13 slti t5,ra,2047 + 10134: 00100e93 li t4,1 + 10138: 21300193 li gp,531 + 1013c: 01df0463 beq t5,t4,10144 + 10140: 4a50206f j 12de4 + +00010144 : + 10144: 800000b7 lui ra,0x80000 + 10148: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1014c: 0000af13 slti t5,ra,0 + 10150: 00000e93 li t4,0 + 10154: 21400193 li gp,532 + 10158: 01df0463 beq t5,t4,10160 + 1015c: 4890206f j 12de4 + +00010160 : + 10160: 800000b7 lui ra,0x80000 + 10164: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10168: 7ff0af13 slti t5,ra,2047 + 1016c: 00000e93 li t4,0 + 10170: 21500193 li gp,533 + 10174: 01df0463 beq t5,t4,1017c + 10178: 46d0206f j 12de4 + +0001017c : + 1017c: 800000b7 lui ra,0x80000 + 10180: 7ff0af13 slti t5,ra,2047 + 10184: 00100e93 li t4,1 + 10188: 21600193 li gp,534 + 1018c: 01df0463 beq t5,t4,10194 + 10190: 4550206f j 12de4 + +00010194 : + 10194: 800000b7 lui ra,0x80000 + 10198: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1019c: 8000af13 slti t5,ra,-2048 + 101a0: 00000e93 li t4,0 + 101a4: 21700193 li gp,535 + 101a8: 01df0463 beq t5,t4,101b0 + 101ac: 4390206f j 12de4 + +000101b0 : + 101b0: 00000093 li ra,0 + 101b4: fff0af13 slti t5,ra,-1 + 101b8: 00000e93 li t4,0 + 101bc: 21800193 li gp,536 + 101c0: 01df0463 beq t5,t4,101c8 + 101c4: 4210206f j 12de4 + +000101c8 : + 101c8: fff00093 li ra,-1 + 101cc: 0010af13 slti t5,ra,1 + 101d0: 00100e93 li t4,1 + 101d4: 21900193 li gp,537 + 101d8: 01df0463 beq t5,t4,101e0 + 101dc: 4090206f j 12de4 + +000101e0 : + 101e0: fff00093 li ra,-1 + 101e4: fff0af13 slti t5,ra,-1 + 101e8: 00000e93 li t4,0 + 101ec: 21a00193 li gp,538 + 101f0: 01df0463 beq t5,t4,101f8 + 101f4: 3f10206f j 12de4 + +000101f8 : + 101f8: 00b00093 li ra,11 + 101fc: 00d0a093 slti ra,ra,13 + 10200: 00100e93 li t4,1 + 10204: 21b00193 li gp,539 + 10208: 01d08463 beq ra,t4,10210 + 1020c: 3d90206f j 12de4 + +00010210 : + 10210: 00000213 li tp,0 + 10214: 00f00093 li ra,15 + 10218: 00a0af13 slti t5,ra,10 + 1021c: 000f0313 mv t1,t5 + 10220: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10224: 00200293 li t0,2 + 10228: fe5216e3 bne tp,t0,10214 + 1022c: 00000e93 li t4,0 + 10230: 21c00193 li gp,540 + 10234: 01d30463 beq t1,t4,1023c + 10238: 3ad0206f j 12de4 + +0001023c : + 1023c: 00000213 li tp,0 + 10240: 00a00093 li ra,10 + 10244: 0100af13 slti t5,ra,16 + 10248: 00000013 nop + 1024c: 000f0313 mv t1,t5 + 10250: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10254: 00200293 li t0,2 + 10258: fe5214e3 bne tp,t0,10240 + 1025c: 00100e93 li t4,1 + 10260: 21d00193 li gp,541 + 10264: 01d30463 beq t1,t4,1026c + 10268: 37d0206f j 12de4 + +0001026c : + 1026c: 00000213 li tp,0 + 10270: 01000093 li ra,16 + 10274: 0090af13 slti t5,ra,9 + 10278: 00000013 nop + 1027c: 00000013 nop + 10280: 000f0313 mv t1,t5 + 10284: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10288: 00200293 li t0,2 + 1028c: fe5212e3 bne tp,t0,10270 + 10290: 00000e93 li t4,0 + 10294: 21e00193 li gp,542 + 10298: 01d30463 beq t1,t4,102a0 + 1029c: 3490206f j 12de4 + +000102a0 : + 102a0: 00000213 li tp,0 + 102a4: 00b00093 li ra,11 + 102a8: 00f0af13 slti t5,ra,15 + 102ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102b0: 00200293 li t0,2 + 102b4: fe5218e3 bne tp,t0,102a4 + 102b8: 00100e93 li t4,1 + 102bc: 21f00193 li gp,543 + 102c0: 01df0463 beq t5,t4,102c8 + 102c4: 3210206f j 12de4 + +000102c8 : + 102c8: 00000213 li tp,0 + 102cc: 01100093 li ra,17 + 102d0: 00000013 nop + 102d4: 0080af13 slti t5,ra,8 + 102d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 102dc: 00200293 li t0,2 + 102e0: fe5216e3 bne tp,t0,102cc + 102e4: 00000e93 li t4,0 + 102e8: 22000193 li gp,544 + 102ec: 01df0463 beq t5,t4,102f4 + 102f0: 2f50206f j 12de4 + +000102f4 : + 102f4: 00000213 li tp,0 + 102f8: 00c00093 li ra,12 + 102fc: 00000013 nop + 10300: 00000013 nop + 10304: 00e0af13 slti t5,ra,14 + 10308: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1030c: 00200293 li t0,2 + 10310: fe5214e3 bne tp,t0,102f8 + 10314: 00100e93 li t4,1 + 10318: 22100193 li gp,545 + 1031c: 01df0463 beq t5,t4,10324 + 10320: 2c50206f j 12de4 + +00010324 : + 10324: fff02093 slti ra,zero,-1 + 10328: 00000e93 li t4,0 + 1032c: 22200193 li gp,546 + 10330: 01d08463 beq ra,t4,10338 + 10334: 2b10206f j 12de4 + +00010338 : + 10338: 00ff00b7 lui ra,0xff0 + 1033c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 10340: fff0a013 slti zero,ra,-1 + 10344: 00000e93 li t4,0 + 10348: 22300193 li gp,547 + 1034c: 01d00463 beq zero,t4,10354 + 10350: 2950206f j 12de4 + +00010354 : + 10354: 00000093 li ra,0 + 10358: 0000bf13 sltiu t5,ra,0 + 1035c: 00000e93 li t4,0 + 10360: 22400193 li gp,548 + 10364: 01df0463 beq t5,t4,1036c + 10368: 27d0206f j 12de4 + +0001036c : + 1036c: 00100093 li ra,1 + 10370: 0010bf13 seqz t5,ra + 10374: 00000e93 li t4,0 + 10378: 22500193 li gp,549 + 1037c: 01df0463 beq t5,t4,10384 + 10380: 2650206f j 12de4 + +00010384 : + 10384: 00300093 li ra,3 + 10388: 0070bf13 sltiu t5,ra,7 + 1038c: 00100e93 li t4,1 + 10390: 22600193 li gp,550 + 10394: 01df0463 beq t5,t4,1039c + 10398: 24d0206f j 12de4 + +0001039c : + 1039c: 00700093 li ra,7 + 103a0: 0030bf13 sltiu t5,ra,3 + 103a4: 00000e93 li t4,0 + 103a8: 22700193 li gp,551 + 103ac: 01df0463 beq t5,t4,103b4 + 103b0: 2350206f j 12de4 + +000103b4 : + 103b4: 00000093 li ra,0 + 103b8: 8000bf13 sltiu t5,ra,-2048 + 103bc: 00100e93 li t4,1 + 103c0: 22800193 li gp,552 + 103c4: 01df0463 beq t5,t4,103cc + 103c8: 21d0206f j 12de4 + +000103cc : + 103cc: 800000b7 lui ra,0x80000 + 103d0: 0000bf13 sltiu t5,ra,0 + 103d4: 00000e93 li t4,0 + 103d8: 22900193 li gp,553 + 103dc: 01df0463 beq t5,t4,103e4 + 103e0: 2050206f j 12de4 + +000103e4 : + 103e4: 800000b7 lui ra,0x80000 + 103e8: 8000bf13 sltiu t5,ra,-2048 + 103ec: 00100e93 li t4,1 + 103f0: 22a00193 li gp,554 + 103f4: 01df0463 beq t5,t4,103fc + 103f8: 1ed0206f j 12de4 + +000103fc : + 103fc: 00000093 li ra,0 + 10400: 7ff0bf13 sltiu t5,ra,2047 + 10404: 00100e93 li t4,1 + 10408: 22b00193 li gp,555 + 1040c: 01df0463 beq t5,t4,10414 + 10410: 1d50206f j 12de4 + +00010414 : + 10414: 800000b7 lui ra,0x80000 + 10418: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1041c: 0000bf13 sltiu t5,ra,0 + 10420: 00000e93 li t4,0 + 10424: 22c00193 li gp,556 + 10428: 01df0463 beq t5,t4,10430 + 1042c: 1b90206f j 12de4 + +00010430 : + 10430: 800000b7 lui ra,0x80000 + 10434: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10438: 7ff0bf13 sltiu t5,ra,2047 + 1043c: 00000e93 li t4,0 + 10440: 22d00193 li gp,557 + 10444: 01df0463 beq t5,t4,1044c + 10448: 19d0206f j 12de4 + +0001044c : + 1044c: 800000b7 lui ra,0x80000 + 10450: 7ff0bf13 sltiu t5,ra,2047 + 10454: 00000e93 li t4,0 + 10458: 22e00193 li gp,558 + 1045c: 01df0463 beq t5,t4,10464 + 10460: 1850206f j 12de4 + +00010464 : + 10464: 800000b7 lui ra,0x80000 + 10468: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 1046c: 8000bf13 sltiu t5,ra,-2048 + 10470: 00100e93 li t4,1 + 10474: 22f00193 li gp,559 + 10478: 01df0463 beq t5,t4,10480 + 1047c: 1690206f j 12de4 + +00010480 : + 10480: 00000093 li ra,0 + 10484: fff0bf13 sltiu t5,ra,-1 + 10488: 00100e93 li t4,1 + 1048c: 23000193 li gp,560 + 10490: 01df0463 beq t5,t4,10498 + 10494: 1510206f j 12de4 + +00010498 : + 10498: fff00093 li ra,-1 + 1049c: 0010bf13 seqz t5,ra + 104a0: 00000e93 li t4,0 + 104a4: 23100193 li gp,561 + 104a8: 01df0463 beq t5,t4,104b0 + 104ac: 1390206f j 12de4 + +000104b0 : + 104b0: fff00093 li ra,-1 + 104b4: fff0bf13 sltiu t5,ra,-1 + 104b8: 00000e93 li t4,0 + 104bc: 23200193 li gp,562 + 104c0: 01df0463 beq t5,t4,104c8 + 104c4: 1210206f j 12de4 + +000104c8 : + 104c8: 00b00093 li ra,11 + 104cc: 00d0b093 sltiu ra,ra,13 + 104d0: 00100e93 li t4,1 + 104d4: 23300193 li gp,563 + 104d8: 01d08463 beq ra,t4,104e0 + 104dc: 1090206f j 12de4 + +000104e0 : + 104e0: 00000213 li tp,0 + 104e4: 00f00093 li ra,15 + 104e8: 00a0bf13 sltiu t5,ra,10 + 104ec: 000f0313 mv t1,t5 + 104f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 104f4: 00200293 li t0,2 + 104f8: fe5216e3 bne tp,t0,104e4 + 104fc: 00000e93 li t4,0 + 10500: 23400193 li gp,564 + 10504: 01d30463 beq t1,t4,1050c + 10508: 0dd0206f j 12de4 + +0001050c : + 1050c: 00000213 li tp,0 + 10510: 00a00093 li ra,10 + 10514: 0100bf13 sltiu t5,ra,16 + 10518: 00000013 nop + 1051c: 000f0313 mv t1,t5 + 10520: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10524: 00200293 li t0,2 + 10528: fe5214e3 bne tp,t0,10510 + 1052c: 00100e93 li t4,1 + 10530: 23500193 li gp,565 + 10534: 01d30463 beq t1,t4,1053c + 10538: 0ad0206f j 12de4 + +0001053c : + 1053c: 00000213 li tp,0 + 10540: 01000093 li ra,16 + 10544: 0090bf13 sltiu t5,ra,9 + 10548: 00000013 nop + 1054c: 00000013 nop + 10550: 000f0313 mv t1,t5 + 10554: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10558: 00200293 li t0,2 + 1055c: fe5212e3 bne tp,t0,10540 + 10560: 00000e93 li t4,0 + 10564: 23700193 li gp,567 + 10568: 01d30463 beq t1,t4,10570 + 1056c: 0790206f j 12de4 + +00010570 : + 10570: 00000213 li tp,0 + 10574: 00b00093 li ra,11 + 10578: 00f0bf13 sltiu t5,ra,15 + 1057c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10580: 00200293 li t0,2 + 10584: fe5218e3 bne tp,t0,10574 + 10588: 00100e93 li t4,1 + 1058c: 23800193 li gp,568 + 10590: 01df0463 beq t5,t4,10598 + 10594: 0510206f j 12de4 + +00010598 : + 10598: 00000213 li tp,0 + 1059c: 01100093 li ra,17 + 105a0: 00000013 nop + 105a4: 0080bf13 sltiu t5,ra,8 + 105a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 105ac: 00200293 li t0,2 + 105b0: fe5216e3 bne tp,t0,1059c + 105b4: 00000e93 li t4,0 + 105b8: 23900193 li gp,569 + 105bc: 01df0463 beq t5,t4,105c4 + 105c0: 0250206f j 12de4 + +000105c4 : + 105c4: 00000213 li tp,0 + 105c8: 00c00093 li ra,12 + 105cc: 00000013 nop + 105d0: 00000013 nop + 105d4: 00e0bf13 sltiu t5,ra,14 + 105d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 105dc: 00200293 li t0,2 + 105e0: fe5214e3 bne tp,t0,105c8 + 105e4: 00100e93 li t4,1 + 105e8: 23a00193 li gp,570 + 105ec: 01df0463 beq t5,t4,105f4 + 105f0: 7f40206f j 12de4 + +000105f4 : + 105f4: fff03093 sltiu ra,zero,-1 + 105f8: 00100e93 li t4,1 + 105fc: 23b00193 li gp,571 + 10600: 01d08463 beq ra,t4,10608 + 10604: 7e00206f j 12de4 + +00010608 : + 10608: 00ff00b7 lui ra,0xff0 + 1060c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 10610: fff0b013 sltiu zero,ra,-1 + 10614: 00000e93 li t4,0 + 10618: 23c00193 li gp,572 + 1061c: 01d00463 beq zero,t4,10624 + 10620: 7c40206f j 12de4 + +00010624 : + 10624: 00000093 li ra,0 + 10628: 00000113 li sp,0 + 1062c: 0020bf33 sltu t5,ra,sp + 10630: 00000e93 li t4,0 + 10634: 23d00193 li gp,573 + 10638: 01df0463 beq t5,t4,10640 + 1063c: 7a80206f j 12de4 + +00010640 : + 10640: 00100093 li ra,1 + 10644: 00100113 li sp,1 + 10648: 0020bf33 sltu t5,ra,sp + 1064c: 00000e93 li t4,0 + 10650: 23e00193 li gp,574 + 10654: 01df0463 beq t5,t4,1065c + 10658: 78c0206f j 12de4 + +0001065c : + 1065c: 00300093 li ra,3 + 10660: 00700113 li sp,7 + 10664: 0020bf33 sltu t5,ra,sp + 10668: 00100e93 li t4,1 + 1066c: 23f00193 li gp,575 + 10670: 01df0463 beq t5,t4,10678 + 10674: 7700206f j 12de4 + +00010678 : + 10678: 00700093 li ra,7 + 1067c: 00300113 li sp,3 + 10680: 0020bf33 sltu t5,ra,sp + 10684: 00000e93 li t4,0 + 10688: 24000193 li gp,576 + 1068c: 01df0463 beq t5,t4,10694 + 10690: 7540206f j 12de4 + +00010694 : + 10694: 00000093 li ra,0 + 10698: ffff8137 lui sp,0xffff8 + 1069c: 0020bf33 sltu t5,ra,sp + 106a0: 00100e93 li t4,1 + 106a4: 24100193 li gp,577 + 106a8: 01df0463 beq t5,t4,106b0 + 106ac: 7380206f j 12de4 + +000106b0 : + 106b0: 800000b7 lui ra,0x80000 + 106b4: 00000113 li sp,0 + 106b8: 0020bf33 sltu t5,ra,sp + 106bc: 00000e93 li t4,0 + 106c0: 24200193 li gp,578 + 106c4: 01df0463 beq t5,t4,106cc + 106c8: 71c0206f j 12de4 + +000106cc : + 106cc: 800000b7 lui ra,0x80000 + 106d0: ffff8137 lui sp,0xffff8 + 106d4: 0020bf33 sltu t5,ra,sp + 106d8: 00100e93 li t4,1 + 106dc: 24300193 li gp,579 + 106e0: 01df0463 beq t5,t4,106e8 + 106e4: 7000206f j 12de4 + +000106e8 : + 106e8: 00000093 li ra,0 + 106ec: 00008137 lui sp,0x8 + 106f0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 106f4: 0020bf33 sltu t5,ra,sp + 106f8: 00100e93 li t4,1 + 106fc: 24400193 li gp,580 + 10700: 01df0463 beq t5,t4,10708 + 10704: 6e00206f j 12de4 + +00010708 : + 10708: 800000b7 lui ra,0x80000 + 1070c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10710: 00000113 li sp,0 + 10714: 0020bf33 sltu t5,ra,sp + 10718: 00000e93 li t4,0 + 1071c: 24500193 li gp,581 + 10720: 01df0463 beq t5,t4,10728 + 10724: 6c00206f j 12de4 + +00010728 : + 10728: 800000b7 lui ra,0x80000 + 1072c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10730: 00008137 lui sp,0x8 + 10734: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10738: 0020bf33 sltu t5,ra,sp + 1073c: 00000e93 li t4,0 + 10740: 24600193 li gp,582 + 10744: 01df0463 beq t5,t4,1074c + 10748: 69c0206f j 12de4 + +0001074c : + 1074c: 800000b7 lui ra,0x80000 + 10750: 00008137 lui sp,0x8 + 10754: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 10758: 0020bf33 sltu t5,ra,sp + 1075c: 00000e93 li t4,0 + 10760: 24700193 li gp,583 + 10764: 01df0463 beq t5,t4,1076c + 10768: 67c0206f j 12de4 + +0001076c : + 1076c: 800000b7 lui ra,0x80000 + 10770: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10774: ffff8137 lui sp,0xffff8 + 10778: 0020bf33 sltu t5,ra,sp + 1077c: 00100e93 li t4,1 + 10780: 24800193 li gp,584 + 10784: 01df0463 beq t5,t4,1078c + 10788: 65c0206f j 12de4 + +0001078c : + 1078c: 00000093 li ra,0 + 10790: fff00113 li sp,-1 + 10794: 0020bf33 sltu t5,ra,sp + 10798: 00100e93 li t4,1 + 1079c: 24900193 li gp,585 + 107a0: 01df0463 beq t5,t4,107a8 + 107a4: 6400206f j 12de4 + +000107a8 : + 107a8: fff00093 li ra,-1 + 107ac: 00100113 li sp,1 + 107b0: 0020bf33 sltu t5,ra,sp + 107b4: 00000e93 li t4,0 + 107b8: 24a00193 li gp,586 + 107bc: 01df0463 beq t5,t4,107c4 + 107c0: 6240206f j 12de4 + +000107c4 : + 107c4: fff00093 li ra,-1 + 107c8: fff00113 li sp,-1 + 107cc: 0020bf33 sltu t5,ra,sp + 107d0: 00000e93 li t4,0 + 107d4: 24b00193 li gp,587 + 107d8: 01df0463 beq t5,t4,107e0 + 107dc: 6080206f j 12de4 + +000107e0 : + 107e0: 00e00093 li ra,14 + 107e4: 00d00113 li sp,13 + 107e8: 0020b0b3 sltu ra,ra,sp + 107ec: 00000e93 li t4,0 + 107f0: 24c00193 li gp,588 + 107f4: 01d08463 beq ra,t4,107fc + 107f8: 5ec0206f j 12de4 + +000107fc : + 107fc: 00b00093 li ra,11 + 10800: 00d00113 li sp,13 + 10804: 0020b133 sltu sp,ra,sp + 10808: 00100e93 li t4,1 + 1080c: 24d00193 li gp,589 + 10810: 01d10463 beq sp,t4,10818 + 10814: 5d00206f j 12de4 + +00010818 : + 10818: 00d00093 li ra,13 + 1081c: 0010b0b3 sltu ra,ra,ra + 10820: 00000e93 li t4,0 + 10824: 24e00193 li gp,590 + 10828: 01d08463 beq ra,t4,10830 + 1082c: 5b80206f j 12de4 + +00010830 : + 10830: 00000213 li tp,0 + 10834: 00b00093 li ra,11 + 10838: 00d00113 li sp,13 + 1083c: 0020bf33 sltu t5,ra,sp + 10840: 000f0313 mv t1,t5 + 10844: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10848: 00200293 li t0,2 + 1084c: fe5214e3 bne tp,t0,10834 + 10850: 00100e93 li t4,1 + 10854: 24f00193 li gp,591 + 10858: 01d30463 beq t1,t4,10860 + 1085c: 5880206f j 12de4 + +00010860 : + 10860: 00000213 li tp,0 + 10864: 00e00093 li ra,14 + 10868: 00d00113 li sp,13 + 1086c: 0020bf33 sltu t5,ra,sp + 10870: 00000013 nop + 10874: 000f0313 mv t1,t5 + 10878: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1087c: 00200293 li t0,2 + 10880: fe5212e3 bne tp,t0,10864 + 10884: 00000e93 li t4,0 + 10888: 25000193 li gp,592 + 1088c: 01d30463 beq t1,t4,10894 + 10890: 5540206f j 12de4 + +00010894 : + 10894: 00000213 li tp,0 + 10898: 00c00093 li ra,12 + 1089c: 00d00113 li sp,13 + 108a0: 0020bf33 sltu t5,ra,sp + 108a4: 00000013 nop + 108a8: 00000013 nop + 108ac: 000f0313 mv t1,t5 + 108b0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 108b4: 00200293 li t0,2 + 108b8: fe5210e3 bne tp,t0,10898 + 108bc: 00100e93 li t4,1 + 108c0: 25100193 li gp,593 + 108c4: 01d30463 beq t1,t4,108cc + 108c8: 51c0206f j 12de4 + +000108cc : + 108cc: 00000213 li tp,0 + 108d0: 00e00093 li ra,14 + 108d4: 00d00113 li sp,13 + 108d8: 0020bf33 sltu t5,ra,sp + 108dc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 108e0: 00200293 li t0,2 + 108e4: fe5216e3 bne tp,t0,108d0 + 108e8: 00000e93 li t4,0 + 108ec: 25200193 li gp,594 + 108f0: 01df0463 beq t5,t4,108f8 + 108f4: 4f00206f j 12de4 + +000108f8 : + 108f8: 00000213 li tp,0 + 108fc: 00b00093 li ra,11 + 10900: 00d00113 li sp,13 + 10904: 00000013 nop + 10908: 0020bf33 sltu t5,ra,sp + 1090c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10910: 00200293 li t0,2 + 10914: fe5214e3 bne tp,t0,108fc + 10918: 00100e93 li t4,1 + 1091c: 25300193 li gp,595 + 10920: 01df0463 beq t5,t4,10928 + 10924: 4c00206f j 12de4 + +00010928 : + 10928: 00000213 li tp,0 + 1092c: 00f00093 li ra,15 + 10930: 00d00113 li sp,13 + 10934: 00000013 nop + 10938: 00000013 nop + 1093c: 0020bf33 sltu t5,ra,sp + 10940: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10944: 00200293 li t0,2 + 10948: fe5212e3 bne tp,t0,1092c + 1094c: 00000e93 li t4,0 + 10950: 25400193 li gp,596 + 10954: 01df0463 beq t5,t4,1095c + 10958: 48c0206f j 12de4 + +0001095c : + 1095c: 00000213 li tp,0 + 10960: 00a00093 li ra,10 + 10964: 00000013 nop + 10968: 00d00113 li sp,13 + 1096c: 0020bf33 sltu t5,ra,sp + 10970: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10974: 00200293 li t0,2 + 10978: fe5214e3 bne tp,t0,10960 + 1097c: 00100e93 li t4,1 + 10980: 25500193 li gp,597 + 10984: 01df0463 beq t5,t4,1098c + 10988: 45c0206f j 12de4 + +0001098c : + 1098c: 00000213 li tp,0 + 10990: 01000093 li ra,16 + 10994: 00000013 nop + 10998: 00d00113 li sp,13 + 1099c: 00000013 nop + 109a0: 0020bf33 sltu t5,ra,sp + 109a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109a8: 00200293 li t0,2 + 109ac: fe5212e3 bne tp,t0,10990 + 109b0: 00000e93 li t4,0 + 109b4: 25600193 li gp,598 + 109b8: 01df0463 beq t5,t4,109c0 + 109bc: 4280206f j 12de4 + +000109c0 : + 109c0: 00000213 li tp,0 + 109c4: 00900093 li ra,9 + 109c8: 00000013 nop + 109cc: 00000013 nop + 109d0: 00d00113 li sp,13 + 109d4: 0020bf33 sltu t5,ra,sp + 109d8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 109dc: 00200293 li t0,2 + 109e0: fe5212e3 bne tp,t0,109c4 + 109e4: 00100e93 li t4,1 + 109e8: 25700193 li gp,599 + 109ec: 01df0463 beq t5,t4,109f4 + 109f0: 3f40206f j 12de4 + +000109f4 : + 109f4: 00000213 li tp,0 + 109f8: 00d00113 li sp,13 + 109fc: 01100093 li ra,17 + 10a00: 0020bf33 sltu t5,ra,sp + 10a04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a08: 00200293 li t0,2 + 10a0c: fe5216e3 bne tp,t0,109f8 + 10a10: 00000e93 li t4,0 + 10a14: 25900193 li gp,601 + 10a18: 01df0463 beq t5,t4,10a20 + 10a1c: 3c80206f j 12de4 + +00010a20 : + 10a20: 00000213 li tp,0 + 10a24: 00d00113 li sp,13 + 10a28: 00800093 li ra,8 + 10a2c: 00000013 nop + 10a30: 0020bf33 sltu t5,ra,sp + 10a34: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a38: 00200293 li t0,2 + 10a3c: fe5214e3 bne tp,t0,10a24 + 10a40: 00100e93 li t4,1 + 10a44: 25a00193 li gp,602 + 10a48: 01df0463 beq t5,t4,10a50 + 10a4c: 3980206f j 12de4 + +00010a50 : + 10a50: 00000213 li tp,0 + 10a54: 00d00113 li sp,13 + 10a58: 01200093 li ra,18 + 10a5c: 00000013 nop + 10a60: 00000013 nop + 10a64: 0020bf33 sltu t5,ra,sp + 10a68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a6c: 00200293 li t0,2 + 10a70: fe5212e3 bne tp,t0,10a54 + 10a74: 00000e93 li t4,0 + 10a78: 25b00193 li gp,603 + 10a7c: 01df0463 beq t5,t4,10a84 + 10a80: 3640206f j 12de4 + +00010a84 : + 10a84: 00000213 li tp,0 + 10a88: 00d00113 li sp,13 + 10a8c: 00000013 nop + 10a90: 00700093 li ra,7 + 10a94: 0020bf33 sltu t5,ra,sp + 10a98: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10a9c: 00200293 li t0,2 + 10aa0: fe5214e3 bne tp,t0,10a88 + 10aa4: 00100e93 li t4,1 + 10aa8: 25c00193 li gp,604 + 10aac: 01df0463 beq t5,t4,10ab4 + 10ab0: 3340206f j 12de4 + +00010ab4 : + 10ab4: 00000213 li tp,0 + 10ab8: 00d00113 li sp,13 + 10abc: 00000013 nop + 10ac0: 01300093 li ra,19 + 10ac4: 00000013 nop + 10ac8: 0020bf33 sltu t5,ra,sp + 10acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ad0: 00200293 li t0,2 + 10ad4: fe5212e3 bne tp,t0,10ab8 + 10ad8: 00000e93 li t4,0 + 10adc: 25d00193 li gp,605 + 10ae0: 01df0463 beq t5,t4,10ae8 + 10ae4: 3000206f j 12de4 + +00010ae8 : + 10ae8: 00000213 li tp,0 + 10aec: 00d00113 li sp,13 + 10af0: 00000013 nop + 10af4: 00000013 nop + 10af8: 00600093 li ra,6 + 10afc: 0020bf33 sltu t5,ra,sp + 10b00: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10b04: 00200293 li t0,2 + 10b08: fe5212e3 bne tp,t0,10aec + 10b0c: 00100e93 li t4,1 + 10b10: 25e00193 li gp,606 + 10b14: 01df0463 beq t5,t4,10b1c + 10b18: 2cc0206f j 12de4 + +00010b1c : + 10b1c: fff00093 li ra,-1 + 10b20: 00103133 snez sp,ra + 10b24: 00100e93 li t4,1 + 10b28: 25f00193 li gp,607 + 10b2c: 01d10463 beq sp,t4,10b34 + 10b30: 2b40206f j 12de4 + +00010b34 : + 10b34: fff00093 li ra,-1 + 10b38: 0000b133 sltu sp,ra,zero + 10b3c: 00000e93 li t4,0 + 10b40: 26000193 li gp,608 + 10b44: 01d10463 beq sp,t4,10b4c + 10b48: 29c0206f j 12de4 + +00010b4c : + 10b4c: 000030b3 snez ra,zero + 10b50: 00000e93 li t4,0 + 10b54: 26100193 li gp,609 + 10b58: 01d08463 beq ra,t4,10b60 + 10b5c: 2880206f j 12de4 + +00010b60 : + 10b60: 01000093 li ra,16 + 10b64: 01e00113 li sp,30 + 10b68: 0020b033 sltu zero,ra,sp + 10b6c: 00000e93 li t4,0 + 10b70: 26200193 li gp,610 + 10b74: 01d00463 beq zero,t4,10b7c + 10b78: 26c0206f j 12de4 + +00010b7c : + 10b7c: 800000b7 lui ra,0x80000 + 10b80: 00000113 li sp,0 + 10b84: 4020df33 sra t5,ra,sp + 10b88: 80000eb7 lui t4,0x80000 + 10b8c: 26300193 li gp,611 + 10b90: 01df0463 beq t5,t4,10b98 + 10b94: 2500206f j 12de4 + +00010b98 : + 10b98: 800000b7 lui ra,0x80000 + 10b9c: 00100113 li sp,1 + 10ba0: 4020df33 sra t5,ra,sp + 10ba4: c0000eb7 lui t4,0xc0000 + 10ba8: 26400193 li gp,612 + 10bac: 01df0463 beq t5,t4,10bb4 + 10bb0: 2340206f j 12de4 + +00010bb4 : + 10bb4: 800000b7 lui ra,0x80000 + 10bb8: 00700113 li sp,7 + 10bbc: 4020df33 sra t5,ra,sp + 10bc0: ff000eb7 lui t4,0xff000 + 10bc4: 26500193 li gp,613 + 10bc8: 01df0463 beq t5,t4,10bd0 + 10bcc: 2180206f j 12de4 + +00010bd0 : + 10bd0: 800000b7 lui ra,0x80000 + 10bd4: 00e00113 li sp,14 + 10bd8: 4020df33 sra t5,ra,sp + 10bdc: fffe0eb7 lui t4,0xfffe0 + 10be0: 26600193 li gp,614 + 10be4: 01df0463 beq t5,t4,10bec + 10be8: 1fc0206f j 12de4 + +00010bec : + 10bec: 800000b7 lui ra,0x80000 + 10bf0: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 10bf4: 01f00113 li sp,31 + 10bf8: 4020df33 sra t5,ra,sp + 10bfc: fff00e93 li t4,-1 + 10c00: 26700193 li gp,615 + 10c04: 01df0463 beq t5,t4,10c0c + 10c08: 1dc0206f j 12de4 + +00010c0c : + 10c0c: 800000b7 lui ra,0x80000 + 10c10: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c14: 00000113 li sp,0 + 10c18: 4020df33 sra t5,ra,sp + 10c1c: 80000eb7 lui t4,0x80000 + 10c20: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c24: 26800193 li gp,616 + 10c28: 01df0463 beq t5,t4,10c30 + 10c2c: 1b80206f j 12de4 + +00010c30 : + 10c30: 800000b7 lui ra,0x80000 + 10c34: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c38: 00100113 li sp,1 + 10c3c: 4020df33 sra t5,ra,sp + 10c40: 40000eb7 lui t4,0x40000 + 10c44: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> + 10c48: 26900193 li gp,617 + 10c4c: 01df0463 beq t5,t4,10c54 + 10c50: 1940206f j 12de4 + +00010c54 : + 10c54: 800000b7 lui ra,0x80000 + 10c58: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c5c: 00700113 li sp,7 + 10c60: 4020df33 sra t5,ra,sp + 10c64: 01000eb7 lui t4,0x1000 + 10c68: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> + 10c6c: 26a00193 li gp,618 + 10c70: 01df0463 beq t5,t4,10c78 + 10c74: 1700206f j 12de4 + +00010c78 : + 10c78: 800000b7 lui ra,0x80000 + 10c7c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10c80: 00e00113 li sp,14 + 10c84: 4020df33 sra t5,ra,sp + 10c88: 00020eb7 lui t4,0x20 + 10c8c: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> + 10c90: 26b00193 li gp,619 + 10c94: 01df0463 beq t5,t4,10c9c + 10c98: 14c0206f j 12de4 + +00010c9c : + 10c9c: 800000b7 lui ra,0x80000 + 10ca0: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 10ca4: 01f00113 li sp,31 + 10ca8: 4020df33 sra t5,ra,sp + 10cac: 00000e93 li t4,0 + 10cb0: 26c00193 li gp,620 + 10cb4: 01df0463 beq t5,t4,10cbc + 10cb8: 12c0206f j 12de4 + +00010cbc : + 10cbc: 818180b7 lui ra,0x81818 + 10cc0: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10cc4: 00000113 li sp,0 + 10cc8: 4020df33 sra t5,ra,sp + 10ccc: 81818eb7 lui t4,0x81818 + 10cd0: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 10cd4: 26d00193 li gp,621 + 10cd8: 01df0463 beq t5,t4,10ce0 + 10cdc: 1080206f j 12de4 + +00010ce0 : + 10ce0: 818180b7 lui ra,0x81818 + 10ce4: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10ce8: 00100113 li sp,1 + 10cec: 4020df33 sra t5,ra,sp + 10cf0: c0c0ceb7 lui t4,0xc0c0c + 10cf4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 10cf8: 26e00193 li gp,622 + 10cfc: 01df0463 beq t5,t4,10d04 + 10d00: 0e40206f j 12de4 + +00010d04 : + 10d04: 818180b7 lui ra,0x81818 + 10d08: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d0c: 00700113 li sp,7 + 10d10: 4020df33 sra t5,ra,sp + 10d14: ff030eb7 lui t4,0xff030 + 10d18: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 10d1c: 26f00193 li gp,623 + 10d20: 01df0463 beq t5,t4,10d28 + 10d24: 0c00206f j 12de4 + +00010d28 : + 10d28: 818180b7 lui ra,0x81818 + 10d2c: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d30: 00e00113 li sp,14 + 10d34: 4020df33 sra t5,ra,sp + 10d38: fffe0eb7 lui t4,0xfffe0 + 10d3c: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 10d40: 27000193 li gp,624 + 10d44: 01df0463 beq t5,t4,10d4c + 10d48: 09c0206f j 12de4 + +00010d4c : + 10d4c: 818180b7 lui ra,0x81818 + 10d50: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d54: 01f00113 li sp,31 + 10d58: 4020df33 sra t5,ra,sp + 10d5c: fff00e93 li t4,-1 + 10d60: 27100193 li gp,625 + 10d64: 01df0463 beq t5,t4,10d6c + 10d68: 07c0206f j 12de4 + +00010d6c : + 10d6c: 818180b7 lui ra,0x81818 + 10d70: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d74: fc000113 li sp,-64 + 10d78: 4020df33 sra t5,ra,sp + 10d7c: 81818eb7 lui t4,0x81818 + 10d80: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 10d84: 27200193 li gp,626 + 10d88: 01df0463 beq t5,t4,10d90 + 10d8c: 0580206f j 12de4 + +00010d90 : + 10d90: 818180b7 lui ra,0x81818 + 10d94: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10d98: fc100113 li sp,-63 + 10d9c: 4020df33 sra t5,ra,sp + 10da0: c0c0ceb7 lui t4,0xc0c0c + 10da4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 10da8: 27300193 li gp,627 + 10dac: 01df0463 beq t5,t4,10db4 + 10db0: 0340206f j 12de4 + +00010db4 : + 10db4: 818180b7 lui ra,0x81818 + 10db8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10dbc: fc700113 li sp,-57 + 10dc0: 4020df33 sra t5,ra,sp + 10dc4: ff030eb7 lui t4,0xff030 + 10dc8: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 10dcc: 27400193 li gp,628 + 10dd0: 01df0463 beq t5,t4,10dd8 + 10dd4: 0100206f j 12de4 + +00010dd8 : + 10dd8: 818180b7 lui ra,0x81818 + 10ddc: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10de0: fce00113 li sp,-50 + 10de4: 4020df33 sra t5,ra,sp + 10de8: fffe0eb7 lui t4,0xfffe0 + 10dec: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 10df0: 27500193 li gp,629 + 10df4: 01df0463 beq t5,t4,10dfc + 10df8: 7ed0106f j 12de4 + +00010dfc : + 10dfc: 818180b7 lui ra,0x81818 + 10e00: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 10e04: fff00113 li sp,-1 + 10e08: 4020df33 sra t5,ra,sp + 10e0c: fff00e93 li t4,-1 + 10e10: 27600193 li gp,630 + 10e14: 01df0463 beq t5,t4,10e1c + 10e18: 7cd0106f j 12de4 + +00010e1c : + 10e1c: 800000b7 lui ra,0x80000 + 10e20: 00700113 li sp,7 + 10e24: 4020d0b3 sra ra,ra,sp + 10e28: ff000eb7 lui t4,0xff000 + 10e2c: 27700193 li gp,631 + 10e30: 01d08463 beq ra,t4,10e38 + 10e34: 7b10106f j 12de4 + +00010e38 : + 10e38: 800000b7 lui ra,0x80000 + 10e3c: 00e00113 li sp,14 + 10e40: 4020d133 sra sp,ra,sp + 10e44: fffe0eb7 lui t4,0xfffe0 + 10e48: 27800193 li gp,632 + 10e4c: 01d10463 beq sp,t4,10e54 + 10e50: 7950106f j 12de4 + +00010e54 : + 10e54: 00700093 li ra,7 + 10e58: 4010d0b3 sra ra,ra,ra + 10e5c: 00000e93 li t4,0 + 10e60: 27900193 li gp,633 + 10e64: 01d08463 beq ra,t4,10e6c + 10e68: 77d0106f j 12de4 + +00010e6c : + 10e6c: 00000213 li tp,0 + 10e70: 800000b7 lui ra,0x80000 + 10e74: 00700113 li sp,7 + 10e78: 4020df33 sra t5,ra,sp + 10e7c: 000f0313 mv t1,t5 + 10e80: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10e84: 00200293 li t0,2 + 10e88: fe5214e3 bne tp,t0,10e70 + 10e8c: ff000eb7 lui t4,0xff000 + 10e90: 27a00193 li gp,634 + 10e94: 01d30463 beq t1,t4,10e9c + 10e98: 74d0106f j 12de4 + +00010e9c : + 10e9c: 00000213 li tp,0 + 10ea0: 800000b7 lui ra,0x80000 + 10ea4: 00e00113 li sp,14 + 10ea8: 4020df33 sra t5,ra,sp + 10eac: 00000013 nop + 10eb0: 000f0313 mv t1,t5 + 10eb4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10eb8: 00200293 li t0,2 + 10ebc: fe5212e3 bne tp,t0,10ea0 + 10ec0: fffe0eb7 lui t4,0xfffe0 + 10ec4: 27b00193 li gp,635 + 10ec8: 01d30463 beq t1,t4,10ed0 + 10ecc: 7190106f j 12de4 + +00010ed0 : + 10ed0: 00000213 li tp,0 + 10ed4: 800000b7 lui ra,0x80000 + 10ed8: 01f00113 li sp,31 + 10edc: 4020df33 sra t5,ra,sp + 10ee0: 00000013 nop + 10ee4: 00000013 nop + 10ee8: 000f0313 mv t1,t5 + 10eec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10ef0: 00200293 li t0,2 + 10ef4: fe5210e3 bne tp,t0,10ed4 + 10ef8: fff00e93 li t4,-1 + 10efc: 27c00193 li gp,636 + 10f00: 01d30463 beq t1,t4,10f08 + 10f04: 6e10106f j 12de4 + +00010f08 : + 10f08: 00000213 li tp,0 + 10f0c: 800000b7 lui ra,0x80000 + 10f10: 00700113 li sp,7 + 10f14: 4020df33 sra t5,ra,sp + 10f18: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f1c: 00200293 li t0,2 + 10f20: fe5216e3 bne tp,t0,10f0c + 10f24: ff000eb7 lui t4,0xff000 + 10f28: 27d00193 li gp,637 + 10f2c: 01df0463 beq t5,t4,10f34 + 10f30: 6b50106f j 12de4 + +00010f34 : + 10f34: 00000213 li tp,0 + 10f38: 800000b7 lui ra,0x80000 + 10f3c: 00e00113 li sp,14 + 10f40: 00000013 nop + 10f44: 4020df33 sra t5,ra,sp + 10f48: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f4c: 00200293 li t0,2 + 10f50: fe5214e3 bne tp,t0,10f38 + 10f54: fffe0eb7 lui t4,0xfffe0 + 10f58: 27e00193 li gp,638 + 10f5c: 01df0463 beq t5,t4,10f64 + 10f60: 6850106f j 12de4 + +00010f64 : + 10f64: 00000213 li tp,0 + 10f68: 800000b7 lui ra,0x80000 + 10f6c: 01f00113 li sp,31 + 10f70: 00000013 nop + 10f74: 00000013 nop + 10f78: 4020df33 sra t5,ra,sp + 10f7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10f80: 00200293 li t0,2 + 10f84: fe5212e3 bne tp,t0,10f68 + 10f88: fff00e93 li t4,-1 + 10f8c: 27f00193 li gp,639 + 10f90: 01df0463 beq t5,t4,10f98 + 10f94: 6510106f j 12de4 + +00010f98 : + 10f98: 00000213 li tp,0 + 10f9c: 800000b7 lui ra,0x80000 + 10fa0: 00000013 nop + 10fa4: 00700113 li sp,7 + 10fa8: 4020df33 sra t5,ra,sp + 10fac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fb0: 00200293 li t0,2 + 10fb4: fe5214e3 bne tp,t0,10f9c + 10fb8: ff000eb7 lui t4,0xff000 + 10fbc: 28000193 li gp,640 + 10fc0: 01df0463 beq t5,t4,10fc8 + 10fc4: 6210106f j 12de4 + +00010fc8 : + 10fc8: 00000213 li tp,0 + 10fcc: 800000b7 lui ra,0x80000 + 10fd0: 00000013 nop + 10fd4: 00e00113 li sp,14 + 10fd8: 00000013 nop + 10fdc: 4020df33 sra t5,ra,sp + 10fe0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 10fe4: 00200293 li t0,2 + 10fe8: fe5212e3 bne tp,t0,10fcc + 10fec: fffe0eb7 lui t4,0xfffe0 + 10ff0: 28100193 li gp,641 + 10ff4: 01df0463 beq t5,t4,10ffc + 10ff8: 5ed0106f j 12de4 + +00010ffc : + 10ffc: 00000213 li tp,0 + 11000: 800000b7 lui ra,0x80000 + 11004: 00000013 nop + 11008: 00000013 nop + 1100c: 01f00113 li sp,31 + 11010: 4020df33 sra t5,ra,sp + 11014: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11018: 00200293 li t0,2 + 1101c: fe5212e3 bne tp,t0,11000 + 11020: fff00e93 li t4,-1 + 11024: 28200193 li gp,642 + 11028: 01df0463 beq t5,t4,11030 + 1102c: 5b90106f j 12de4 + +00011030 : + 11030: 00000213 li tp,0 + 11034: 00700113 li sp,7 + 11038: 800000b7 lui ra,0x80000 + 1103c: 4020df33 sra t5,ra,sp + 11040: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11044: 00200293 li t0,2 + 11048: fe5216e3 bne tp,t0,11034 + 1104c: ff000eb7 lui t4,0xff000 + 11050: 28300193 li gp,643 + 11054: 01df0463 beq t5,t4,1105c + 11058: 58d0106f j 12de4 + +0001105c : + 1105c: 00000213 li tp,0 + 11060: 00e00113 li sp,14 + 11064: 800000b7 lui ra,0x80000 + 11068: 00000013 nop + 1106c: 4020df33 sra t5,ra,sp + 11070: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11074: 00200293 li t0,2 + 11078: fe5214e3 bne tp,t0,11060 + 1107c: fffe0eb7 lui t4,0xfffe0 + 11080: 28400193 li gp,644 + 11084: 01df0463 beq t5,t4,1108c + 11088: 55d0106f j 12de4 + +0001108c : + 1108c: 00000213 li tp,0 + 11090: 01f00113 li sp,31 + 11094: 800000b7 lui ra,0x80000 + 11098: 00000013 nop + 1109c: 00000013 nop + 110a0: 4020df33 sra t5,ra,sp + 110a4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110a8: 00200293 li t0,2 + 110ac: fe5212e3 bne tp,t0,11090 + 110b0: fff00e93 li t4,-1 + 110b4: 28500193 li gp,645 + 110b8: 01df0463 beq t5,t4,110c0 + 110bc: 5290106f j 12de4 + +000110c0 : + 110c0: 00000213 li tp,0 + 110c4: 00700113 li sp,7 + 110c8: 00000013 nop + 110cc: 800000b7 lui ra,0x80000 + 110d0: 4020df33 sra t5,ra,sp + 110d4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 110d8: 00200293 li t0,2 + 110dc: fe5214e3 bne tp,t0,110c4 + 110e0: ff000eb7 lui t4,0xff000 + 110e4: 28600193 li gp,646 + 110e8: 01df0463 beq t5,t4,110f0 + 110ec: 4f90106f j 12de4 + +000110f0 : + 110f0: 00000213 li tp,0 + 110f4: 00e00113 li sp,14 + 110f8: 00000013 nop + 110fc: 800000b7 lui ra,0x80000 + 11100: 00000013 nop + 11104: 4020df33 sra t5,ra,sp + 11108: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1110c: 00200293 li t0,2 + 11110: fe5212e3 bne tp,t0,110f4 + 11114: fffe0eb7 lui t4,0xfffe0 + 11118: 28700193 li gp,647 + 1111c: 01df0463 beq t5,t4,11124 + 11120: 4c50106f j 12de4 + +00011124 : + 11124: 00000213 li tp,0 + 11128: 01f00113 li sp,31 + 1112c: 00000013 nop + 11130: 00000013 nop + 11134: 800000b7 lui ra,0x80000 + 11138: 4020df33 sra t5,ra,sp + 1113c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11140: 00200293 li t0,2 + 11144: fe5212e3 bne tp,t0,11128 + 11148: fff00e93 li t4,-1 + 1114c: 28800193 li gp,648 + 11150: 01df0463 beq t5,t4,11158 + 11154: 4910106f j 12de4 + +00011158 : + 11158: 00f00093 li ra,15 + 1115c: 40105133 sra sp,zero,ra + 11160: 00000e93 li t4,0 + 11164: 28900193 li gp,649 + 11168: 01d10463 beq sp,t4,11170 + 1116c: 4790106f j 12de4 + +00011170 : + 11170: 02000093 li ra,32 + 11174: 4000d133 sra sp,ra,zero + 11178: 02000e93 li t4,32 + 1117c: 28a00193 li gp,650 + 11180: 01d10463 beq sp,t4,11188 + 11184: 4610106f j 12de4 + +00011188 : + 11188: 400050b3 sra ra,zero,zero + 1118c: 00000e93 li t4,0 + 11190: 28b00193 li gp,651 + 11194: 01d08463 beq ra,t4,1119c + 11198: 44d0106f j 12de4 + +0001119c : + 1119c: 40000093 li ra,1024 + 111a0: 00001137 lui sp,0x1 + 111a4: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 111a8: 4020d033 sra zero,ra,sp + 111ac: 00000e93 li t4,0 + 111b0: 28c00193 li gp,652 + 111b4: 01d00463 beq zero,t4,111bc + 111b8: 42d0106f j 12de4 + +000111bc : + 111bc: 00000093 li ra,0 + 111c0: 4000df13 srai t5,ra,0x0 + 111c4: 00000e93 li t4,0 + 111c8: 28d00193 li gp,653 + 111cc: 01df0463 beq t5,t4,111d4 + 111d0: 4150106f j 12de4 + +000111d4 : + 111d4: 800000b7 lui ra,0x80000 + 111d8: 4010df13 srai t5,ra,0x1 + 111dc: c0000eb7 lui t4,0xc0000 + 111e0: 28e00193 li gp,654 + 111e4: 01df0463 beq t5,t4,111ec + 111e8: 3fd0106f j 12de4 + +000111ec : + 111ec: 800000b7 lui ra,0x80000 + 111f0: 4070df13 srai t5,ra,0x7 + 111f4: ff000eb7 lui t4,0xff000 + 111f8: 28f00193 li gp,655 + 111fc: 01df0463 beq t5,t4,11204 + 11200: 3e50106f j 12de4 + +00011204 : + 11204: 800000b7 lui ra,0x80000 + 11208: 40e0df13 srai t5,ra,0xe + 1120c: fffe0eb7 lui t4,0xfffe0 + 11210: 29000193 li gp,656 + 11214: 01df0463 beq t5,t4,1121c + 11218: 3cd0106f j 12de4 + +0001121c : + 1121c: 800000b7 lui ra,0x80000 + 11220: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11224: 41f0df13 srai t5,ra,0x1f + 11228: fff00e93 li t4,-1 + 1122c: 29100193 li gp,657 + 11230: 01df0463 beq t5,t4,11238 + 11234: 3b10106f j 12de4 + +00011238 : + 11238: 800000b7 lui ra,0x80000 + 1123c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11240: 4010df13 srai t5,ra,0x1 + 11244: 40000eb7 lui t4,0x40000 + 11248: fffe8e93 addi t4,t4,-1 # 3fffffff <__global_pointer$+0x3ffeb8f7> + 1124c: 29200193 li gp,658 + 11250: 01df0463 beq t5,t4,11258 + 11254: 3910106f j 12de4 + +00011258 : + 11258: 800000b7 lui ra,0x80000 + 1125c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11260: 4070df13 srai t5,ra,0x7 + 11264: 01000eb7 lui t4,0x1000 + 11268: fffe8e93 addi t4,t4,-1 # ffffff <__global_pointer$+0xfeb8f7> + 1126c: 29300193 li gp,659 + 11270: 01df0463 beq t5,t4,11278 + 11274: 3710106f j 12de4 + +00011278 : + 11278: 800000b7 lui ra,0x80000 + 1127c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11280: 40e0df13 srai t5,ra,0xe + 11284: 00020eb7 lui t4,0x20 + 11288: fffe8e93 addi t4,t4,-1 # 1ffff <__global_pointer$+0xb8f7> + 1128c: 29400193 li gp,660 + 11290: 01df0463 beq t5,t4,11298 + 11294: 3510106f j 12de4 + +00011298 : + 11298: 800000b7 lui ra,0x80000 + 1129c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 112a0: 41f0df13 srai t5,ra,0x1f + 112a4: 00000e93 li t4,0 + 112a8: 29500193 li gp,661 + 112ac: 01df0463 beq t5,t4,112b4 + 112b0: 3350106f j 12de4 + +000112b4 : + 112b4: 818180b7 lui ra,0x81818 + 112b8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112bc: 4000df13 srai t5,ra,0x0 + 112c0: 81818eb7 lui t4,0x81818 + 112c4: 181e8e93 addi t4,t4,385 # 81818181 <__global_pointer$+0x81803a79> + 112c8: 29600193 li gp,662 + 112cc: 01df0463 beq t5,t4,112d4 + 112d0: 3150106f j 12de4 + +000112d4 : + 112d4: 818180b7 lui ra,0x81818 + 112d8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112dc: 4010df13 srai t5,ra,0x1 + 112e0: c0c0ceb7 lui t4,0xc0c0c + 112e4: 0c0e8e93 addi t4,t4,192 # c0c0c0c0 <__global_pointer$+0xc0bf79b8> + 112e8: 29700193 li gp,663 + 112ec: 01df0463 beq t5,t4,112f4 + 112f0: 2f50106f j 12de4 + +000112f4 : + 112f4: 818180b7 lui ra,0x81818 + 112f8: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 112fc: 4070df13 srai t5,ra,0x7 + 11300: ff030eb7 lui t4,0xff030 + 11304: 303e8e93 addi t4,t4,771 # ff030303 <__global_pointer$+0xff01bbfb> + 11308: 29800193 li gp,664 + 1130c: 01df0463 beq t5,t4,11314 + 11310: 2d50106f j 12de4 + +00011314 : + 11314: 818180b7 lui ra,0x81818 + 11318: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 1131c: 40e0df13 srai t5,ra,0xe + 11320: fffe0eb7 lui t4,0xfffe0 + 11324: 606e8e93 addi t4,t4,1542 # fffe0606 <__global_pointer$+0xfffcbefe> + 11328: 29900193 li gp,665 + 1132c: 01df0463 beq t5,t4,11334 + 11330: 2b50106f j 12de4 + +00011334 : + 11334: 818180b7 lui ra,0x81818 + 11338: 18108093 addi ra,ra,385 # 81818181 <__global_pointer$+0x81803a79> + 1133c: 41f0df13 srai t5,ra,0x1f + 11340: fff00e93 li t4,-1 + 11344: 29a00193 li gp,666 + 11348: 01df0463 beq t5,t4,11350 + 1134c: 2990106f j 12de4 + +00011350 : + 11350: 800000b7 lui ra,0x80000 + 11354: 4070d093 srai ra,ra,0x7 + 11358: ff000eb7 lui t4,0xff000 + 1135c: 29b00193 li gp,667 + 11360: 01d08463 beq ra,t4,11368 + 11364: 2810106f j 12de4 + +00011368 : + 11368: 00000213 li tp,0 + 1136c: 800000b7 lui ra,0x80000 + 11370: 4070df13 srai t5,ra,0x7 + 11374: 000f0313 mv t1,t5 + 11378: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1137c: 00200293 li t0,2 + 11380: fe5216e3 bne tp,t0,1136c + 11384: ff000eb7 lui t4,0xff000 + 11388: 29c00193 li gp,668 + 1138c: 01d30463 beq t1,t4,11394 + 11390: 2550106f j 12de4 + +00011394 : + 11394: 00000213 li tp,0 + 11398: 800000b7 lui ra,0x80000 + 1139c: 40e0df13 srai t5,ra,0xe + 113a0: 00000013 nop + 113a4: 000f0313 mv t1,t5 + 113a8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 113ac: 00200293 li t0,2 + 113b0: fe5214e3 bne tp,t0,11398 + 113b4: fffe0eb7 lui t4,0xfffe0 + 113b8: 29d00193 li gp,669 + 113bc: 01d30463 beq t1,t4,113c4 + 113c0: 2250106f j 12de4 + +000113c4 : + 113c4: 00000213 li tp,0 + 113c8: 800000b7 lui ra,0x80000 + 113cc: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 113d0: 41f0df13 srai t5,ra,0x1f + 113d4: 00000013 nop + 113d8: 00000013 nop + 113dc: 000f0313 mv t1,t5 + 113e0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 113e4: 00200293 li t0,2 + 113e8: fe5210e3 bne tp,t0,113c8 + 113ec: fff00e93 li t4,-1 + 113f0: 29e00193 li gp,670 + 113f4: 01d30463 beq t1,t4,113fc + 113f8: 1ed0106f j 12de4 + +000113fc : + 113fc: 00000213 li tp,0 + 11400: 800000b7 lui ra,0x80000 + 11404: 4070df13 srai t5,ra,0x7 + 11408: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1140c: 00200293 li t0,2 + 11410: fe5218e3 bne tp,t0,11400 + 11414: ff000eb7 lui t4,0xff000 + 11418: 29f00193 li gp,671 + 1141c: 01df0463 beq t5,t4,11424 + 11420: 1c50106f j 12de4 + +00011424 : + 11424: 00000213 li tp,0 + 11428: 800000b7 lui ra,0x80000 + 1142c: 00000013 nop + 11430: 40e0df13 srai t5,ra,0xe + 11434: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11438: 00200293 li t0,2 + 1143c: fe5216e3 bne tp,t0,11428 + 11440: fffe0eb7 lui t4,0xfffe0 + 11444: 2a000193 li gp,672 + 11448: 01df0463 beq t5,t4,11450 + 1144c: 1990106f j 12de4 + +00011450 : + 11450: 00000213 li tp,0 + 11454: 800000b7 lui ra,0x80000 + 11458: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 1145c: 00000013 nop + 11460: 00000013 nop + 11464: 41f0df13 srai t5,ra,0x1f + 11468: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1146c: 00200293 li t0,2 + 11470: fe5212e3 bne tp,t0,11454 + 11474: fff00e93 li t4,-1 + 11478: 2a100193 li gp,673 + 1147c: 01df0463 beq t5,t4,11484 + 11480: 1650106f j 12de4 + +00011484 : + 11484: 40405093 srai ra,zero,0x4 + 11488: 00000e93 li t4,0 + 1148c: 2a200193 li gp,674 + 11490: 01d08463 beq ra,t4,11498 + 11494: 1510106f j 12de4 + +00011498 : + 11498: 02100093 li ra,33 + 1149c: 40a0d013 srai zero,ra,0xa + 114a0: 00000e93 li t4,0 + 114a4: 2a300193 li gp,675 + 114a8: 01d00463 beq zero,t4,114b0 + 114ac: 1390106f j 12de4 + +000114b0 : + 114b0: 800000b7 lui ra,0x80000 + 114b4: 00000113 li sp,0 + 114b8: 0020df33 srl t5,ra,sp + 114bc: 80000eb7 lui t4,0x80000 + 114c0: 2a400193 li gp,676 + 114c4: 01df0463 beq t5,t4,114cc + 114c8: 11d0106f j 12de4 + +000114cc : + 114cc: 800000b7 lui ra,0x80000 + 114d0: 00100113 li sp,1 + 114d4: 0020df33 srl t5,ra,sp + 114d8: 40000eb7 lui t4,0x40000 + 114dc: 2a500193 li gp,677 + 114e0: 01df0463 beq t5,t4,114e8 + 114e4: 1010106f j 12de4 + +000114e8 : + 114e8: 800000b7 lui ra,0x80000 + 114ec: 00700113 li sp,7 + 114f0: 0020df33 srl t5,ra,sp + 114f4: 01000eb7 lui t4,0x1000 + 114f8: 2a600193 li gp,678 + 114fc: 01df0463 beq t5,t4,11504 + 11500: 0e50106f j 12de4 + +00011504 : + 11504: 800000b7 lui ra,0x80000 + 11508: 00e00113 li sp,14 + 1150c: 0020df33 srl t5,ra,sp + 11510: 00020eb7 lui t4,0x20 + 11514: 2a700193 li gp,679 + 11518: 01df0463 beq t5,t4,11520 + 1151c: 0c90106f j 12de4 + +00011520 : + 11520: 800000b7 lui ra,0x80000 + 11524: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11528: 01f00113 li sp,31 + 1152c: 0020df33 srl t5,ra,sp + 11530: 00100e93 li t4,1 + 11534: 2a800193 li gp,680 + 11538: 01df0463 beq t5,t4,11540 + 1153c: 0a90106f j 12de4 + +00011540 : + 11540: fff00093 li ra,-1 + 11544: 00000113 li sp,0 + 11548: 0020df33 srl t5,ra,sp + 1154c: fff00e93 li t4,-1 + 11550: 2a900193 li gp,681 + 11554: 01df0463 beq t5,t4,1155c + 11558: 08d0106f j 12de4 + +0001155c : + 1155c: fff00093 li ra,-1 + 11560: 00100113 li sp,1 + 11564: 0020df33 srl t5,ra,sp + 11568: 80000eb7 lui t4,0x80000 + 1156c: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11570: 2aa00193 li gp,682 + 11574: 01df0463 beq t5,t4,1157c + 11578: 06d0106f j 12de4 + +0001157c : + 1157c: fff00093 li ra,-1 + 11580: 00700113 li sp,7 + 11584: 0020df33 srl t5,ra,sp + 11588: 02000eb7 lui t4,0x2000 + 1158c: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> + 11590: 2ab00193 li gp,683 + 11594: 01df0463 beq t5,t4,1159c + 11598: 04d0106f j 12de4 + +0001159c : + 1159c: fff00093 li ra,-1 + 115a0: 00e00113 li sp,14 + 115a4: 0020df33 srl t5,ra,sp + 115a8: 00040eb7 lui t4,0x40 + 115ac: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> + 115b0: 2ac00193 li gp,684 + 115b4: 01df0463 beq t5,t4,115bc + 115b8: 02d0106f j 12de4 + +000115bc : + 115bc: fff00093 li ra,-1 + 115c0: 01f00113 li sp,31 + 115c4: 0020df33 srl t5,ra,sp + 115c8: 00100e93 li t4,1 + 115cc: 2ad00193 li gp,685 + 115d0: 01df0463 beq t5,t4,115d8 + 115d4: 0110106f j 12de4 + +000115d8 : + 115d8: 212120b7 lui ra,0x21212 + 115dc: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 115e0: 00000113 li sp,0 + 115e4: 0020df33 srl t5,ra,sp + 115e8: 21212eb7 lui t4,0x21212 + 115ec: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 115f0: 2ae00193 li gp,686 + 115f4: 01df0463 beq t5,t4,115fc + 115f8: 7ec0106f j 12de4 + +000115fc : + 115fc: 212120b7 lui ra,0x21212 + 11600: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11604: 00100113 li sp,1 + 11608: 0020df33 srl t5,ra,sp + 1160c: 10909eb7 lui t4,0x10909 + 11610: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 11614: 2af00193 li gp,687 + 11618: 01df0463 beq t5,t4,11620 + 1161c: 7c80106f j 12de4 + +00011620 : + 11620: 212120b7 lui ra,0x21212 + 11624: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11628: 00700113 li sp,7 + 1162c: 0020df33 srl t5,ra,sp + 11630: 00424eb7 lui t4,0x424 + 11634: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 11638: 2b000193 li gp,688 + 1163c: 01df0463 beq t5,t4,11644 + 11640: 7a40106f j 12de4 + +00011644 : + 11644: 212120b7 lui ra,0x21212 + 11648: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 1164c: 00e00113 li sp,14 + 11650: 0020df33 srl t5,ra,sp + 11654: 00008eb7 lui t4,0x8 + 11658: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 1165c: 2b100193 li gp,689 + 11660: 01df0463 beq t5,t4,11668 + 11664: 7800106f j 12de4 + +00011668 : + 11668: 212120b7 lui ra,0x21212 + 1166c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11670: 01f00113 li sp,31 + 11674: 0020df33 srl t5,ra,sp + 11678: 00000e93 li t4,0 + 1167c: 2b200193 li gp,690 + 11680: 01df0463 beq t5,t4,11688 + 11684: 7600106f j 12de4 + +00011688 : + 11688: 212120b7 lui ra,0x21212 + 1168c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11690: fc000113 li sp,-64 + 11694: 0020df33 srl t5,ra,sp + 11698: 21212eb7 lui t4,0x21212 + 1169c: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 116a0: 2b300193 li gp,691 + 116a4: 01df0463 beq t5,t4,116ac + 116a8: 73c0106f j 12de4 + +000116ac : + 116ac: 212120b7 lui ra,0x21212 + 116b0: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116b4: fc100113 li sp,-63 + 116b8: 0020df33 srl t5,ra,sp + 116bc: 10909eb7 lui t4,0x10909 + 116c0: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 116c4: 2b400193 li gp,692 + 116c8: 01df0463 beq t5,t4,116d0 + 116cc: 7180106f j 12de4 + +000116d0 : + 116d0: 212120b7 lui ra,0x21212 + 116d4: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116d8: fc700113 li sp,-57 + 116dc: 0020df33 srl t5,ra,sp + 116e0: 00424eb7 lui t4,0x424 + 116e4: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 116e8: 2b500193 li gp,693 + 116ec: 01df0463 beq t5,t4,116f4 + 116f0: 6f40106f j 12de4 + +000116f4 : + 116f4: 212120b7 lui ra,0x21212 + 116f8: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 116fc: fce00113 li sp,-50 + 11700: 0020df33 srl t5,ra,sp + 11704: 00008eb7 lui t4,0x8 + 11708: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 1170c: 2b600193 li gp,694 + 11710: 01df0463 beq t5,t4,11718 + 11714: 6d00106f j 12de4 + +00011718 : + 11718: 212120b7 lui ra,0x21212 + 1171c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11720: fff00113 li sp,-1 + 11724: 0020df33 srl t5,ra,sp + 11728: 00000e93 li t4,0 + 1172c: 2b700193 li gp,695 + 11730: 01df0463 beq t5,t4,11738 + 11734: 6b00106f j 12de4 + +00011738 : + 11738: 800000b7 lui ra,0x80000 + 1173c: 00700113 li sp,7 + 11740: 0020d0b3 srl ra,ra,sp + 11744: 01000eb7 lui t4,0x1000 + 11748: 2b800193 li gp,696 + 1174c: 01d08463 beq ra,t4,11754 + 11750: 6940106f j 12de4 + +00011754 : + 11754: 800000b7 lui ra,0x80000 + 11758: 00e00113 li sp,14 + 1175c: 0020d133 srl sp,ra,sp + 11760: 00020eb7 lui t4,0x20 + 11764: 2b900193 li gp,697 + 11768: 01d10463 beq sp,t4,11770 + 1176c: 6780106f j 12de4 + +00011770 : + 11770: 00700093 li ra,7 + 11774: 0010d0b3 srl ra,ra,ra + 11778: 00000e93 li t4,0 + 1177c: 2ba00193 li gp,698 + 11780: 01d08463 beq ra,t4,11788 + 11784: 6600106f j 12de4 + +00011788 : + 11788: 00000213 li tp,0 + 1178c: 800000b7 lui ra,0x80000 + 11790: 00700113 li sp,7 + 11794: 0020df33 srl t5,ra,sp + 11798: 000f0313 mv t1,t5 + 1179c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117a0: 00200293 li t0,2 + 117a4: fe5214e3 bne tp,t0,1178c + 117a8: 01000eb7 lui t4,0x1000 + 117ac: 2bb00193 li gp,699 + 117b0: 01d30463 beq t1,t4,117b8 + 117b4: 6300106f j 12de4 + +000117b8 : + 117b8: 00000213 li tp,0 + 117bc: 800000b7 lui ra,0x80000 + 117c0: 00e00113 li sp,14 + 117c4: 0020df33 srl t5,ra,sp + 117c8: 00000013 nop + 117cc: 000f0313 mv t1,t5 + 117d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 117d4: 00200293 li t0,2 + 117d8: fe5212e3 bne tp,t0,117bc + 117dc: 00020eb7 lui t4,0x20 + 117e0: 2bc00193 li gp,700 + 117e4: 01d30463 beq t1,t4,117ec + 117e8: 5fc0106f j 12de4 + +000117ec : + 117ec: 00000213 li tp,0 + 117f0: 800000b7 lui ra,0x80000 + 117f4: 01f00113 li sp,31 + 117f8: 0020df33 srl t5,ra,sp + 117fc: 00000013 nop + 11800: 00000013 nop + 11804: 000f0313 mv t1,t5 + 11808: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1180c: 00200293 li t0,2 + 11810: fe5210e3 bne tp,t0,117f0 + 11814: 00100e93 li t4,1 + 11818: 2bd00193 li gp,701 + 1181c: 01d30463 beq t1,t4,11824 + 11820: 5c40106f j 12de4 + +00011824 : + 11824: 00000213 li tp,0 + 11828: 800000b7 lui ra,0x80000 + 1182c: 00700113 li sp,7 + 11830: 0020df33 srl t5,ra,sp + 11834: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11838: 00200293 li t0,2 + 1183c: fe5216e3 bne tp,t0,11828 + 11840: 01000eb7 lui t4,0x1000 + 11844: 2be00193 li gp,702 + 11848: 01df0463 beq t5,t4,11850 + 1184c: 5980106f j 12de4 + +00011850 : + 11850: 00000213 li tp,0 + 11854: 800000b7 lui ra,0x80000 + 11858: 00e00113 li sp,14 + 1185c: 00000013 nop + 11860: 0020df33 srl t5,ra,sp + 11864: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11868: 00200293 li t0,2 + 1186c: fe5214e3 bne tp,t0,11854 + 11870: 00020eb7 lui t4,0x20 + 11874: 2bf00193 li gp,703 + 11878: 01df0463 beq t5,t4,11880 + 1187c: 5680106f j 12de4 + +00011880 : + 11880: 00000213 li tp,0 + 11884: 800000b7 lui ra,0x80000 + 11888: 01f00113 li sp,31 + 1188c: 00000013 nop + 11890: 00000013 nop + 11894: 0020df33 srl t5,ra,sp + 11898: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1189c: 00200293 li t0,2 + 118a0: fe5212e3 bne tp,t0,11884 + 118a4: 00100e93 li t4,1 + 118a8: 2c000193 li gp,704 + 118ac: 01df0463 beq t5,t4,118b4 + 118b0: 5340106f j 12de4 + +000118b4 : + 118b4: 00000213 li tp,0 + 118b8: 800000b7 lui ra,0x80000 + 118bc: 00000013 nop + 118c0: 00700113 li sp,7 + 118c4: 0020df33 srl t5,ra,sp + 118c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 118cc: 00200293 li t0,2 + 118d0: fe5214e3 bne tp,t0,118b8 + 118d4: 01000eb7 lui t4,0x1000 + 118d8: 2c100193 li gp,705 + 118dc: 01df0463 beq t5,t4,118e4 + 118e0: 5040106f j 12de4 + +000118e4 : + 118e4: 00000213 li tp,0 + 118e8: 800000b7 lui ra,0x80000 + 118ec: 00000013 nop + 118f0: 00e00113 li sp,14 + 118f4: 00000013 nop + 118f8: 0020df33 srl t5,ra,sp + 118fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11900: 00200293 li t0,2 + 11904: fe5212e3 bne tp,t0,118e8 + 11908: 00020eb7 lui t4,0x20 + 1190c: 2c200193 li gp,706 + 11910: 01df0463 beq t5,t4,11918 + 11914: 4d00106f j 12de4 + +00011918 : + 11918: 00000213 li tp,0 + 1191c: 800000b7 lui ra,0x80000 + 11920: 00000013 nop + 11924: 00000013 nop + 11928: 01f00113 li sp,31 + 1192c: 0020df33 srl t5,ra,sp + 11930: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11934: 00200293 li t0,2 + 11938: fe5212e3 bne tp,t0,1191c + 1193c: 00100e93 li t4,1 + 11940: 2c300193 li gp,707 + 11944: 01df0463 beq t5,t4,1194c + 11948: 49c0106f j 12de4 + +0001194c : + 1194c: 00000213 li tp,0 + 11950: 00700113 li sp,7 + 11954: 800000b7 lui ra,0x80000 + 11958: 0020df33 srl t5,ra,sp + 1195c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11960: 00200293 li t0,2 + 11964: fe5216e3 bne tp,t0,11950 + 11968: 01000eb7 lui t4,0x1000 + 1196c: 2c400193 li gp,708 + 11970: 01df0463 beq t5,t4,11978 + 11974: 4700106f j 12de4 + +00011978 : + 11978: 00000213 li tp,0 + 1197c: 00e00113 li sp,14 + 11980: 800000b7 lui ra,0x80000 + 11984: 00000013 nop + 11988: 0020df33 srl t5,ra,sp + 1198c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11990: 00200293 li t0,2 + 11994: fe5214e3 bne tp,t0,1197c + 11998: 00020eb7 lui t4,0x20 + 1199c: 2c500193 li gp,709 + 119a0: 01df0463 beq t5,t4,119a8 + 119a4: 4400106f j 12de4 + +000119a8 : + 119a8: 00000213 li tp,0 + 119ac: 01f00113 li sp,31 + 119b0: 800000b7 lui ra,0x80000 + 119b4: 00000013 nop + 119b8: 00000013 nop + 119bc: 0020df33 srl t5,ra,sp + 119c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119c4: 00200293 li t0,2 + 119c8: fe5212e3 bne tp,t0,119ac + 119cc: 00100e93 li t4,1 + 119d0: 2c600193 li gp,710 + 119d4: 01df0463 beq t5,t4,119dc + 119d8: 40c0106f j 12de4 + +000119dc : + 119dc: 00000213 li tp,0 + 119e0: 00700113 li sp,7 + 119e4: 00000013 nop + 119e8: 800000b7 lui ra,0x80000 + 119ec: 0020df33 srl t5,ra,sp + 119f0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 119f4: 00200293 li t0,2 + 119f8: fe5214e3 bne tp,t0,119e0 + 119fc: 01000eb7 lui t4,0x1000 + 11a00: 2c700193 li gp,711 + 11a04: 01df0463 beq t5,t4,11a0c + 11a08: 3dc0106f j 12de4 + +00011a0c : + 11a0c: 00000213 li tp,0 + 11a10: 00e00113 li sp,14 + 11a14: 00000013 nop + 11a18: 800000b7 lui ra,0x80000 + 11a1c: 00000013 nop + 11a20: 0020df33 srl t5,ra,sp + 11a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11a28: 00200293 li t0,2 + 11a2c: fe5212e3 bne tp,t0,11a10 + 11a30: 00020eb7 lui t4,0x20 + 11a34: 2c800193 li gp,712 + 11a38: 01df0463 beq t5,t4,11a40 + 11a3c: 3a80106f j 12de4 + +00011a40 : + 11a40: 00000213 li tp,0 + 11a44: 01f00113 li sp,31 + 11a48: 00000013 nop + 11a4c: 00000013 nop + 11a50: 800000b7 lui ra,0x80000 + 11a54: 0020df33 srl t5,ra,sp + 11a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11a5c: 00200293 li t0,2 + 11a60: fe5212e3 bne tp,t0,11a44 + 11a64: 00100e93 li t4,1 + 11a68: 2c900193 li gp,713 + 11a6c: 01df0463 beq t5,t4,11a74 + 11a70: 3740106f j 12de4 + +00011a74 : + 11a74: 00f00093 li ra,15 + 11a78: 00105133 srl sp,zero,ra + 11a7c: 00000e93 li t4,0 + 11a80: 2ca00193 li gp,714 + 11a84: 01d10463 beq sp,t4,11a8c + 11a88: 35c0106f j 12de4 + +00011a8c : + 11a8c: 02000093 li ra,32 + 11a90: 0000d133 srl sp,ra,zero + 11a94: 02000e93 li t4,32 + 11a98: 2cb00193 li gp,715 + 11a9c: 01d10463 beq sp,t4,11aa4 + 11aa0: 3440106f j 12de4 + +00011aa4 : + 11aa4: 000050b3 srl ra,zero,zero + 11aa8: 00000e93 li t4,0 + 11aac: 2cc00193 li gp,716 + 11ab0: 01d08463 beq ra,t4,11ab8 + 11ab4: 3300106f j 12de4 + +00011ab8 : + 11ab8: 40000093 li ra,1024 + 11abc: 00001137 lui sp,0x1 + 11ac0: 80010113 addi sp,sp,-2048 # 800 <_start-0xf880> + 11ac4: 0020d033 srl zero,ra,sp + 11ac8: 00000e93 li t4,0 + 11acc: 2cd00193 li gp,717 + 11ad0: 01d00463 beq zero,t4,11ad8 + 11ad4: 3100106f j 12de4 + +00011ad8 : + 11ad8: 800000b7 lui ra,0x80000 + 11adc: 00000113 li sp,0 + 11ae0: 0020df33 srl t5,ra,sp + 11ae4: 80000eb7 lui t4,0x80000 + 11ae8: 2ce00193 li gp,718 + 11aec: 01df0463 beq t5,t4,11af4 + 11af0: 2f40106f j 12de4 + +00011af4 : + 11af4: 800000b7 lui ra,0x80000 + 11af8: 00100113 li sp,1 + 11afc: 0020df33 srl t5,ra,sp + 11b00: 40000eb7 lui t4,0x40000 + 11b04: 2cf00193 li gp,719 + 11b08: 01df0463 beq t5,t4,11b10 + 11b0c: 2d80106f j 12de4 + +00011b10 : + 11b10: 800000b7 lui ra,0x80000 + 11b14: 00700113 li sp,7 + 11b18: 0020df33 srl t5,ra,sp + 11b1c: 01000eb7 lui t4,0x1000 + 11b20: 2d000193 li gp,720 + 11b24: 01df0463 beq t5,t4,11b2c + 11b28: 2bc0106f j 12de4 + +00011b2c : + 11b2c: 800000b7 lui ra,0x80000 + 11b30: 00e00113 li sp,14 + 11b34: 0020df33 srl t5,ra,sp + 11b38: 00020eb7 lui t4,0x20 + 11b3c: 2d100193 li gp,721 + 11b40: 01df0463 beq t5,t4,11b48 + 11b44: 2a00106f j 12de4 + +00011b48 : + 11b48: 800000b7 lui ra,0x80000 + 11b4c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11b50: 01f00113 li sp,31 + 11b54: 0020df33 srl t5,ra,sp + 11b58: 00100e93 li t4,1 + 11b5c: 2d200193 li gp,722 + 11b60: 01df0463 beq t5,t4,11b68 + 11b64: 2800106f j 12de4 + +00011b68 : + 11b68: fff00093 li ra,-1 + 11b6c: 00000113 li sp,0 + 11b70: 0020df33 srl t5,ra,sp + 11b74: fff00e93 li t4,-1 + 11b78: 2d300193 li gp,723 + 11b7c: 01df0463 beq t5,t4,11b84 + 11b80: 2640106f j 12de4 + +00011b84 : + 11b84: fff00093 li ra,-1 + 11b88: 00100113 li sp,1 + 11b8c: 0020df33 srl t5,ra,sp + 11b90: 80000eb7 lui t4,0x80000 + 11b94: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11b98: 2d400193 li gp,724 + 11b9c: 01df0463 beq t5,t4,11ba4 + 11ba0: 2440106f j 12de4 + +00011ba4 : + 11ba4: fff00093 li ra,-1 + 11ba8: 00700113 li sp,7 + 11bac: 0020df33 srl t5,ra,sp + 11bb0: 02000eb7 lui t4,0x2000 + 11bb4: fffe8e93 addi t4,t4,-1 # 1ffffff <__global_pointer$+0x1feb8f7> + 11bb8: 2d500193 li gp,725 + 11bbc: 01df0463 beq t5,t4,11bc4 + 11bc0: 2240106f j 12de4 + +00011bc4 : + 11bc4: fff00093 li ra,-1 + 11bc8: 00e00113 li sp,14 + 11bcc: 0020df33 srl t5,ra,sp + 11bd0: 00040eb7 lui t4,0x40 + 11bd4: fffe8e93 addi t4,t4,-1 # 3ffff <__global_pointer$+0x2b8f7> + 11bd8: 2d600193 li gp,726 + 11bdc: 01df0463 beq t5,t4,11be4 + 11be0: 2040106f j 12de4 + +00011be4 : + 11be4: fff00093 li ra,-1 + 11be8: 01f00113 li sp,31 + 11bec: 0020df33 srl t5,ra,sp + 11bf0: 00100e93 li t4,1 + 11bf4: 2d700193 li gp,727 + 11bf8: 01df0463 beq t5,t4,11c00 + 11bfc: 1e80106f j 12de4 + +00011c00 : + 11c00: 212120b7 lui ra,0x21212 + 11c04: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c08: 00000113 li sp,0 + 11c0c: 0020df33 srl t5,ra,sp + 11c10: 21212eb7 lui t4,0x21212 + 11c14: 121e8e93 addi t4,t4,289 # 21212121 <__global_pointer$+0x211fda19> + 11c18: 2d800193 li gp,728 + 11c1c: 01df0463 beq t5,t4,11c24 + 11c20: 1c40106f j 12de4 + +00011c24 : + 11c24: 212120b7 lui ra,0x21212 + 11c28: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c2c: 00100113 li sp,1 + 11c30: 0020df33 srl t5,ra,sp + 11c34: 10909eb7 lui t4,0x10909 + 11c38: 090e8e93 addi t4,t4,144 # 10909090 <__global_pointer$+0x108f4988> + 11c3c: 2d900193 li gp,729 + 11c40: 01df0463 beq t5,t4,11c48 + 11c44: 1a00106f j 12de4 + +00011c48 : + 11c48: 212120b7 lui ra,0x21212 + 11c4c: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c50: 00700113 li sp,7 + 11c54: 0020df33 srl t5,ra,sp + 11c58: 00424eb7 lui t4,0x424 + 11c5c: 242e8e93 addi t4,t4,578 # 424242 <__global_pointer$+0x40fb3a> + 11c60: 2da00193 li gp,730 + 11c64: 01df0463 beq t5,t4,11c6c + 11c68: 17c0106f j 12de4 + +00011c6c : + 11c6c: 212120b7 lui ra,0x21212 + 11c70: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c74: 00e00113 li sp,14 + 11c78: 0020df33 srl t5,ra,sp + 11c7c: 00008eb7 lui t4,0x8 + 11c80: 484e8e93 addi t4,t4,1156 # 8484 <_start-0x7bfc> + 11c84: 2db00193 li gp,731 + 11c88: 01df0463 beq t5,t4,11c90 + 11c8c: 1580106f j 12de4 + +00011c90 : + 11c90: 212120b7 lui ra,0x21212 + 11c94: 12108093 addi ra,ra,289 # 21212121 <__global_pointer$+0x211fda19> + 11c98: 01f00113 li sp,31 + 11c9c: 0020df33 srl t5,ra,sp + 11ca0: 00000e93 li t4,0 + 11ca4: 2dc00193 li gp,732 + 11ca8: 01df0463 beq t5,t4,11cb0 + 11cac: 1380106f j 12de4 + +00011cb0 : + 11cb0: 800000b7 lui ra,0x80000 + 11cb4: 0070d093 srli ra,ra,0x7 + 11cb8: 01000eb7 lui t4,0x1000 + 11cbc: 2dd00193 li gp,733 + 11cc0: 01d08463 beq ra,t4,11cc8 + 11cc4: 1200106f j 12de4 + +00011cc8 : + 11cc8: 00000213 li tp,0 + 11ccc: 800000b7 lui ra,0x80000 + 11cd0: 0070df13 srli t5,ra,0x7 + 11cd4: 000f0313 mv t1,t5 + 11cd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11cdc: 00200293 li t0,2 + 11ce0: fe5216e3 bne tp,t0,11ccc + 11ce4: 01000eb7 lui t4,0x1000 + 11ce8: 2de00193 li gp,734 + 11cec: 01d30463 beq t1,t4,11cf4 + 11cf0: 0f40106f j 12de4 + +00011cf4 : + 11cf4: 00000213 li tp,0 + 11cf8: 800000b7 lui ra,0x80000 + 11cfc: 00e0df13 srli t5,ra,0xe + 11d00: 00000013 nop + 11d04: 000f0313 mv t1,t5 + 11d08: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d0c: 00200293 li t0,2 + 11d10: fe5214e3 bne tp,t0,11cf8 + 11d14: 00020eb7 lui t4,0x20 + 11d18: 2df00193 li gp,735 + 11d1c: 01d30463 beq t1,t4,11d24 + 11d20: 0c40106f j 12de4 + +00011d24 : + 11d24: 00000213 li tp,0 + 11d28: 800000b7 lui ra,0x80000 + 11d2c: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11d30: 01f0df13 srli t5,ra,0x1f + 11d34: 00000013 nop + 11d38: 00000013 nop + 11d3c: 000f0313 mv t1,t5 + 11d40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d44: 00200293 li t0,2 + 11d48: fe5210e3 bne tp,t0,11d28 + 11d4c: 00100e93 li t4,1 + 11d50: 2e000193 li gp,736 + 11d54: 01d30463 beq t1,t4,11d5c + 11d58: 08c0106f j 12de4 + +00011d5c : + 11d5c: 00000213 li tp,0 + 11d60: 800000b7 lui ra,0x80000 + 11d64: 0070df13 srli t5,ra,0x7 + 11d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d6c: 00200293 li t0,2 + 11d70: fe5218e3 bne tp,t0,11d60 + 11d74: 01000eb7 lui t4,0x1000 + 11d78: 2e100193 li gp,737 + 11d7c: 01df0463 beq t5,t4,11d84 + 11d80: 0640106f j 12de4 + +00011d84 : + 11d84: 00000213 li tp,0 + 11d88: 800000b7 lui ra,0x80000 + 11d8c: 00000013 nop + 11d90: 00e0df13 srli t5,ra,0xe + 11d94: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11d98: 00200293 li t0,2 + 11d9c: fe5216e3 bne tp,t0,11d88 + 11da0: 00020eb7 lui t4,0x20 + 11da4: 2e200193 li gp,738 + 11da8: 01df0463 beq t5,t4,11db0 + 11dac: 0380106f j 12de4 + +00011db0 : + 11db0: 00000213 li tp,0 + 11db4: 800000b7 lui ra,0x80000 + 11db8: 00108093 addi ra,ra,1 # 80000001 <__global_pointer$+0x7ffeb8f9> + 11dbc: 00000013 nop + 11dc0: 00000013 nop + 11dc4: 01f0df13 srli t5,ra,0x1f + 11dc8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11dcc: 00200293 li t0,2 + 11dd0: fe5212e3 bne tp,t0,11db4 + 11dd4: 00100e93 li t4,1 + 11dd8: 2e300193 li gp,739 + 11ddc: 01df0463 beq t5,t4,11de4 + 11de0: 0040106f j 12de4 + +00011de4 : + 11de4: 00405093 srli ra,zero,0x4 + 11de8: 00000e93 li t4,0 + 11dec: 2e400193 li gp,740 + 11df0: 7fd09ae3 bne ra,t4,12de4 + +00011df4 : + 11df4: 02100093 li ra,33 + 11df8: 00a0d013 srli zero,ra,0xa + 11dfc: 00000e93 li t4,0 + 11e00: 2e500193 li gp,741 + 11e04: 7fd010e3 bne zero,t4,12de4 + +00011e08 : + 11e08: 00000093 li ra,0 + 11e0c: 00000113 li sp,0 + 11e10: 40208f33 sub t5,ra,sp + 11e14: 00000e93 li t4,0 + 11e18: 2e600193 li gp,742 + 11e1c: 7ddf14e3 bne t5,t4,12de4 + +00011e20 : + 11e20: 00100093 li ra,1 + 11e24: 00100113 li sp,1 + 11e28: 40208f33 sub t5,ra,sp + 11e2c: 00000e93 li t4,0 + 11e30: 2e700193 li gp,743 + 11e34: 7bdf18e3 bne t5,t4,12de4 + +00011e38 : + 11e38: 00300093 li ra,3 + 11e3c: 00700113 li sp,7 + 11e40: 40208f33 sub t5,ra,sp + 11e44: ffc00e93 li t4,-4 + 11e48: 2e800193 li gp,744 + 11e4c: 79df1ce3 bne t5,t4,12de4 + +00011e50 : + 11e50: 00000093 li ra,0 + 11e54: ffff8137 lui sp,0xffff8 + 11e58: 40208f33 sub t5,ra,sp + 11e5c: 00008eb7 lui t4,0x8 + 11e60: 2e900193 li gp,745 + 11e64: 79df10e3 bne t5,t4,12de4 + +00011e68 : + 11e68: 800000b7 lui ra,0x80000 + 11e6c: 00000113 li sp,0 + 11e70: 40208f33 sub t5,ra,sp + 11e74: 80000eb7 lui t4,0x80000 + 11e78: 2ea00193 li gp,746 + 11e7c: 77df14e3 bne t5,t4,12de4 + +00011e80 : + 11e80: 800000b7 lui ra,0x80000 + 11e84: ffff8137 lui sp,0xffff8 + 11e88: 40208f33 sub t5,ra,sp + 11e8c: 80008eb7 lui t4,0x80008 + 11e90: 2eb00193 li gp,747 + 11e94: 75df18e3 bne t5,t4,12de4 + +00011e98 : + 11e98: 00000093 li ra,0 + 11e9c: 00008137 lui sp,0x8 + 11ea0: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11ea4: 40208f33 sub t5,ra,sp + 11ea8: ffff8eb7 lui t4,0xffff8 + 11eac: 001e8e93 addi t4,t4,1 # ffff8001 <__global_pointer$+0xfffe38f9> + 11eb0: 2ec00193 li gp,748 + 11eb4: 73df18e3 bne t5,t4,12de4 + +00011eb8 : + 11eb8: 800000b7 lui ra,0x80000 + 11ebc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ec0: 00000113 li sp,0 + 11ec4: 40208f33 sub t5,ra,sp + 11ec8: 80000eb7 lui t4,0x80000 + 11ecc: fffe8e93 addi t4,t4,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ed0: 2ed00193 li gp,749 + 11ed4: 71df18e3 bne t5,t4,12de4 + +00011ed8 : + 11ed8: 800000b7 lui ra,0x80000 + 11edc: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11ee0: 00008137 lui sp,0x8 + 11ee4: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11ee8: 40208f33 sub t5,ra,sp + 11eec: 7fff8eb7 lui t4,0x7fff8 + 11ef0: 2ee00193 li gp,750 + 11ef4: 6fdf18e3 bne t5,t4,12de4 + +00011ef8 : + 11ef8: 800000b7 lui ra,0x80000 + 11efc: 00008137 lui sp,0x8 + 11f00: fff10113 addi sp,sp,-1 # 7fff <_start-0x8081> + 11f04: 40208f33 sub t5,ra,sp + 11f08: 7fff8eb7 lui t4,0x7fff8 + 11f0c: 001e8e93 addi t4,t4,1 # 7fff8001 <__global_pointer$+0x7ffe38f9> + 11f10: 2ef00193 li gp,751 + 11f14: 6ddf18e3 bne t5,t4,12de4 + +00011f18 : + 11f18: 800000b7 lui ra,0x80000 + 11f1c: fff08093 addi ra,ra,-1 # 7fffffff <__global_pointer$+0x7ffeb8f7> + 11f20: ffff8137 lui sp,0xffff8 + 11f24: 40208f33 sub t5,ra,sp + 11f28: 80008eb7 lui t4,0x80008 + 11f2c: fffe8e93 addi t4,t4,-1 # 80007fff <__global_pointer$+0x7fff38f7> + 11f30: 2f000193 li gp,752 + 11f34: 6bdf18e3 bne t5,t4,12de4 + +00011f38 : + 11f38: 00000093 li ra,0 + 11f3c: fff00113 li sp,-1 + 11f40: 40208f33 sub t5,ra,sp + 11f44: 00100e93 li t4,1 + 11f48: 2f100193 li gp,753 + 11f4c: 69df1ce3 bne t5,t4,12de4 + +00011f50 : + 11f50: fff00093 li ra,-1 + 11f54: 00100113 li sp,1 + 11f58: 40208f33 sub t5,ra,sp + 11f5c: ffe00e93 li t4,-2 + 11f60: 2f200193 li gp,754 + 11f64: 69df10e3 bne t5,t4,12de4 + +00011f68 : + 11f68: fff00093 li ra,-1 + 11f6c: fff00113 li sp,-1 + 11f70: 40208f33 sub t5,ra,sp + 11f74: 00000e93 li t4,0 + 11f78: 2f300193 li gp,755 + 11f7c: 67df14e3 bne t5,t4,12de4 + +00011f80 : + 11f80: 00d00093 li ra,13 + 11f84: 00b00113 li sp,11 + 11f88: 402080b3 sub ra,ra,sp + 11f8c: 00200e93 li t4,2 + 11f90: 2f400193 li gp,756 + 11f94: 65d098e3 bne ra,t4,12de4 + +00011f98 : + 11f98: 00e00093 li ra,14 + 11f9c: 00b00113 li sp,11 + 11fa0: 40208133 sub sp,ra,sp + 11fa4: 00300e93 li t4,3 + 11fa8: 2f500193 li gp,757 + 11fac: 63d11ce3 bne sp,t4,12de4 + +00011fb0 : + 11fb0: 00d00093 li ra,13 + 11fb4: 401080b3 sub ra,ra,ra + 11fb8: 00000e93 li t4,0 + 11fbc: 2f600193 li gp,758 + 11fc0: 63d092e3 bne ra,t4,12de4 + +00011fc4 : + 11fc4: 00000213 li tp,0 + 11fc8: 00d00093 li ra,13 + 11fcc: 00b00113 li sp,11 + 11fd0: 40208f33 sub t5,ra,sp + 11fd4: 000f0313 mv t1,t5 + 11fd8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 11fdc: 00200293 li t0,2 + 11fe0: fe5214e3 bne tp,t0,11fc8 + 11fe4: 00200e93 li t4,2 + 11fe8: 2f700193 li gp,759 + 11fec: 5fd31ce3 bne t1,t4,12de4 + +00011ff0 : + 11ff0: 00000213 li tp,0 + 11ff4: 00e00093 li ra,14 + 11ff8: 00b00113 li sp,11 + 11ffc: 40208f33 sub t5,ra,sp + 12000: 00000013 nop + 12004: 000f0313 mv t1,t5 + 12008: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1200c: 00200293 li t0,2 + 12010: fe5212e3 bne tp,t0,11ff4 + 12014: 00300e93 li t4,3 + 12018: 2f800193 li gp,760 + 1201c: 5dd314e3 bne t1,t4,12de4 + +00012020 : + 12020: 00000213 li tp,0 + 12024: 00f00093 li ra,15 + 12028: 00b00113 li sp,11 + 1202c: 40208f33 sub t5,ra,sp + 12030: 00000013 nop + 12034: 00000013 nop + 12038: 000f0313 mv t1,t5 + 1203c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12040: 00200293 li t0,2 + 12044: fe5210e3 bne tp,t0,12024 + 12048: 00400e93 li t4,4 + 1204c: 2f900193 li gp,761 + 12050: 59d31ae3 bne t1,t4,12de4 + +00012054 : + 12054: 00000213 li tp,0 + 12058: 00d00093 li ra,13 + 1205c: 00b00113 li sp,11 + 12060: 40208f33 sub t5,ra,sp + 12064: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12068: 00200293 li t0,2 + 1206c: fe5216e3 bne tp,t0,12058 + 12070: 00200e93 li t4,2 + 12074: 2fa00193 li gp,762 + 12078: 57df16e3 bne t5,t4,12de4 + +0001207c : + 1207c: 00000213 li tp,0 + 12080: 00e00093 li ra,14 + 12084: 00b00113 li sp,11 + 12088: 00000013 nop + 1208c: 40208f33 sub t5,ra,sp + 12090: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12094: 00200293 li t0,2 + 12098: fe5214e3 bne tp,t0,12080 + 1209c: 00300e93 li t4,3 + 120a0: 2fb00193 li gp,763 + 120a4: 55df10e3 bne t5,t4,12de4 + +000120a8 : + 120a8: 00000213 li tp,0 + 120ac: 00f00093 li ra,15 + 120b0: 00b00113 li sp,11 + 120b4: 00000013 nop + 120b8: 00000013 nop + 120bc: 40208f33 sub t5,ra,sp + 120c0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120c4: 00200293 li t0,2 + 120c8: fe5212e3 bne tp,t0,120ac + 120cc: 00400e93 li t4,4 + 120d0: 2fc00193 li gp,764 + 120d4: 51df18e3 bne t5,t4,12de4 + +000120d8 : + 120d8: 00000213 li tp,0 + 120dc: 00d00093 li ra,13 + 120e0: 00000013 nop + 120e4: 00b00113 li sp,11 + 120e8: 40208f33 sub t5,ra,sp + 120ec: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 120f0: 00200293 li t0,2 + 120f4: fe5214e3 bne tp,t0,120dc + 120f8: 00200e93 li t4,2 + 120fc: 2fd00193 li gp,765 + 12100: 4fdf12e3 bne t5,t4,12de4 + +00012104 : + 12104: 00000213 li tp,0 + 12108: 00e00093 li ra,14 + 1210c: 00000013 nop + 12110: 00b00113 li sp,11 + 12114: 00000013 nop + 12118: 40208f33 sub t5,ra,sp + 1211c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12120: 00200293 li t0,2 + 12124: fe5212e3 bne tp,t0,12108 + 12128: 00300e93 li t4,3 + 1212c: 2fe00193 li gp,766 + 12130: 4bdf1ae3 bne t5,t4,12de4 + +00012134 : + 12134: 00000213 li tp,0 + 12138: 00f00093 li ra,15 + 1213c: 00000013 nop + 12140: 00000013 nop + 12144: 00b00113 li sp,11 + 12148: 40208f33 sub t5,ra,sp + 1214c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12150: 00200293 li t0,2 + 12154: fe5212e3 bne tp,t0,12138 + 12158: 00400e93 li t4,4 + 1215c: 2ff00193 li gp,767 + 12160: 49df12e3 bne t5,t4,12de4 + +00012164 : + 12164: 00000213 li tp,0 + 12168: 00b00113 li sp,11 + 1216c: 00d00093 li ra,13 + 12170: 40208f33 sub t5,ra,sp + 12174: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12178: 00200293 li t0,2 + 1217c: fe5216e3 bne tp,t0,12168 + 12180: 00200e93 li t4,2 + 12184: 30000193 li gp,768 + 12188: 45df1ee3 bne t5,t4,12de4 + +0001218c : + 1218c: 00000213 li tp,0 + 12190: 00b00113 li sp,11 + 12194: 00e00093 li ra,14 + 12198: 00000013 nop + 1219c: 40208f33 sub t5,ra,sp + 121a0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121a4: 00200293 li t0,2 + 121a8: fe5214e3 bne tp,t0,12190 + 121ac: 00300e93 li t4,3 + 121b0: 30100193 li gp,769 + 121b4: 43df18e3 bne t5,t4,12de4 + +000121b8 : + 121b8: 00000213 li tp,0 + 121bc: 00b00113 li sp,11 + 121c0: 00f00093 li ra,15 + 121c4: 00000013 nop + 121c8: 00000013 nop + 121cc: 40208f33 sub t5,ra,sp + 121d0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 121d4: 00200293 li t0,2 + 121d8: fe5212e3 bne tp,t0,121bc + 121dc: 00400e93 li t4,4 + 121e0: 30200193 li gp,770 + 121e4: 41df10e3 bne t5,t4,12de4 + +000121e8 : + 121e8: 00000213 li tp,0 + 121ec: 00b00113 li sp,11 + 121f0: 00000013 nop + 121f4: 00d00093 li ra,13 + 121f8: 40208f33 sub t5,ra,sp + 121fc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12200: 00200293 li t0,2 + 12204: fe5214e3 bne tp,t0,121ec + 12208: 00200e93 li t4,2 + 1220c: 30300193 li gp,771 + 12210: 3ddf1ae3 bne t5,t4,12de4 + +00012214 : + 12214: 00000213 li tp,0 + 12218: 00b00113 li sp,11 + 1221c: 00000013 nop + 12220: 00e00093 li ra,14 + 12224: 00000013 nop + 12228: 40208f33 sub t5,ra,sp + 1222c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12230: 00200293 li t0,2 + 12234: fe5212e3 bne tp,t0,12218 + 12238: 00300e93 li t4,3 + 1223c: 30400193 li gp,772 + 12240: 3bdf12e3 bne t5,t4,12de4 + +00012244 : + 12244: 00000213 li tp,0 + 12248: 00b00113 li sp,11 + 1224c: 00000013 nop + 12250: 00000013 nop + 12254: 00f00093 li ra,15 + 12258: 40208f33 sub t5,ra,sp + 1225c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12260: 00200293 li t0,2 + 12264: fe5212e3 bne tp,t0,12248 + 12268: 00400e93 li t4,4 + 1226c: 30500193 li gp,773 + 12270: 37df1ae3 bne t5,t4,12de4 + +00012274 : + 12274: ff100093 li ra,-15 + 12278: 40100133 neg sp,ra + 1227c: 00f00e93 li t4,15 + 12280: 30600193 li gp,774 + 12284: 37d110e3 bne sp,t4,12de4 + +00012288 : + 12288: 02000093 li ra,32 + 1228c: 40008133 sub sp,ra,zero + 12290: 02000e93 li t4,32 + 12294: 30700193 li gp,775 + 12298: 35d116e3 bne sp,t4,12de4 + +0001229c : + 1229c: 400000b3 neg ra,zero + 122a0: 00000e93 li t4,0 + 122a4: 30800193 li gp,776 + 122a8: 33d09ee3 bne ra,t4,12de4 + +000122ac : + 122ac: 01000093 li ra,16 + 122b0: 01e00113 li sp,30 + 122b4: 40208033 sub zero,ra,sp + 122b8: 00000e93 li t4,0 + 122bc: 30900193 li gp,777 + 122c0: 33d012e3 bne zero,t4,12de4 + +000122c4 : + 122c4: 00002097 auipc ra,0x2 + 122c8: b8c08093 addi ra,ra,-1140 # 13e50 + 122cc: 00aa0137 lui sp,0xaa0 + 122d0: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> + 122d4: 0020a023 sw sp,0(ra) + 122d8: 0000af03 lw t5,0(ra) + 122dc: 00aa0eb7 lui t4,0xaa0 + 122e0: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> + 122e4: 30a00193 li gp,778 + 122e8: 2fdf1ee3 bne t5,t4,12de4 + +000122ec : + 122ec: 00002097 auipc ra,0x2 + 122f0: b6408093 addi ra,ra,-1180 # 13e50 + 122f4: aa00b137 lui sp,0xaa00b + 122f8: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 122fc: 0020a223 sw sp,4(ra) + 12300: 0040af03 lw t5,4(ra) + 12304: aa00beb7 lui t4,0xaa00b + 12308: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 1230c: 30b00193 li gp,779 + 12310: 2ddf1ae3 bne t5,t4,12de4 + +00012314 : + 12314: 00002097 auipc ra,0x2 + 12318: b3c08093 addi ra,ra,-1220 # 13e50 + 1231c: 0aa01137 lui sp,0xaa01 + 12320: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 12324: 0020a423 sw sp,8(ra) + 12328: 0080af03 lw t5,8(ra) + 1232c: 0aa01eb7 lui t4,0xaa01 + 12330: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 12334: 30c00193 li gp,780 + 12338: 2bdf16e3 bne t5,t4,12de4 + +0001233c : + 1233c: 00002097 auipc ra,0x2 + 12340: b1408093 addi ra,ra,-1260 # 13e50 + 12344: a00aa137 lui sp,0xa00aa + 12348: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> + 1234c: 0020a623 sw sp,12(ra) + 12350: 00c0af03 lw t5,12(ra) + 12354: a00aaeb7 lui t4,0xa00aa + 12358: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> + 1235c: 30d00193 li gp,781 + 12360: 29df12e3 bne t5,t4,12de4 + +00012364 : + 12364: 00002097 auipc ra,0x2 + 12368: b0808093 addi ra,ra,-1272 # 13e6c + 1236c: 00aa0137 lui sp,0xaa0 + 12370: 0aa10113 addi sp,sp,170 # aa00aa <__global_pointer$+0xa8b9a2> + 12374: fe20aa23 sw sp,-12(ra) + 12378: ff40af03 lw t5,-12(ra) + 1237c: 00aa0eb7 lui t4,0xaa0 + 12380: 0aae8e93 addi t4,t4,170 # aa00aa <__global_pointer$+0xa8b9a2> + 12384: 30e00193 li gp,782 + 12388: 25df1ee3 bne t5,t4,12de4 + +0001238c : + 1238c: 00002097 auipc ra,0x2 + 12390: ae008093 addi ra,ra,-1312 # 13e6c + 12394: aa00b137 lui sp,0xaa00b + 12398: a0010113 addi sp,sp,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 1239c: fe20ac23 sw sp,-8(ra) + 123a0: ff80af03 lw t5,-8(ra) + 123a4: aa00beb7 lui t4,0xaa00b + 123a8: a00e8e93 addi t4,t4,-1536 # aa00aa00 <__global_pointer$+0xa9ff62f8> + 123ac: 30f00193 li gp,783 + 123b0: 23df1ae3 bne t5,t4,12de4 + +000123b4 : + 123b4: 00002097 auipc ra,0x2 + 123b8: ab808093 addi ra,ra,-1352 # 13e6c + 123bc: 0aa01137 lui sp,0xaa01 + 123c0: aa010113 addi sp,sp,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 123c4: fe20ae23 sw sp,-4(ra) + 123c8: ffc0af03 lw t5,-4(ra) + 123cc: 0aa01eb7 lui t4,0xaa01 + 123d0: aa0e8e93 addi t4,t4,-1376 # aa00aa0 <__global_pointer$+0xa9ec398> + 123d4: 31000193 li gp,784 + 123d8: 21df16e3 bne t5,t4,12de4 + +000123dc : + 123dc: 00002097 auipc ra,0x2 + 123e0: a9008093 addi ra,ra,-1392 # 13e6c + 123e4: a00aa137 lui sp,0xa00aa + 123e8: 00a10113 addi sp,sp,10 # a00aa00a <__global_pointer$+0xa0095902> + 123ec: 0020a023 sw sp,0(ra) + 123f0: 0000af03 lw t5,0(ra) + 123f4: a00aaeb7 lui t4,0xa00aa + 123f8: 00ae8e93 addi t4,t4,10 # a00aa00a <__global_pointer$+0xa0095902> + 123fc: 31100193 li gp,785 + 12400: 1fdf12e3 bne t5,t4,12de4 + +00012404 : + 12404: 00002097 auipc ra,0x2 + 12408: a6c08093 addi ra,ra,-1428 # 13e70 + 1240c: 12345137 lui sp,0x12345 + 12410: 67810113 addi sp,sp,1656 # 12345678 <__global_pointer$+0x12330f70> + 12414: fe008213 addi tp,ra,-32 + 12418: 02222023 sw sp,32(tp) # 20 <_start-0x10060> + 1241c: 0000a283 lw t0,0(ra) + 12420: 12345eb7 lui t4,0x12345 + 12424: 678e8e93 addi t4,t4,1656 # 12345678 <__global_pointer$+0x12330f70> + 12428: 31200193 li gp,786 + 1242c: 1bd29ce3 bne t0,t4,12de4 + +00012430 : + 12430: 00002097 auipc ra,0x2 + 12434: a4008093 addi ra,ra,-1472 # 13e70 + 12438: 58213137 lui sp,0x58213 + 1243c: 09810113 addi sp,sp,152 # 58213098 <__global_pointer$+0x581fe990> + 12440: ffd08093 addi ra,ra,-3 + 12444: 0020a3a3 sw sp,7(ra) + 12448: 00002217 auipc tp,0x2 + 1244c: a2c20213 addi tp,tp,-1492 # 13e74 + 12450: 00022283 lw t0,0(tp) # 0 <_start-0x10080> + 12454: 58213eb7 lui t4,0x58213 + 12458: 098e8e93 addi t4,t4,152 # 58213098 <__global_pointer$+0x581fe990> + 1245c: 31300193 li gp,787 + 12460: 19d292e3 bne t0,t4,12de4 + +00012464 : + 12464: 31400193 li gp,788 + 12468: 00000213 li tp,0 + 1246c: aabbd0b7 lui ra,0xaabbd + 12470: cdd08093 addi ra,ra,-803 # aabbccdd <__global_pointer$+0xaaba85d5> + 12474: 00002117 auipc sp,0x2 + 12478: 9dc10113 addi sp,sp,-1572 # 13e50 + 1247c: 00112023 sw ra,0(sp) + 12480: 00012f03 lw t5,0(sp) + 12484: aabbdeb7 lui t4,0xaabbd + 12488: cdde8e93 addi t4,t4,-803 # aabbccdd <__global_pointer$+0xaaba85d5> + 1248c: 15df1ce3 bne t5,t4,12de4 + 12490: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12494: 00200293 li t0,2 + 12498: fc521ae3 bne tp,t0,1246c + +0001249c : + 1249c: 31500193 li gp,789 + 124a0: 00000213 li tp,0 + 124a4: daabc0b7 lui ra,0xdaabc + 124a8: ccd08093 addi ra,ra,-819 # daabbccd <__global_pointer$+0xdaaa75c5> + 124ac: 00002117 auipc sp,0x2 + 124b0: 9a410113 addi sp,sp,-1628 # 13e50 + 124b4: 00000013 nop + 124b8: 00112223 sw ra,4(sp) + 124bc: 00412f03 lw t5,4(sp) + 124c0: daabceb7 lui t4,0xdaabc + 124c4: ccde8e93 addi t4,t4,-819 # daabbccd <__global_pointer$+0xdaaa75c5> + 124c8: 11df1ee3 bne t5,t4,12de4 + 124cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 124d0: 00200293 li t0,2 + 124d4: fc5218e3 bne tp,t0,124a4 + +000124d8 : + 124d8: 31600193 li gp,790 + 124dc: 00000213 li tp,0 + 124e0: ddaac0b7 lui ra,0xddaac + 124e4: bcc08093 addi ra,ra,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> + 124e8: 00002117 auipc sp,0x2 + 124ec: 96810113 addi sp,sp,-1688 # 13e50 + 124f0: 00000013 nop + 124f4: 00000013 nop + 124f8: 00112423 sw ra,8(sp) + 124fc: 00812f03 lw t5,8(sp) + 12500: ddaaceb7 lui t4,0xddaac + 12504: bcce8e93 addi t4,t4,-1076 # ddaabbcc <__global_pointer$+0xdda974c4> + 12508: 0ddf1ee3 bne t5,t4,12de4 + 1250c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12510: 00200293 li t0,2 + 12514: fc5216e3 bne tp,t0,124e0 + +00012518 : + 12518: 31700193 li gp,791 + 1251c: 00000213 li tp,0 + 12520: cddab0b7 lui ra,0xcddab + 12524: bbc08093 addi ra,ra,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> + 12528: 00000013 nop + 1252c: 00002117 auipc sp,0x2 + 12530: 92410113 addi sp,sp,-1756 # 13e50 + 12534: 00112623 sw ra,12(sp) + 12538: 00c12f03 lw t5,12(sp) + 1253c: cddabeb7 lui t4,0xcddab + 12540: bbce8e93 addi t4,t4,-1092 # cddaabbc <__global_pointer$+0xcdd964b4> + 12544: 0bdf10e3 bne t5,t4,12de4 + 12548: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1254c: 00200293 li t0,2 + 12550: fc5218e3 bne tp,t0,12520 + +00012554 : + 12554: 31800193 li gp,792 + 12558: 00000213 li tp,0 + 1255c: ccddb0b7 lui ra,0xccddb + 12560: abb08093 addi ra,ra,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> + 12564: 00000013 nop + 12568: 00002117 auipc sp,0x2 + 1256c: 8e810113 addi sp,sp,-1816 # 13e50 + 12570: 00000013 nop + 12574: 00112823 sw ra,16(sp) + 12578: 01012f03 lw t5,16(sp) + 1257c: ccddbeb7 lui t4,0xccddb + 12580: abbe8e93 addi t4,t4,-1349 # ccddaabb <__global_pointer$+0xccdc63b3> + 12584: 07df10e3 bne t5,t4,12de4 + 12588: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1258c: 00200293 li t0,2 + 12590: fc5216e3 bne tp,t0,1255c + +00012594 : + 12594: 31900193 li gp,793 + 12598: 00000213 li tp,0 + 1259c: bccde0b7 lui ra,0xbccde + 125a0: aab08093 addi ra,ra,-1365 # bccddaab <__global_pointer$+0xbccc93a3> + 125a4: 00000013 nop + 125a8: 00000013 nop + 125ac: 00002117 auipc sp,0x2 + 125b0: 8a410113 addi sp,sp,-1884 # 13e50 + 125b4: 00112a23 sw ra,20(sp) + 125b8: 01412f03 lw t5,20(sp) + 125bc: bccdeeb7 lui t4,0xbccde + 125c0: aabe8e93 addi t4,t4,-1365 # bccddaab <__global_pointer$+0xbccc93a3> + 125c4: 03df10e3 bne t5,t4,12de4 + 125c8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 125cc: 00200293 li t0,2 + 125d0: fc5216e3 bne tp,t0,1259c + +000125d4 : + 125d4: 31a00193 li gp,794 + 125d8: 00000213 li tp,0 + 125dc: 00002117 auipc sp,0x2 + 125e0: 87410113 addi sp,sp,-1932 # 13e50 + 125e4: 001120b7 lui ra,0x112 + 125e8: 23308093 addi ra,ra,563 # 112233 <__global_pointer$+0xfdb2b> + 125ec: 00112023 sw ra,0(sp) + 125f0: 00012f03 lw t5,0(sp) + 125f4: 00112eb7 lui t4,0x112 + 125f8: 233e8e93 addi t4,t4,563 # 112233 <__global_pointer$+0xfdb2b> + 125fc: 7fdf1463 bne t5,t4,12de4 + 12600: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12604: 00200293 li t0,2 + 12608: fc521ae3 bne tp,t0,125dc + +0001260c : + 1260c: 31b00193 li gp,795 + 12610: 00000213 li tp,0 + 12614: 00002117 auipc sp,0x2 + 12618: 83c10113 addi sp,sp,-1988 # 13e50 + 1261c: 300110b7 lui ra,0x30011 + 12620: 22308093 addi ra,ra,547 # 30011223 <__global_pointer$+0x2fffcb1b> + 12624: 00000013 nop + 12628: 00112223 sw ra,4(sp) + 1262c: 00412f03 lw t5,4(sp) + 12630: 30011eb7 lui t4,0x30011 + 12634: 223e8e93 addi t4,t4,547 # 30011223 <__global_pointer$+0x2fffcb1b> + 12638: 7bdf1663 bne t5,t4,12de4 + 1263c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12640: 00200293 li t0,2 + 12644: fc5218e3 bne tp,t0,12614 + +00012648 : + 12648: 31c00193 li gp,796 + 1264c: 00000213 li tp,0 + 12650: 00002117 auipc sp,0x2 + 12654: 80010113 addi sp,sp,-2048 # 13e50 + 12658: 330010b7 lui ra,0x33001 + 1265c: 12208093 addi ra,ra,290 # 33001122 <__global_pointer$+0x32feca1a> + 12660: 00000013 nop + 12664: 00000013 nop + 12668: 00112423 sw ra,8(sp) + 1266c: 00812f03 lw t5,8(sp) + 12670: 33001eb7 lui t4,0x33001 + 12674: 122e8e93 addi t4,t4,290 # 33001122 <__global_pointer$+0x32feca1a> + 12678: 77df1663 bne t5,t4,12de4 + 1267c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12680: 00200293 li t0,2 + 12684: fc5216e3 bne tp,t0,12650 + +00012688 : + 12688: 31d00193 li gp,797 + 1268c: 00000213 li tp,0 + 12690: 00001117 auipc sp,0x1 + 12694: 7c010113 addi sp,sp,1984 # 13e50 + 12698: 00000013 nop + 1269c: 233000b7 lui ra,0x23300 + 126a0: 11208093 addi ra,ra,274 # 23300112 <__global_pointer$+0x232eba0a> + 126a4: 00112623 sw ra,12(sp) + 126a8: 00c12f03 lw t5,12(sp) + 126ac: 23300eb7 lui t4,0x23300 + 126b0: 112e8e93 addi t4,t4,274 # 23300112 <__global_pointer$+0x232eba0a> + 126b4: 73df1863 bne t5,t4,12de4 + 126b8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 126bc: 00200293 li t0,2 + 126c0: fc5218e3 bne tp,t0,12690 + +000126c4 : + 126c4: 31e00193 li gp,798 + 126c8: 00000213 li tp,0 + 126cc: 00001117 auipc sp,0x1 + 126d0: 78410113 addi sp,sp,1924 # 13e50 + 126d4: 00000013 nop + 126d8: 223300b7 lui ra,0x22330 + 126dc: 01108093 addi ra,ra,17 # 22330011 <__global_pointer$+0x2231b909> + 126e0: 00000013 nop + 126e4: 00112823 sw ra,16(sp) + 126e8: 01012f03 lw t5,16(sp) + 126ec: 22330eb7 lui t4,0x22330 + 126f0: 011e8e93 addi t4,t4,17 # 22330011 <__global_pointer$+0x2231b909> + 126f4: 6fdf1863 bne t5,t4,12de4 + 126f8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 126fc: 00200293 li t0,2 + 12700: fc5216e3 bne tp,t0,126cc + +00012704 : + 12704: 31f00193 li gp,799 + 12708: 00000213 li tp,0 + 1270c: 00001117 auipc sp,0x1 + 12710: 74410113 addi sp,sp,1860 # 13e50 + 12714: 00000013 nop + 12718: 00000013 nop + 1271c: 122330b7 lui ra,0x12233 + 12720: 00108093 addi ra,ra,1 # 12233001 <__global_pointer$+0x1221e8f9> + 12724: 00112a23 sw ra,20(sp) + 12728: 01412f03 lw t5,20(sp) + 1272c: 12233eb7 lui t4,0x12233 + 12730: 001e8e93 addi t4,t4,1 # 12233001 <__global_pointer$+0x1221e8f9> + 12734: 6bdf1863 bne t5,t4,12de4 + 12738: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1273c: 00200293 li t0,2 + 12740: fc5216e3 bne tp,t0,1270c + +00012744 : + 12744: ff0100b7 lui ra,0xff010 + 12748: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1274c: 0f0f1137 lui sp,0xf0f1 + 12750: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12754: 0020cf33 xor t5,ra,sp + 12758: f00ffeb7 lui t4,0xf00ff + 1275c: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12760: 32000193 li gp,800 + 12764: 69df1063 bne t5,t4,12de4 + +00012768 : + 12768: 0ff010b7 lui ra,0xff01 + 1276c: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12770: f0f0f137 lui sp,0xf0f0f + 12774: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12778: 0020cf33 xor t5,ra,sp + 1277c: ff010eb7 lui t4,0xff010 + 12780: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12784: 32100193 li gp,801 + 12788: 65df1e63 bne t5,t4,12de4 + +0001278c : + 1278c: 00ff00b7 lui ra,0xff0 + 12790: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12794: 0f0f1137 lui sp,0xf0f1 + 12798: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 1279c: 0020cf33 xor t5,ra,sp + 127a0: 0ff01eb7 lui t4,0xff01 + 127a4: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 127a8: 32200193 li gp,802 + 127ac: 63df1c63 bne t5,t4,12de4 + +000127b0 : + 127b0: f00ff0b7 lui ra,0xf00ff + 127b4: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 127b8: f0f0f137 lui sp,0xf0f0f + 127bc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 127c0: 0020cf33 xor t5,ra,sp + 127c4: 00ff0eb7 lui t4,0xff0 + 127c8: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> + 127cc: 32300193 li gp,803 + 127d0: 61df1a63 bne t5,t4,12de4 + +000127d4 : + 127d4: ff0100b7 lui ra,0xff010 + 127d8: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 127dc: 0f0f1137 lui sp,0xf0f1 + 127e0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 127e4: 0020c0b3 xor ra,ra,sp + 127e8: f00ffeb7 lui t4,0xf00ff + 127ec: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 127f0: 32400193 li gp,804 + 127f4: 5fd09863 bne ra,t4,12de4 + +000127f8 : + 127f8: ff0100b7 lui ra,0xff010 + 127fc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12800: 0f0f1137 lui sp,0xf0f1 + 12804: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12808: 0020c133 xor sp,ra,sp + 1280c: f00ffeb7 lui t4,0xf00ff + 12810: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12814: 32500193 li gp,805 + 12818: 5dd11663 bne sp,t4,12de4 + +0001281c : + 1281c: ff0100b7 lui ra,0xff010 + 12820: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12824: 0010c0b3 xor ra,ra,ra + 12828: 00000e93 li t4,0 + 1282c: 32600193 li gp,806 + 12830: 5bd09a63 bne ra,t4,12de4 + +00012834 : + 12834: 00000213 li tp,0 + 12838: ff0100b7 lui ra,0xff010 + 1283c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12840: 0f0f1137 lui sp,0xf0f1 + 12844: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12848: 0020cf33 xor t5,ra,sp + 1284c: 000f0313 mv t1,t5 + 12850: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12854: 00200293 li t0,2 + 12858: fe5210e3 bne tp,t0,12838 + 1285c: f00ffeb7 lui t4,0xf00ff + 12860: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12864: 32700193 li gp,807 + 12868: 57d31e63 bne t1,t4,12de4 + +0001286c : + 1286c: 00000213 li tp,0 + 12870: 0ff010b7 lui ra,0xff01 + 12874: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12878: f0f0f137 lui sp,0xf0f0f + 1287c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12880: 0020cf33 xor t5,ra,sp + 12884: 00000013 nop + 12888: 000f0313 mv t1,t5 + 1288c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12890: 00200293 li t0,2 + 12894: fc521ee3 bne tp,t0,12870 + 12898: ff010eb7 lui t4,0xff010 + 1289c: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 128a0: 32800193 li gp,808 + 128a4: 55d31063 bne t1,t4,12de4 + +000128a8 : + 128a8: 00000213 li tp,0 + 128ac: 00ff00b7 lui ra,0xff0 + 128b0: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 128b4: 0f0f1137 lui sp,0xf0f1 + 128b8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 128bc: 0020cf33 xor t5,ra,sp + 128c0: 00000013 nop + 128c4: 00000013 nop + 128c8: 000f0313 mv t1,t5 + 128cc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 128d0: 00200293 li t0,2 + 128d4: fc521ce3 bne tp,t0,128ac + 128d8: 0ff01eb7 lui t4,0xff01 + 128dc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 128e0: 32900193 li gp,809 + 128e4: 51d31063 bne t1,t4,12de4 + +000128e8 : + 128e8: 00000213 li tp,0 + 128ec: ff0100b7 lui ra,0xff010 + 128f0: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 128f4: 0f0f1137 lui sp,0xf0f1 + 128f8: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 128fc: 0020cf33 xor t5,ra,sp + 12900: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12904: 00200293 li t0,2 + 12908: fe5212e3 bne tp,t0,128ec + 1290c: f00ffeb7 lui t4,0xf00ff + 12910: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12914: 32a00193 li gp,810 + 12918: 4ddf1663 bne t5,t4,12de4 + +0001291c : + 1291c: 00000213 li tp,0 + 12920: 0ff010b7 lui ra,0xff01 + 12924: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12928: f0f0f137 lui sp,0xf0f0f + 1292c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12930: 00000013 nop + 12934: 0020cf33 xor t5,ra,sp + 12938: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 1293c: 00200293 li t0,2 + 12940: fe5210e3 bne tp,t0,12920 + 12944: ff010eb7 lui t4,0xff010 + 12948: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1294c: 32b00193 li gp,811 + 12950: 49df1a63 bne t5,t4,12de4 + +00012954 : + 12954: 00000213 li tp,0 + 12958: 00ff00b7 lui ra,0xff0 + 1295c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12960: 0f0f1137 lui sp,0xf0f1 + 12964: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12968: 00000013 nop + 1296c: 00000013 nop + 12970: 0020cf33 xor t5,ra,sp + 12974: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12978: 00200293 li t0,2 + 1297c: fc521ee3 bne tp,t0,12958 + 12980: 0ff01eb7 lui t4,0xff01 + 12984: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12988: 32c00193 li gp,812 + 1298c: 45df1c63 bne t5,t4,12de4 + +00012990 : + 12990: 00000213 li tp,0 + 12994: ff0100b7 lui ra,0xff010 + 12998: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 1299c: 00000013 nop + 129a0: 0f0f1137 lui sp,0xf0f1 + 129a4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 129a8: 0020cf33 xor t5,ra,sp + 129ac: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129b0: 00200293 li t0,2 + 129b4: fe5210e3 bne tp,t0,12994 + 129b8: f00ffeb7 lui t4,0xf00ff + 129bc: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 129c0: 32d00193 li gp,813 + 129c4: 43df1063 bne t5,t4,12de4 + +000129c8 : + 129c8: 00000213 li tp,0 + 129cc: 0ff010b7 lui ra,0xff01 + 129d0: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 129d4: 00000013 nop + 129d8: f0f0f137 lui sp,0xf0f0f + 129dc: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 129e0: 00000013 nop + 129e4: 0020cf33 xor t5,ra,sp + 129e8: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 129ec: 00200293 li t0,2 + 129f0: fc521ee3 bne tp,t0,129cc + 129f4: ff010eb7 lui t4,0xff010 + 129f8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 129fc: 32e00193 li gp,814 + 12a00: 3fdf1263 bne t5,t4,12de4 + +00012a04 : + 12a04: 00000213 li tp,0 + 12a08: 00ff00b7 lui ra,0xff0 + 12a0c: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12a10: 00000013 nop + 12a14: 00000013 nop + 12a18: 0f0f1137 lui sp,0xf0f1 + 12a1c: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12a20: 0020cf33 xor t5,ra,sp + 12a24: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a28: 00200293 li t0,2 + 12a2c: fc521ee3 bne tp,t0,12a08 + 12a30: 0ff01eb7 lui t4,0xff01 + 12a34: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12a38: 32f00193 li gp,815 + 12a3c: 3bdf1463 bne t5,t4,12de4 + +00012a40 : + 12a40: 00000213 li tp,0 + 12a44: 0f0f1137 lui sp,0xf0f1 + 12a48: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12a4c: ff0100b7 lui ra,0xff010 + 12a50: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12a54: 0020cf33 xor t5,ra,sp + 12a58: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a5c: 00200293 li t0,2 + 12a60: fe5212e3 bne tp,t0,12a44 + 12a64: f00ffeb7 lui t4,0xf00ff + 12a68: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12a6c: 33000193 li gp,816 + 12a70: 37df1a63 bne t5,t4,12de4 + +00012a74 : + 12a74: 00000213 li tp,0 + 12a78: f0f0f137 lui sp,0xf0f0f + 12a7c: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12a80: 0ff010b7 lui ra,0xff01 + 12a84: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12a88: 00000013 nop + 12a8c: 0020cf33 xor t5,ra,sp + 12a90: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12a94: 00200293 li t0,2 + 12a98: fe5210e3 bne tp,t0,12a78 + 12a9c: ff010eb7 lui t4,0xff010 + 12aa0: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12aa4: 33100193 li gp,817 + 12aa8: 33df1e63 bne t5,t4,12de4 + +00012aac : + 12aac: 00000213 li tp,0 + 12ab0: 0f0f1137 lui sp,0xf0f1 + 12ab4: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12ab8: 00ff00b7 lui ra,0xff0 + 12abc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12ac0: 00000013 nop + 12ac4: 00000013 nop + 12ac8: 0020cf33 xor t5,ra,sp + 12acc: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12ad0: 00200293 li t0,2 + 12ad4: fc521ee3 bne tp,t0,12ab0 + 12ad8: 0ff01eb7 lui t4,0xff01 + 12adc: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12ae0: 33200193 li gp,818 + 12ae4: 31df1063 bne t5,t4,12de4 + +00012ae8 : + 12ae8: 00000213 li tp,0 + 12aec: 0f0f1137 lui sp,0xf0f1 + 12af0: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12af4: 00000013 nop + 12af8: ff0100b7 lui ra,0xff010 + 12afc: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12b00: 0020cf33 xor t5,ra,sp + 12b04: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b08: 00200293 li t0,2 + 12b0c: fe5210e3 bne tp,t0,12aec + 12b10: f00ffeb7 lui t4,0xf00ff + 12b14: 00fe8e93 addi t4,t4,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12b18: 33300193 li gp,819 + 12b1c: 2ddf1463 bne t5,t4,12de4 + +00012b20 : + 12b20: 00000213 li tp,0 + 12b24: f0f0f137 lui sp,0xf0f0f + 12b28: 0f010113 addi sp,sp,240 # f0f0f0f0 <__global_pointer$+0xf0efa9e8> + 12b2c: 00000013 nop + 12b30: 0ff010b7 lui ra,0xff01 + 12b34: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12b38: 00000013 nop + 12b3c: 0020cf33 xor t5,ra,sp + 12b40: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b44: 00200293 li t0,2 + 12b48: fc521ee3 bne tp,t0,12b24 + 12b4c: ff010eb7 lui t4,0xff010 + 12b50: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12b54: 33400193 li gp,820 + 12b58: 29df1663 bne t5,t4,12de4 + +00012b5c : + 12b5c: 00000213 li tp,0 + 12b60: 0f0f1137 lui sp,0xf0f1 + 12b64: f0f10113 addi sp,sp,-241 # f0f0f0f <__global_pointer$+0xf0dc807> + 12b68: 00000013 nop + 12b6c: 00000013 nop + 12b70: 00ff00b7 lui ra,0xff0 + 12b74: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12b78: 0020cf33 xor t5,ra,sp + 12b7c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12b80: 00200293 li t0,2 + 12b84: fc521ee3 bne tp,t0,12b60 + 12b88: 0ff01eb7 lui t4,0xff01 + 12b8c: ff0e8e93 addi t4,t4,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12b90: 33500193 li gp,821 + 12b94: 25df1863 bne t5,t4,12de4 + +00012b98 : + 12b98: ff0100b7 lui ra,0xff010 + 12b9c: f0008093 addi ra,ra,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12ba0: 00104133 xor sp,zero,ra + 12ba4: ff010eb7 lui t4,0xff010 + 12ba8: f00e8e93 addi t4,t4,-256 # ff00ff00 <__global_pointer$+0xfeffb7f8> + 12bac: 33600193 li gp,822 + 12bb0: 23d11a63 bne sp,t4,12de4 + +00012bb4 : + 12bb4: 00ff00b7 lui ra,0xff0 + 12bb8: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12bbc: 0000c133 xor sp,ra,zero + 12bc0: 00ff0eb7 lui t4,0xff0 + 12bc4: 0ffe8e93 addi t4,t4,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12bc8: 33700193 li gp,823 + 12bcc: 21d11c63 bne sp,t4,12de4 + +00012bd0 : + 12bd0: 000040b3 xor ra,zero,zero + 12bd4: 00000e93 li t4,0 + 12bd8: 33800193 li gp,824 + 12bdc: 21d09463 bne ra,t4,12de4 + +00012be0 : + 12be0: 111110b7 lui ra,0x11111 + 12be4: 11108093 addi ra,ra,273 # 11111111 <__global_pointer$+0x110fca09> + 12be8: 22222137 lui sp,0x22222 + 12bec: 22210113 addi sp,sp,546 # 22222222 <__global_pointer$+0x2220db1a> + 12bf0: 0020c033 xor zero,ra,sp + 12bf4: 00000e93 li t4,0 + 12bf8: 33900193 li gp,825 + 12bfc: 1fd01463 bne zero,t4,12de4 + +00012c00 : + 12c00: 00ff10b7 lui ra,0xff1 + 12c04: f0008093 addi ra,ra,-256 # ff0f00 <__global_pointer$+0xfdc7f8> + 12c08: f0f0cf13 xori t5,ra,-241 + 12c0c: ff00feb7 lui t4,0xff00f + 12c10: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> + 12c14: 33a00193 li gp,826 + 12c18: 1ddf1663 bne t5,t4,12de4 + +00012c1c : + 12c1c: 0ff010b7 lui ra,0xff01 + 12c20: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12c24: 0f00cf13 xori t5,ra,240 + 12c28: 0ff01eb7 lui t4,0xff01 + 12c2c: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12c30: 33b00193 li gp,827 + 12c34: 1bdf1863 bne t5,t4,12de4 + +00012c38 : + 12c38: 00ff10b7 lui ra,0xff1 + 12c3c: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> + 12c40: 70f0cf13 xori t5,ra,1807 + 12c44: 00ff1eb7 lui t4,0xff1 + 12c48: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12c4c: 33c00193 li gp,828 + 12c50: 19df1a63 bne t5,t4,12de4 + +00012c54 : + 12c54: f00ff0b7 lui ra,0xf00ff + 12c58: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12c5c: 0f00cf13 xori t5,ra,240 + 12c60: f00ffeb7 lui t4,0xf00ff + 12c64: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12c68: 33d00193 li gp,829 + 12c6c: 17df1c63 bne t5,t4,12de4 + +00012c70 : + 12c70: ff00f0b7 lui ra,0xff00f + 12c74: 70008093 addi ra,ra,1792 # ff00f700 <__global_pointer$+0xfeffaff8> + 12c78: 70f0c093 xori ra,ra,1807 + 12c7c: ff00feb7 lui t4,0xff00f + 12c80: 00fe8e93 addi t4,t4,15 # ff00f00f <__global_pointer$+0xfeffa907> + 12c84: 33e00193 li gp,830 + 12c88: 15d09e63 bne ra,t4,12de4 + +00012c8c : + 12c8c: 00000213 li tp,0 + 12c90: 0ff010b7 lui ra,0xff01 + 12c94: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12c98: 0f00cf13 xori t5,ra,240 + 12c9c: 000f0313 mv t1,t5 + 12ca0: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12ca4: 00200293 li t0,2 + 12ca8: fe5214e3 bne tp,t0,12c90 + 12cac: 0ff01eb7 lui t4,0xff01 + 12cb0: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12cb4: 33f00193 li gp,831 + 12cb8: 13d31663 bne t1,t4,12de4 + +00012cbc : + 12cbc: 00000213 li tp,0 + 12cc0: 00ff10b7 lui ra,0xff1 + 12cc4: 8ff08093 addi ra,ra,-1793 # ff08ff <__global_pointer$+0xfdc1f7> + 12cc8: 70f0cf13 xori t5,ra,1807 + 12ccc: 00000013 nop + 12cd0: 000f0313 mv t1,t5 + 12cd4: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12cd8: 00200293 li t0,2 + 12cdc: fe5212e3 bne tp,t0,12cc0 + 12ce0: 00ff1eb7 lui t4,0xff1 + 12ce4: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12ce8: 34000193 li gp,832 + 12cec: 0fd31c63 bne t1,t4,12de4 + +00012cf0 : + 12cf0: 00000213 li tp,0 + 12cf4: f00ff0b7 lui ra,0xf00ff + 12cf8: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12cfc: 0f00cf13 xori t5,ra,240 + 12d00: 00000013 nop + 12d04: 00000013 nop + 12d08: 000f0313 mv t1,t5 + 12d0c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d10: 00200293 li t0,2 + 12d14: fe5210e3 bne tp,t0,12cf4 + 12d18: f00ffeb7 lui t4,0xf00ff + 12d1c: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12d20: 34100193 li gp,833 + 12d24: 0dd31063 bne t1,t4,12de4 + +00012d28 : + 12d28: 00000213 li tp,0 + 12d2c: 0ff010b7 lui ra,0xff01 + 12d30: ff008093 addi ra,ra,-16 # ff00ff0 <__global_pointer$+0xfeec8e8> + 12d34: 0f00cf13 xori t5,ra,240 + 12d38: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d3c: 00200293 li t0,2 + 12d40: fe5216e3 bne tp,t0,12d2c + 12d44: 0ff01eb7 lui t4,0xff01 + 12d48: f00e8e93 addi t4,t4,-256 # ff00f00 <__global_pointer$+0xfeec7f8> + 12d4c: 34200193 li gp,834 + 12d50: 09df1a63 bne t5,t4,12de4 + +00012d54 : + 12d54: 00000213 li tp,0 + 12d58: 00ff10b7 lui ra,0xff1 + 12d5c: fff08093 addi ra,ra,-1 # ff0fff <__global_pointer$+0xfdc8f7> + 12d60: 00000013 nop + 12d64: 00f0cf13 xori t5,ra,15 + 12d68: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12d6c: 00200293 li t0,2 + 12d70: fe5214e3 bne tp,t0,12d58 + 12d74: 00ff1eb7 lui t4,0xff1 + 12d78: ff0e8e93 addi t4,t4,-16 # ff0ff0 <__global_pointer$+0xfdc8e8> + 12d7c: 34300193 li gp,835 + 12d80: 07df1263 bne t5,t4,12de4 + +00012d84 : + 12d84: 00000213 li tp,0 + 12d88: f00ff0b7 lui ra,0xf00ff + 12d8c: 00f08093 addi ra,ra,15 # f00ff00f <__global_pointer$+0xf00ea907> + 12d90: 00000013 nop + 12d94: 00000013 nop + 12d98: 0f00cf13 xori t5,ra,240 + 12d9c: 00120213 addi tp,tp,1 # 1 <_start-0x1007f> + 12da0: 00200293 li t0,2 + 12da4: fe5212e3 bne tp,t0,12d88 + 12da8: f00ffeb7 lui t4,0xf00ff + 12dac: 0ffe8e93 addi t4,t4,255 # f00ff0ff <__global_pointer$+0xf00ea9f7> + 12db0: 34400193 li gp,836 + 12db4: 03df1863 bne t5,t4,12de4 + +00012db8 : + 12db8: 0f004093 xori ra,zero,240 + 12dbc: 0f000e93 li t4,240 + 12dc0: 34500193 li gp,837 + 12dc4: 03d09063 bne ra,t4,12de4 + +00012dc8 : + 12dc8: 00ff00b7 lui ra,0xff0 + 12dcc: 0ff08093 addi ra,ra,255 # ff00ff <__global_pointer$+0xfdb9f7> + 12dd0: 70f0c013 xori zero,ra,1807 + 12dd4: 00000e93 li t4,0 + 12dd8: 34600193 li gp,838 + 12ddc: 01d01463 bne zero,t4,12de4 + 12de0: 00301463 bne zero,gp,12de8 + +00012de4 : + 12de4: 00000a6f jal s4,12de4 + +00012de8 : + 12de8: 00100193 li gp,1 + +00012dec : + 12dec: 00000a6f jal s4,12dec diff --git a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt b/SIM-CPU/rv32i_test/c_instr_stream.txt similarity index 72% rename from hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt rename to SIM-CPU/rv32i_test/c_instr_stream.txt index f8cf387..5d04213 100644 --- a/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test/testC_InstructionStream.txt +++ b/SIM-CPU/rv32i_test/c_instr_stream.txt @@ -1,4002 +1,2908 @@ -00000013 -00000093 -0000af13 -00000e93 -20c00193 -01df0463 -54d0206f -00100093 -0010af13 -00000e93 -20d00193 -01df0463 -5350206f -00300093 -0070af13 -00100e93 -20e00193 -01df0463 -51d0206f -00700093 -0030af13 -00000e93 -20f00193 -01df0463 -5050206f -00000093 -8000af13 -00000e93 -21000193 -01df0463 -4ed0206f -800000b7 -0000af13 -00100e93 -21100193 -01df0463 -4d50206f -800000b7 -8000af13 -00100e93 -21200193 -01df0463 -4bd0206f -00000093 -7ff0af13 -00100e93 -21300193 -01df0463 -4a50206f -800000b7 -fff08093 -0000af13 -00000e93 -21400193 -01df0463 -4890206f -800000b7 -fff08093 -7ff0af13 -00000e93 -21500193 -01df0463 -46d0206f -800000b7 -7ff0af13 -00100e93 -21600193 -01df0463 -4550206f -800000b7 -fff08093 -8000af13 -00000e93 -21700193 -01df0463 -4390206f -00000093 -fff0af13 -00000e93 -21800193 -01df0463 -4210206f -fff00093 -0010af13 -00100e93 -21900193 -01df0463 -4090206f -fff00093 -fff0af13 -00000e93 -21a00193 -01df0463 -3f10206f -00b00093 -00d0a093 -00100e93 -21b00193 -01d08463 -3d90206f -00000213 -00f00093 -00a0af13 -000f0313 -00120213 -00200293 -fe5216e3 -00000e93 -21c00193 -01d30463 -3ad0206f -00000213 -00a00093 -0100af13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -21d00193 -01d30463 -37d0206f -00000213 -01000093 -0090af13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -21e00193 -01d30463 -3490206f -00000213 -00b00093 -00f0af13 -00120213 -00200293 -fe5218e3 -00100e93 -21f00193 -01df0463 -3210206f -00000213 -01100093 -00000013 -0080af13 -00120213 -00200293 -fe5216e3 -00000e93 -22000193 -01df0463 -2f50206f -00000213 -00c00093 -00000013 -00000013 -00e0af13 -00120213 -00200293 -fe5214e3 -00100e93 -22100193 -01df0463 -2c50206f -fff02093 -00000e93 -22200193 -01d08463 -2b10206f -00ff00b7 -0ff08093 -fff0a013 -00000e93 -22300193 -01d00463 -2950206f -00000093 -0000bf13 -00000e93 -22400193 -01df0463 -27d0206f -00100093 -0010bf13 -00000e93 -22500193 -01df0463 -2650206f -00300093 -0070bf13 -00100e93 -22600193 -01df0463 -24d0206f -00700093 -0030bf13 -00000e93 -22700193 -01df0463 -2350206f -00000093 -8000bf13 -00100e93 -22800193 -01df0463 -21d0206f -800000b7 -0000bf13 -00000e93 -22900193 -01df0463 -2050206f -800000b7 -8000bf13 -00100e93 -22a00193 -01df0463 -1ed0206f -00000093 -7ff0bf13 -00100e93 -22b00193 -01df0463 -1d50206f -800000b7 -fff08093 -0000bf13 -00000e93 -22c00193 -01df0463 -1b90206f -800000b7 -fff08093 -7ff0bf13 -00000e93 -22d00193 -01df0463 -19d0206f -800000b7 -7ff0bf13 -00000e93 -22e00193 -01df0463 -1850206f -800000b7 -fff08093 -8000bf13 -00100e93 -22f00193 -01df0463 -1690206f -00000093 -fff0bf13 -00100e93 -23000193 -01df0463 -1510206f -fff00093 -0010bf13 -00000e93 -23100193 -01df0463 -1390206f -fff00093 -fff0bf13 -00000e93 -23200193 -01df0463 -1210206f -00b00093 -00d0b093 -00100e93 -23300193 -01d08463 -1090206f -00000213 -00f00093 -00a0bf13 -000f0313 -00120213 -00200293 -fe5216e3 -00000e93 -23400193 -01d30463 -0dd0206f -00000213 -00a00093 -0100bf13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -23500193 -01d30463 -0ad0206f -00000213 -01000093 -0090bf13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -23700193 -01d30463 -0790206f -00000213 -00b00093 -00f0bf13 -00120213 -00200293 -fe5218e3 -00100e93 -23800193 -01df0463 -0510206f -00000213 -01100093 -00000013 -0080bf13 -00120213 -00200293 -fe5216e3 -00000e93 -23900193 -01df0463 -0250206f -00000213 -00c00093 -00000013 -00000013 -00e0bf13 -00120213 -00200293 -fe5214e3 -00100e93 -23a00193 -01df0463 -7f40206f -fff03093 -00100e93 -23b00193 -01d08463 -7e00206f -00ff00b7 -0ff08093 -fff0b013 -00000e93 -23c00193 -01d00463 -7c40206f -00000093 -00000113 -0020bf33 -00000e93 -23d00193 -01df0463 -7a80206f -00100093 -00100113 -0020bf33 -00000e93 -23e00193 -01df0463 -78c0206f -00300093 -00700113 -0020bf33 -00100e93 -23f00193 -01df0463 -7700206f -00700093 -00300113 -0020bf33 -00000e93 -24000193 -01df0463 -7540206f -00000093 -ffff8137 -0020bf33 -00100e93 -24100193 -01df0463 -7380206f -800000b7 -00000113 -0020bf33 -00000e93 -24200193 -01df0463 -71c0206f -800000b7 -ffff8137 -0020bf33 -00100e93 -24300193 -01df0463 -7000206f -00000093 -00008137 -fff10113 -0020bf33 -00100e93 -24400193 -01df0463 -6e00206f -800000b7 -fff08093 -00000113 -0020bf33 -00000e93 -24500193 -01df0463 -6c00206f -800000b7 -fff08093 -00008137 -fff10113 -0020bf33 -00000e93 -24600193 -01df0463 -69c0206f -800000b7 -00008137 -fff10113 -0020bf33 -00000e93 -24700193 -01df0463 -67c0206f -800000b7 -fff08093 -ffff8137 -0020bf33 -00100e93 -24800193 -01df0463 -65c0206f -00000093 -fff00113 -0020bf33 -00100e93 -24900193 -01df0463 -6400206f -fff00093 -00100113 -0020bf33 -00000e93 -24a00193 -01df0463 -6240206f -fff00093 -fff00113 -0020bf33 -00000e93 -24b00193 -01df0463 -6080206f -00e00093 -00d00113 -0020b0b3 -00000e93 -24c00193 -01d08463 -5ec0206f -00b00093 -00d00113 -0020b133 -00100e93 -24d00193 -01d10463 -5d00206f -00d00093 -0010b0b3 -00000e93 -24e00193 -01d08463 -5b80206f -00000213 -00b00093 -00d00113 -0020bf33 -000f0313 -00120213 -00200293 -fe5214e3 -00100e93 -24f00193 -01d30463 -5880206f -00000213 -00e00093 -00d00113 -0020bf33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00000e93 -25000193 -01d30463 -5540206f -00000213 -00c00093 -00d00113 -0020bf33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -25100193 -01d30463 -51c0206f -00000213 -00e00093 -00d00113 -0020bf33 -00120213 -00200293 -fe5216e3 -00000e93 -25200193 -01df0463 -4f00206f -00000213 -00b00093 -00d00113 -00000013 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25300193 -01df0463 -4c00206f -00000213 -00f00093 -00d00113 -00000013 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25400193 -01df0463 -48c0206f -00000213 -00a00093 -00000013 -00d00113 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25500193 -01df0463 -45c0206f -00000213 -01000093 -00000013 -00d00113 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25600193 -01df0463 -4280206f -00000213 -00900093 -00000013 -00000013 -00d00113 -0020bf33 -00120213 -00200293 -fe5212e3 -00100e93 -25700193 -01df0463 -3f40206f -00000213 -00d00113 -01100093 -0020bf33 -00120213 -00200293 -fe5216e3 -00000e93 -25900193 -01df0463 -3c80206f -00000213 -00d00113 -00800093 -00000013 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25a00193 -01df0463 -3980206f -00000213 -00d00113 -01200093 -00000013 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25b00193 -01df0463 -3640206f -00000213 -00d00113 -00000013 -00700093 -0020bf33 -00120213 -00200293 -fe5214e3 -00100e93 -25c00193 -01df0463 -3340206f -00000213 -00d00113 -00000013 -01300093 -00000013 -0020bf33 -00120213 -00200293 -fe5212e3 -00000e93 -25d00193 -01df0463 -3000206f -00000213 -00d00113 -00000013 -00000013 -00600093 -0020bf33 -00120213 -00200293 -fe5212e3 -00100e93 -25e00193 -01df0463 -2cc0206f -fff00093 -00103133 -00100e93 -25f00193 -01d10463 -2b40206f -fff00093 -0000b133 -00000e93 -26000193 -01d10463 -29c0206f -000030b3 -00000e93 -26100193 -01d08463 -2880206f -01000093 -01e00113 -0020b033 -00000e93 -26200193 -01d00463 -26c0206f -800000b7 -00000113 -4020df33 -80000eb7 -26300193 -01df0463 -2500206f -800000b7 -00100113 -4020df33 -c0000eb7 -26400193 -01df0463 -2340206f -800000b7 -00700113 -4020df33 -ff000eb7 -26500193 -01df0463 -2180206f -800000b7 -00e00113 -4020df33 -fffe0eb7 -26600193 -01df0463 -1fc0206f -800000b7 -00108093 -01f00113 -4020df33 -fff00e93 -26700193 -01df0463 -1dc0206f -800000b7 -fff08093 -00000113 -4020df33 -80000eb7 -fffe8e93 -26800193 -01df0463 -1b80206f -800000b7 -fff08093 -00100113 -4020df33 -40000eb7 -fffe8e93 -26900193 -01df0463 -1940206f -800000b7 -fff08093 -00700113 -4020df33 -01000eb7 -fffe8e93 -26a00193 -01df0463 -1700206f -800000b7 -fff08093 -00e00113 -4020df33 -00020eb7 -fffe8e93 -26b00193 -01df0463 -14c0206f -800000b7 -fff08093 -01f00113 -4020df33 -00000e93 -26c00193 -01df0463 -12c0206f -818180b7 -18108093 -00000113 -4020df33 -81818eb7 -181e8e93 -26d00193 -01df0463 -1080206f -818180b7 -18108093 -00100113 -4020df33 -c0c0ceb7 -0c0e8e93 -26e00193 -01df0463 -0e40206f -818180b7 -18108093 -00700113 -4020df33 -ff030eb7 -303e8e93 -26f00193 -01df0463 -0c00206f -818180b7 -18108093 -00e00113 -4020df33 -fffe0eb7 -606e8e93 -27000193 -01df0463 -09c0206f -818180b7 -18108093 -01f00113 -4020df33 -fff00e93 -27100193 -01df0463 -07c0206f -818180b7 -18108093 -fc000113 -4020df33 -81818eb7 -181e8e93 -27200193 -01df0463 -0580206f -818180b7 -18108093 -fc100113 -4020df33 -c0c0ceb7 -0c0e8e93 -27300193 -01df0463 -0340206f -818180b7 -18108093 -fc700113 -4020df33 -ff030eb7 -303e8e93 -27400193 -01df0463 -0100206f -818180b7 -18108093 -fce00113 -4020df33 -fffe0eb7 -606e8e93 -27500193 -01df0463 -7ed0106f -818180b7 -18108093 -fff00113 -4020df33 -fff00e93 -27600193 -01df0463 -7cd0106f -800000b7 -00700113 -4020d0b3 -ff000eb7 -27700193 -01d08463 -7b10106f -800000b7 -00e00113 -4020d133 -fffe0eb7 -27800193 -01d10463 -7950106f -00700093 -4010d0b3 -00000e93 -27900193 -01d08463 -77d0106f -00000213 -800000b7 -00700113 -4020df33 -000f0313 -00120213 -00200293 -fe5214e3 -ff000eb7 -27a00193 -01d30463 -74d0106f -00000213 -800000b7 -00e00113 -4020df33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -fffe0eb7 -27b00193 -01d30463 -7190106f -00000213 -800000b7 -01f00113 -4020df33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -fff00e93 -27c00193 -01d30463 -6e10106f -00000213 -800000b7 -00700113 -4020df33 -00120213 -00200293 -fe5216e3 -ff000eb7 -27d00193 -01df0463 -6b50106f -00000213 -800000b7 -00e00113 -00000013 -4020df33 -00120213 -00200293 -fe5214e3 -fffe0eb7 -27e00193 -01df0463 -6850106f -00000213 -800000b7 -01f00113 -00000013 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -27f00193 -01df0463 -6510106f -00000213 -800000b7 -00000013 -00700113 -4020df33 -00120213 -00200293 -fe5214e3 -ff000eb7 -28000193 -01df0463 -6210106f -00000213 -800000b7 -00000013 -00e00113 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fffe0eb7 -28100193 -01df0463 -5ed0106f -00000213 -800000b7 -00000013 -00000013 -01f00113 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28200193 -01df0463 -5b90106f -00000213 -00700113 -800000b7 -4020df33 -00120213 -00200293 -fe5216e3 -ff000eb7 -28300193 -01df0463 -58d0106f -00000213 -00e00113 -800000b7 -00000013 -4020df33 -00120213 -00200293 -fe5214e3 -fffe0eb7 -28400193 -01df0463 -55d0106f -00000213 -01f00113 -800000b7 -00000013 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28500193 -01df0463 -5290106f -00000213 -00700113 -00000013 -800000b7 -4020df33 -00120213 -00200293 -fe5214e3 -ff000eb7 -28600193 -01df0463 -4f90106f -00000213 -00e00113 -00000013 -800000b7 -00000013 -4020df33 -00120213 -00200293 -fe5212e3 -fffe0eb7 -28700193 -01df0463 -4c50106f -00000213 -01f00113 -00000013 -00000013 -800000b7 -4020df33 -00120213 -00200293 -fe5212e3 -fff00e93 -28800193 -01df0463 -4910106f -00f00093 -40105133 -00000e93 -28900193 -01d10463 -4790106f -02000093 -4000d133 -02000e93 -28a00193 -01d10463 -4610106f -400050b3 -00000e93 -28b00193 -01d08463 -44d0106f -40000093 -00001137 -80010113 -4020d033 -00000e93 -28c00193 -01d00463 -42d0106f -00000093 -4000df13 -00000e93 -28d00193 -01df0463 -4150106f -800000b7 -4010df13 -c0000eb7 -28e00193 -01df0463 -3fd0106f -800000b7 -4070df13 -ff000eb7 -28f00193 -01df0463 -3e50106f -800000b7 -40e0df13 -fffe0eb7 -29000193 -01df0463 -3cd0106f -800000b7 -00108093 -41f0df13 -fff00e93 -29100193 -01df0463 -3b10106f -800000b7 -fff08093 -4010df13 -40000eb7 -fffe8e93 -29200193 -01df0463 -3910106f -800000b7 -fff08093 -4070df13 -01000eb7 -fffe8e93 -29300193 -01df0463 -3710106f -800000b7 -fff08093 -40e0df13 -00020eb7 -fffe8e93 -29400193 -01df0463 -3510106f -800000b7 -fff08093 -41f0df13 -00000e93 -29500193 -01df0463 -3350106f -818180b7 -18108093 -4000df13 -81818eb7 -181e8e93 -29600193 -01df0463 -3150106f -818180b7 -18108093 -4010df13 -c0c0ceb7 -0c0e8e93 -29700193 -01df0463 -2f50106f -818180b7 -18108093 -4070df13 -ff030eb7 -303e8e93 -29800193 -01df0463 -2d50106f -818180b7 -18108093 -40e0df13 -fffe0eb7 -606e8e93 -29900193 -01df0463 -2b50106f -818180b7 -18108093 -41f0df13 -fff00e93 -29a00193 -01df0463 -2990106f -800000b7 -4070d093 -ff000eb7 -29b00193 -01d08463 -2810106f -00000213 -800000b7 -4070df13 -000f0313 -00120213 -00200293 -fe5216e3 -ff000eb7 -29c00193 -01d30463 -2550106f -00000213 -800000b7 -40e0df13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -fffe0eb7 -29d00193 -01d30463 -2250106f -00000213 -800000b7 -00108093 -41f0df13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -fff00e93 -29e00193 -01d30463 -1ed0106f -00000213 -800000b7 -4070df13 -00120213 -00200293 -fe5218e3 -ff000eb7 -29f00193 -01df0463 -1c50106f -00000213 -800000b7 -00000013 -40e0df13 -00120213 -00200293 -fe5216e3 -fffe0eb7 -2a000193 -01df0463 -1990106f -00000213 -800000b7 -00108093 -00000013 -00000013 -41f0df13 -00120213 -00200293 -fe5212e3 -fff00e93 -2a100193 -01df0463 -1650106f -40405093 -00000e93 -2a200193 -01d08463 -1510106f -02100093 -40a0d013 -00000e93 -2a300193 -01d00463 -1390106f -800000b7 -00000113 -0020df33 -80000eb7 -2a400193 -01df0463 -11d0106f -800000b7 -00100113 -0020df33 -40000eb7 -2a500193 -01df0463 -1010106f -800000b7 -00700113 -0020df33 -01000eb7 -2a600193 -01df0463 -0e50106f -800000b7 -00e00113 -0020df33 -00020eb7 -2a700193 -01df0463 -0c90106f -800000b7 -00108093 -01f00113 -0020df33 -00100e93 -2a800193 -01df0463 -0a90106f -fff00093 -00000113 -0020df33 -fff00e93 -2a900193 -01df0463 -08d0106f -fff00093 -00100113 -0020df33 -80000eb7 -fffe8e93 -2aa00193 -01df0463 -06d0106f -fff00093 -00700113 -0020df33 -02000eb7 -fffe8e93 -2ab00193 -01df0463 -04d0106f -fff00093 -00e00113 -0020df33 -00040eb7 -fffe8e93 -2ac00193 -01df0463 -02d0106f -fff00093 -01f00113 -0020df33 -00100e93 -2ad00193 -01df0463 -0110106f -212120b7 -12108093 -00000113 -0020df33 -21212eb7 -121e8e93 -2ae00193 -01df0463 -7ec0106f -212120b7 -12108093 -00100113 -0020df33 -10909eb7 -090e8e93 -2af00193 -01df0463 -7c80106f -212120b7 -12108093 -00700113 -0020df33 -00424eb7 -242e8e93 -2b000193 -01df0463 -7a40106f -212120b7 -12108093 -00e00113 -0020df33 -00008eb7 -484e8e93 -2b100193 -01df0463 -7800106f -212120b7 -12108093 -01f00113 -0020df33 -00000e93 -2b200193 -01df0463 -7600106f -212120b7 -12108093 -fc000113 -0020df33 -21212eb7 -121e8e93 -2b300193 -01df0463 -73c0106f -212120b7 -12108093 -fc100113 -0020df33 -10909eb7 -090e8e93 -2b400193 -01df0463 -7180106f -212120b7 -12108093 -fc700113 -0020df33 -00424eb7 -242e8e93 -2b500193 -01df0463 -6f40106f -212120b7 -12108093 -fce00113 -0020df33 -00008eb7 -484e8e93 -2b600193 -01df0463 -6d00106f -212120b7 -12108093 -fff00113 -0020df33 -00000e93 -2b700193 -01df0463 -6b00106f -800000b7 -00700113 -0020d0b3 -01000eb7 -2b800193 -01d08463 -6940106f -800000b7 -00e00113 -0020d133 -00020eb7 -2b900193 -01d10463 -6780106f -00700093 -0010d0b3 -00000e93 -2ba00193 -01d08463 -6600106f -00000213 -800000b7 -00700113 -0020df33 -000f0313 -00120213 -00200293 -fe5214e3 -01000eb7 -2bb00193 -01d30463 -6300106f -00000213 -800000b7 -00e00113 -0020df33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00020eb7 -2bc00193 -01d30463 -5fc0106f -00000213 -800000b7 -01f00113 -0020df33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -2bd00193 -01d30463 -5c40106f -00000213 -800000b7 -00700113 -0020df33 -00120213 -00200293 -fe5216e3 -01000eb7 -2be00193 -01df0463 -5980106f -00000213 -800000b7 -00e00113 -00000013 -0020df33 -00120213 -00200293 -fe5214e3 -00020eb7 -2bf00193 -01df0463 -5680106f -00000213 -800000b7 -01f00113 -00000013 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c000193 -01df0463 -5340106f -00000213 -800000b7 -00000013 -00700113 -0020df33 -00120213 -00200293 -fe5214e3 -01000eb7 -2c100193 -01df0463 -5040106f -00000213 -800000b7 -00000013 -00e00113 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00020eb7 -2c200193 -01df0463 -4d00106f -00000213 -800000b7 -00000013 -00000013 -01f00113 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c300193 -01df0463 -49c0106f -00000213 -00700113 -800000b7 -0020df33 -00120213 -00200293 -fe5216e3 -01000eb7 -2c400193 -01df0463 -4700106f -00000213 -00e00113 -800000b7 -00000013 -0020df33 -00120213 -00200293 -fe5214e3 -00020eb7 -2c500193 -01df0463 -4400106f -00000213 -01f00113 -800000b7 -00000013 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c600193 -01df0463 -40c0106f -00000213 -00700113 -00000013 -800000b7 -0020df33 -00120213 -00200293 -fe5214e3 -01000eb7 -2c700193 -01df0463 -3dc0106f -00000213 -00e00113 -00000013 -800000b7 -00000013 -0020df33 -00120213 -00200293 -fe5212e3 -00020eb7 -2c800193 -01df0463 -3a80106f -00000213 -01f00113 -00000013 -00000013 -800000b7 -0020df33 -00120213 -00200293 -fe5212e3 -00100e93 -2c900193 -01df0463 -3740106f -00f00093 -00105133 -00000e93 -2ca00193 -01d10463 -35c0106f -02000093 -0000d133 -02000e93 -2cb00193 -01d10463 -3440106f -000050b3 -00000e93 -2cc00193 -01d08463 -3300106f -40000093 -00001137 -80010113 -0020d033 -00000e93 -2cd00193 -01d00463 -3100106f -800000b7 -00000113 -0020df33 -80000eb7 -2ce00193 -01df0463 -2f40106f -800000b7 -00100113 -0020df33 -40000eb7 -2cf00193 -01df0463 -2d80106f -800000b7 -00700113 -0020df33 -01000eb7 -2d000193 -01df0463 -2bc0106f -800000b7 -00e00113 -0020df33 -00020eb7 -2d100193 -01df0463 -2a00106f -800000b7 -00108093 -01f00113 -0020df33 -00100e93 -2d200193 -01df0463 -2800106f -fff00093 -00000113 -0020df33 -fff00e93 -2d300193 -01df0463 -2640106f -fff00093 -00100113 -0020df33 -80000eb7 -fffe8e93 -2d400193 -01df0463 -2440106f -fff00093 -00700113 -0020df33 -02000eb7 -fffe8e93 -2d500193 -01df0463 -2240106f -fff00093 -00e00113 -0020df33 -00040eb7 -fffe8e93 -2d600193 -01df0463 -2040106f -fff00093 -01f00113 -0020df33 -00100e93 -2d700193 -01df0463 -1e80106f -212120b7 -12108093 -00000113 -0020df33 -21212eb7 -121e8e93 -2d800193 -01df0463 -1c40106f -212120b7 -12108093 -00100113 -0020df33 -10909eb7 -090e8e93 -2d900193 -01df0463 -1a00106f -212120b7 -12108093 -00700113 -0020df33 -00424eb7 -242e8e93 -2da00193 -01df0463 -17c0106f -212120b7 -12108093 -00e00113 -0020df33 -00008eb7 -484e8e93 -2db00193 -01df0463 -1580106f -212120b7 -12108093 -01f00113 -0020df33 -00000e93 -2dc00193 -01df0463 -1380106f -800000b7 -0070d093 -01000eb7 -2dd00193 -01d08463 -1200106f -00000213 -800000b7 -0070df13 -000f0313 -00120213 -00200293 -fe5216e3 -01000eb7 -2de00193 -01d30463 -0f40106f -00000213 -800000b7 -00e0df13 -00000013 -000f0313 -00120213 -00200293 -fe5214e3 -00020eb7 -2df00193 -01d30463 -0c40106f -00000213 -800000b7 -00108093 -01f0df13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00100e93 -2e000193 -01d30463 -08c0106f -00000213 -800000b7 -0070df13 -00120213 -00200293 -fe5218e3 -01000eb7 -2e100193 -01df0463 -0640106f -00000213 -800000b7 -00000013 -00e0df13 -00120213 -00200293 -fe5216e3 -00020eb7 -2e200193 -01df0463 -0380106f -00000213 -800000b7 -00108093 -00000013 -00000013 -01f0df13 -00120213 -00200293 -fe5212e3 -00100e93 -2e300193 -01df0463 -0040106f -00405093 -00000e93 -2e400193 -7fd09ae3 -02100093 -00a0d013 -00000e93 -2e500193 -7fd010e3 -00000093 -00000113 -40208f33 -00000e93 -2e600193 -7ddf14e3 -00100093 -00100113 -40208f33 -00000e93 -2e700193 -7bdf18e3 -00300093 -00700113 -40208f33 -ffc00e93 -2e800193 -79df1ce3 -00000093 -ffff8137 -40208f33 -00008eb7 -2e900193 -79df10e3 -800000b7 -00000113 -40208f33 -80000eb7 -2ea00193 -77df14e3 -800000b7 -ffff8137 -40208f33 -80008eb7 -2eb00193 -75df18e3 -00000093 -00008137 -fff10113 -40208f33 -ffff8eb7 -001e8e93 -2ec00193 -73df18e3 -800000b7 -fff08093 -00000113 -40208f33 -80000eb7 -fffe8e93 -2ed00193 -71df18e3 -800000b7 -fff08093 -00008137 -fff10113 -40208f33 -7fff8eb7 -2ee00193 -6fdf18e3 -800000b7 -00008137 -fff10113 -40208f33 -7fff8eb7 -001e8e93 -2ef00193 -6ddf18e3 -800000b7 -fff08093 -ffff8137 -40208f33 -80008eb7 -fffe8e93 -2f000193 -6bdf18e3 -00000093 -fff00113 -40208f33 -00100e93 -2f100193 -69df1ce3 -fff00093 -00100113 -40208f33 -ffe00e93 -2f200193 -69df10e3 -fff00093 -fff00113 -40208f33 -00000e93 -2f300193 -67df14e3 -00d00093 -00b00113 -402080b3 -00200e93 -2f400193 -65d098e3 -00e00093 -00b00113 -40208133 -00300e93 -2f500193 -63d11ce3 -00d00093 -401080b3 -00000e93 -2f600193 -63d092e3 -00000213 -00d00093 -00b00113 -40208f33 -000f0313 -00120213 -00200293 -fe5214e3 -00200e93 -2f700193 -5fd31ce3 -00000213 -00e00093 -00b00113 -40208f33 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00300e93 -2f800193 -5dd314e3 -00000213 -00f00093 -00b00113 -40208f33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -00400e93 -2f900193 -59d31ae3 -00000213 -00d00093 -00b00113 -40208f33 -00120213 -00200293 -fe5216e3 -00200e93 -2fa00193 -57df16e3 -00000213 -00e00093 -00b00113 -00000013 -40208f33 -00120213 -00200293 -fe5214e3 -00300e93 -2fb00193 -55df10e3 -00000213 -00f00093 -00b00113 -00000013 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -2fc00193 -51df18e3 -00000213 -00d00093 -00000013 -00b00113 -40208f33 -00120213 -00200293 -fe5214e3 -00200e93 -2fd00193 -4fdf12e3 -00000213 -00e00093 -00000013 -00b00113 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00300e93 -2fe00193 -4bdf1ae3 -00000213 -00f00093 -00000013 -00000013 -00b00113 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -2ff00193 -49df12e3 -00000213 -00b00113 -00d00093 -40208f33 -00120213 -00200293 -fe5216e3 -00200e93 -30000193 -45df1ee3 -00000213 -00b00113 -00e00093 -00000013 -40208f33 -00120213 -00200293 -fe5214e3 -00300e93 -30100193 -43df18e3 -00000213 -00b00113 -00f00093 -00000013 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -30200193 -41df10e3 -00000213 -00b00113 -00000013 -00d00093 -40208f33 -00120213 -00200293 -fe5214e3 -00200e93 -30300193 -3ddf1ae3 -00000213 -00b00113 -00000013 -00e00093 -00000013 -40208f33 -00120213 -00200293 -fe5212e3 -00300e93 -30400193 -3bdf12e3 -00000213 -00b00113 -00000013 -00000013 -00f00093 -40208f33 -00120213 -00200293 -fe5212e3 -00400e93 -30500193 -37df1ae3 -ff100093 -40100133 -00f00e93 -30600193 -37d110e3 -02000093 -40008133 -02000e93 -30700193 -35d116e3 -400000b3 -00000e93 -30800193 -33d09ee3 -01000093 -01e00113 -40208033 -00000e93 -30900193 -33d012e3 -00002097 -b8c08093 -00aa0137 -0aa10113 -0020a023 -0000af03 -00aa0eb7 -0aae8e93 -30a00193 -2fdf1ee3 -00002097 -b6408093 -aa00b137 -a0010113 -0020a223 -0040af03 -aa00beb7 -a00e8e93 -30b00193 -2ddf1ae3 -00002097 -b3c08093 -0aa01137 -aa010113 -0020a423 -0080af03 -0aa01eb7 -aa0e8e93 -30c00193 -2bdf16e3 -00002097 -b1408093 -a00aa137 -00a10113 -0020a623 -00c0af03 -a00aaeb7 -00ae8e93 -30d00193 -29df12e3 -00002097 -b0808093 -00aa0137 -0aa10113 -fe20aa23 -ff40af03 -00aa0eb7 -0aae8e93 -30e00193 -25df1ee3 -00002097 -ae008093 -aa00b137 -a0010113 -fe20ac23 -ff80af03 -aa00beb7 -a00e8e93 -30f00193 -23df1ae3 -00002097 -ab808093 -0aa01137 -aa010113 -fe20ae23 -ffc0af03 -0aa01eb7 -aa0e8e93 -31000193 -21df16e3 -00002097 -a9008093 -a00aa137 -00a10113 -0020a023 -0000af03 -a00aaeb7 -00ae8e93 -31100193 -1fdf12e3 -00002097 -a6c08093 -12345137 -67810113 -fe008213 -02222023 -0000a283 -12345eb7 -678e8e93 -31200193 -1bd29ce3 -00002097 -a4008093 -58213137 -09810113 -ffd08093 -0020a3a3 -00002217 -a2c20213 -00022283 -58213eb7 -098e8e93 -31300193 -19d292e3 -31400193 -00000213 -aabbd0b7 -cdd08093 -00002117 -9dc10113 -00112023 -00012f03 -aabbdeb7 -cdde8e93 -15df1ce3 -00120213 -00200293 -fc521ae3 -31500193 -00000213 -daabc0b7 -ccd08093 -00002117 -9a410113 -00000013 -00112223 -00412f03 -daabceb7 -ccde8e93 -11df1ee3 -00120213 -00200293 -fc5218e3 -31600193 -00000213 -ddaac0b7 -bcc08093 -00002117 -96810113 -00000013 -00000013 -00112423 -00812f03 -ddaaceb7 -bcce8e93 -0ddf1ee3 -00120213 -00200293 -fc5216e3 -31700193 -00000213 -cddab0b7 -bbc08093 -00000013 -00002117 -92410113 -00112623 -00c12f03 -cddabeb7 -bbce8e93 -0bdf10e3 -00120213 -00200293 -fc5218e3 -31800193 -00000213 -ccddb0b7 -abb08093 -00000013 -00002117 -8e810113 -00000013 -00112823 -01012f03 -ccddbeb7 -abbe8e93 -07df10e3 -00120213 -00200293 -fc5216e3 -31900193 -00000213 -bccde0b7 -aab08093 -00000013 -00000013 -00002117 -8a410113 -00112a23 -01412f03 -bccdeeb7 -aabe8e93 -03df10e3 -00120213 -00200293 -fc5216e3 -31a00193 -00000213 -00002117 -87410113 -001120b7 -23308093 -00112023 -00012f03 -00112eb7 -233e8e93 -7fdf1463 -00120213 -00200293 -fc521ae3 -31b00193 -00000213 -00002117 -83c10113 -300110b7 -22308093 -00000013 -00112223 -00412f03 -30011eb7 -223e8e93 -7bdf1663 -00120213 -00200293 -fc5218e3 -31c00193 -00000213 -00002117 -80010113 -330010b7 -12208093 -00000013 -00000013 -00112423 -00812f03 -33001eb7 -122e8e93 -77df1663 -00120213 -00200293 -fc5216e3 -31d00193 -00000213 -00001117 -7c010113 -00000013 -233000b7 -11208093 -00112623 -00c12f03 -23300eb7 -112e8e93 -73df1863 -00120213 -00200293 -fc5218e3 -31e00193 -00000213 -00001117 -78410113 -00000013 -223300b7 -01108093 -00000013 -00112823 -01012f03 -22330eb7 -011e8e93 -6fdf1863 -00120213 -00200293 -fc5216e3 -31f00193 -00000213 -00001117 -74410113 -00000013 -00000013 -122330b7 -00108093 -00112a23 -01412f03 -12233eb7 -001e8e93 -6bdf1863 -00120213 -00200293 -fc5216e3 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -f00ffeb7 -00fe8e93 -32000193 -69df1063 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020cf33 -ff010eb7 -f00e8e93 -32100193 -65df1e63 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020cf33 -0ff01eb7 -ff0e8e93 -32200193 -63df1c63 -f00ff0b7 -00f08093 -f0f0f137 -0f010113 -0020cf33 -00ff0eb7 -0ffe8e93 -32300193 -61df1a63 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c0b3 -f00ffeb7 -00fe8e93 -32400193 -5fd09863 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020c133 -f00ffeb7 -00fe8e93 -32500193 -5dd11663 -ff0100b7 -f0008093 -0010c0b3 -00000e93 -32600193 -5bd09a63 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -32700193 -57d31e63 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -0020cf33 -00000013 -000f0313 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -32800193 -55d31063 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -0020cf33 -00000013 -00000013 -000f0313 -00120213 -00200293 -fc521ce3 -0ff01eb7 -ff0e8e93 -32900193 -51d31063 -00000213 -ff0100b7 -f0008093 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -32a00193 -4ddf1663 -00000213 -0ff010b7 -ff008093 -f0f0f137 -0f010113 -00000013 -0020cf33 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -32b00193 -49df1a63 -00000213 -00ff00b7 -0ff08093 -0f0f1137 -f0f10113 -00000013 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -32c00193 -45df1c63 -00000213 -ff0100b7 -f0008093 -00000013 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -32d00193 -43df1063 -00000213 -0ff010b7 -ff008093 -00000013 -f0f0f137 -0f010113 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -32e00193 -3fdf1263 -00000213 -00ff00b7 -0ff08093 -00000013 -00000013 -0f0f1137 -f0f10113 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -32f00193 -3bdf1463 -00000213 -0f0f1137 -f0f10113 -ff0100b7 -f0008093 -0020cf33 -00120213 -00200293 -fe5212e3 -f00ffeb7 -00fe8e93 -33000193 -37df1a63 -00000213 -f0f0f137 -0f010113 -0ff010b7 -ff008093 -00000013 -0020cf33 -00120213 -00200293 -fe5210e3 -ff010eb7 -f00e8e93 -33100193 -33df1e63 -00000213 -0f0f1137 -f0f10113 -00ff00b7 -0ff08093 -00000013 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -33200193 -31df1063 -00000213 -0f0f1137 -f0f10113 -00000013 -ff0100b7 -f0008093 -0020cf33 -00120213 -00200293 -fe5210e3 -f00ffeb7 -00fe8e93 -33300193 -2ddf1463 -00000213 -f0f0f137 -0f010113 -00000013 -0ff010b7 -ff008093 -00000013 -0020cf33 -00120213 -00200293 -fc521ee3 -ff010eb7 -f00e8e93 -33400193 -29df1663 -00000213 -0f0f1137 -f0f10113 -00000013 -00000013 -00ff00b7 -0ff08093 -0020cf33 -00120213 -00200293 -fc521ee3 -0ff01eb7 -ff0e8e93 -33500193 -25df1863 -ff0100b7 -f0008093 -00104133 -ff010eb7 -f00e8e93 -33600193 -23d11a63 -00ff00b7 -0ff08093 -0000c133 -00ff0eb7 -0ffe8e93 -33700193 -21d11c63 -000040b3 -00000e93 -33800193 -21d09463 -111110b7 -11108093 -22222137 -22210113 -0020c033 -00000e93 -33900193 -1fd01463 -00ff10b7 -f0008093 -f0f0cf13 -ff00feb7 -00fe8e93 -33a00193 -1ddf1663 -0ff010b7 -ff008093 -0f00cf13 -0ff01eb7 -f00e8e93 -33b00193 -1bdf1863 -00ff10b7 -8ff08093 -70f0cf13 -00ff1eb7 -ff0e8e93 -33c00193 -19df1a63 -f00ff0b7 -00f08093 -0f00cf13 -f00ffeb7 -0ffe8e93 -33d00193 -17df1c63 -ff00f0b7 -70008093 -70f0c093 -ff00feb7 -00fe8e93 -33e00193 -15d09e63 -00000213 -0ff010b7 -ff008093 -0f00cf13 -000f0313 -00120213 -00200293 -fe5214e3 -0ff01eb7 -f00e8e93 -33f00193 -13d31663 -00000213 -00ff10b7 -8ff08093 -70f0cf13 -00000013 -000f0313 -00120213 -00200293 -fe5212e3 -00ff1eb7 -ff0e8e93 -34000193 -0fd31c63 -00000213 -f00ff0b7 -00f08093 -0f00cf13 -00000013 -00000013 -000f0313 -00120213 -00200293 -fe5210e3 -f00ffeb7 -0ffe8e93 -34100193 -0dd31063 -00000213 -0ff010b7 -ff008093 -0f00cf13 -00120213 -00200293 -fe5216e3 -0ff01eb7 -f00e8e93 -34200193 -09df1a63 -00000213 -00ff10b7 -fff08093 -00000013 -00f0cf13 -00120213 -00200293 -fe5214e3 -00ff1eb7 -ff0e8e93 -34300193 -07df1263 -00000213 -f00ff0b7 -00f08093 -00000013 -00000013 -0f00cf13 -00120213 -00200293 -fe5212e3 -f00ffeb7 -0ffe8e93 -34400193 -03df1863 -0f004093 -0f000e93 -34500193 -03d09063 -00ff00b7 -0ff08093 -70f0c013 -00000e93 -34600193 -01d01463 -00301463 -00000a6f -00100193 -00000a6f -c0001073 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -0ff000ff -efefefef -efefefef -0000efef -ff0000ff -f00f0ff0 -beefbeef -beefbeef -beefbeef -beefbeef -beefbeef -00000000 -00ff00ff -ff00ff00 -0ff00ff0 -f00ff00f -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -deadbeef -00000000 -00000000 -14d68693 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 +00000013 +00000093 +0000af13 +00000e93 +20c00193 +01df0463 +54d0206f +00100093 +0010af13 +00000e93 +20d00193 +01df0463 +5350206f +00300093 +0070af13 +00100e93 +20e00193 +01df0463 +51d0206f +00700093 +0030af13 +00000e93 +20f00193 +01df0463 +5050206f +00000093 +8000af13 +00000e93 +21000193 +01df0463 +4ed0206f +800000b7 +0000af13 +00100e93 +21100193 +01df0463 +4d50206f +800000b7 +8000af13 +00100e93 +21200193 +01df0463 +4bd0206f +00000093 +7ff0af13 +00100e93 +21300193 +01df0463 +4a50206f +800000b7 +fff08093 +0000af13 +00000e93 +21400193 +01df0463 +4890206f +800000b7 +fff08093 +7ff0af13 +00000e93 +21500193 +01df0463 +46d0206f +800000b7 +7ff0af13 +00100e93 +21600193 +01df0463 +4550206f +800000b7 +fff08093 +8000af13 +00000e93 +21700193 +01df0463 +4390206f +00000093 +fff0af13 +00000e93 +21800193 +01df0463 +4210206f +fff00093 +0010af13 +00100e93 +21900193 +01df0463 +4090206f +fff00093 +fff0af13 +00000e93 +21a00193 +01df0463 +3f10206f +00b00093 +00d0a093 +00100e93 +21b00193 +01d08463 +3d90206f +00000213 +00f00093 +00a0af13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +21c00193 +01d30463 +3ad0206f +00000213 +00a00093 +0100af13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +21d00193 +01d30463 +37d0206f +00000213 +01000093 +0090af13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +21e00193 +01d30463 +3490206f +00000213 +00b00093 +00f0af13 +00120213 +00200293 +fe5218e3 +00100e93 +21f00193 +01df0463 +3210206f +00000213 +01100093 +00000013 +0080af13 +00120213 +00200293 +fe5216e3 +00000e93 +22000193 +01df0463 +2f50206f +00000213 +00c00093 +00000013 +00000013 +00e0af13 +00120213 +00200293 +fe5214e3 +00100e93 +22100193 +01df0463 +2c50206f +fff02093 +00000e93 +22200193 +01d08463 +2b10206f +00ff00b7 +0ff08093 +fff0a013 +00000e93 +22300193 +01d00463 +2950206f +00000093 +0000bf13 +00000e93 +22400193 +01df0463 +27d0206f +00100093 +0010bf13 +00000e93 +22500193 +01df0463 +2650206f +00300093 +0070bf13 +00100e93 +22600193 +01df0463 +24d0206f +00700093 +0030bf13 +00000e93 +22700193 +01df0463 +2350206f +00000093 +8000bf13 +00100e93 +22800193 +01df0463 +21d0206f +800000b7 +0000bf13 +00000e93 +22900193 +01df0463 +2050206f +800000b7 +8000bf13 +00100e93 +22a00193 +01df0463 +1ed0206f +00000093 +7ff0bf13 +00100e93 +22b00193 +01df0463 +1d50206f +800000b7 +fff08093 +0000bf13 +00000e93 +22c00193 +01df0463 +1b90206f +800000b7 +fff08093 +7ff0bf13 +00000e93 +22d00193 +01df0463 +19d0206f +800000b7 +7ff0bf13 +00000e93 +22e00193 +01df0463 +1850206f +800000b7 +fff08093 +8000bf13 +00100e93 +22f00193 +01df0463 +1690206f +00000093 +fff0bf13 +00100e93 +23000193 +01df0463 +1510206f +fff00093 +0010bf13 +00000e93 +23100193 +01df0463 +1390206f +fff00093 +fff0bf13 +00000e93 +23200193 +01df0463 +1210206f +00b00093 +00d0b093 +00100e93 +23300193 +01d08463 +1090206f +00000213 +00f00093 +00a0bf13 +000f0313 +00120213 +00200293 +fe5216e3 +00000e93 +23400193 +01d30463 +0dd0206f +00000213 +00a00093 +0100bf13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +23500193 +01d30463 +0ad0206f +00000213 +01000093 +0090bf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +23700193 +01d30463 +0790206f +00000213 +00b00093 +00f0bf13 +00120213 +00200293 +fe5218e3 +00100e93 +23800193 +01df0463 +0510206f +00000213 +01100093 +00000013 +0080bf13 +00120213 +00200293 +fe5216e3 +00000e93 +23900193 +01df0463 +0250206f +00000213 +00c00093 +00000013 +00000013 +00e0bf13 +00120213 +00200293 +fe5214e3 +00100e93 +23a00193 +01df0463 +7f40206f +fff03093 +00100e93 +23b00193 +01d08463 +7e00206f +00ff00b7 +0ff08093 +fff0b013 +00000e93 +23c00193 +01d00463 +7c40206f +00000093 +00000113 +0020bf33 +00000e93 +23d00193 +01df0463 +7a80206f +00100093 +00100113 +0020bf33 +00000e93 +23e00193 +01df0463 +78c0206f +00300093 +00700113 +0020bf33 +00100e93 +23f00193 +01df0463 +7700206f +00700093 +00300113 +0020bf33 +00000e93 +24000193 +01df0463 +7540206f +00000093 +ffff8137 +0020bf33 +00100e93 +24100193 +01df0463 +7380206f +800000b7 +00000113 +0020bf33 +00000e93 +24200193 +01df0463 +71c0206f +800000b7 +ffff8137 +0020bf33 +00100e93 +24300193 +01df0463 +7000206f +00000093 +00008137 +fff10113 +0020bf33 +00100e93 +24400193 +01df0463 +6e00206f +800000b7 +fff08093 +00000113 +0020bf33 +00000e93 +24500193 +01df0463 +6c00206f +800000b7 +fff08093 +00008137 +fff10113 +0020bf33 +00000e93 +24600193 +01df0463 +69c0206f +800000b7 +00008137 +fff10113 +0020bf33 +00000e93 +24700193 +01df0463 +67c0206f +800000b7 +fff08093 +ffff8137 +0020bf33 +00100e93 +24800193 +01df0463 +65c0206f +00000093 +fff00113 +0020bf33 +00100e93 +24900193 +01df0463 +6400206f +fff00093 +00100113 +0020bf33 +00000e93 +24a00193 +01df0463 +6240206f +fff00093 +fff00113 +0020bf33 +00000e93 +24b00193 +01df0463 +6080206f +00e00093 +00d00113 +0020b0b3 +00000e93 +24c00193 +01d08463 +5ec0206f +00b00093 +00d00113 +0020b133 +00100e93 +24d00193 +01d10463 +5d00206f +00d00093 +0010b0b3 +00000e93 +24e00193 +01d08463 +5b80206f +00000213 +00b00093 +00d00113 +0020bf33 +000f0313 +00120213 +00200293 +fe5214e3 +00100e93 +24f00193 +01d30463 +5880206f +00000213 +00e00093 +00d00113 +0020bf33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00000e93 +25000193 +01d30463 +5540206f +00000213 +00c00093 +00d00113 +0020bf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +25100193 +01d30463 +51c0206f +00000213 +00e00093 +00d00113 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +25200193 +01df0463 +4f00206f +00000213 +00b00093 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25300193 +01df0463 +4c00206f +00000213 +00f00093 +00d00113 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25400193 +01df0463 +48c0206f +00000213 +00a00093 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25500193 +01df0463 +45c0206f +00000213 +01000093 +00000013 +00d00113 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25600193 +01df0463 +4280206f +00000213 +00900093 +00000013 +00000013 +00d00113 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +25700193 +01df0463 +3f40206f +00000213 +00d00113 +01100093 +0020bf33 +00120213 +00200293 +fe5216e3 +00000e93 +25900193 +01df0463 +3c80206f +00000213 +00d00113 +00800093 +00000013 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25a00193 +01df0463 +3980206f +00000213 +00d00113 +01200093 +00000013 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25b00193 +01df0463 +3640206f +00000213 +00d00113 +00000013 +00700093 +0020bf33 +00120213 +00200293 +fe5214e3 +00100e93 +25c00193 +01df0463 +3340206f +00000213 +00d00113 +00000013 +01300093 +00000013 +0020bf33 +00120213 +00200293 +fe5212e3 +00000e93 +25d00193 +01df0463 +3000206f +00000213 +00d00113 +00000013 +00000013 +00600093 +0020bf33 +00120213 +00200293 +fe5212e3 +00100e93 +25e00193 +01df0463 +2cc0206f +fff00093 +00103133 +00100e93 +25f00193 +01d10463 +2b40206f +fff00093 +0000b133 +00000e93 +26000193 +01d10463 +29c0206f +000030b3 +00000e93 +26100193 +01d08463 +2880206f +01000093 +01e00113 +0020b033 +00000e93 +26200193 +01d00463 +26c0206f +800000b7 +00000113 +4020df33 +80000eb7 +26300193 +01df0463 +2500206f +800000b7 +00100113 +4020df33 +c0000eb7 +26400193 +01df0463 +2340206f +800000b7 +00700113 +4020df33 +ff000eb7 +26500193 +01df0463 +2180206f +800000b7 +00e00113 +4020df33 +fffe0eb7 +26600193 +01df0463 +1fc0206f +800000b7 +00108093 +01f00113 +4020df33 +fff00e93 +26700193 +01df0463 +1dc0206f +800000b7 +fff08093 +00000113 +4020df33 +80000eb7 +fffe8e93 +26800193 +01df0463 +1b80206f +800000b7 +fff08093 +00100113 +4020df33 +40000eb7 +fffe8e93 +26900193 +01df0463 +1940206f +800000b7 +fff08093 +00700113 +4020df33 +01000eb7 +fffe8e93 +26a00193 +01df0463 +1700206f +800000b7 +fff08093 +00e00113 +4020df33 +00020eb7 +fffe8e93 +26b00193 +01df0463 +14c0206f +800000b7 +fff08093 +01f00113 +4020df33 +00000e93 +26c00193 +01df0463 +12c0206f +818180b7 +18108093 +00000113 +4020df33 +81818eb7 +181e8e93 +26d00193 +01df0463 +1080206f +818180b7 +18108093 +00100113 +4020df33 +c0c0ceb7 +0c0e8e93 +26e00193 +01df0463 +0e40206f +818180b7 +18108093 +00700113 +4020df33 +ff030eb7 +303e8e93 +26f00193 +01df0463 +0c00206f +818180b7 +18108093 +00e00113 +4020df33 +fffe0eb7 +606e8e93 +27000193 +01df0463 +09c0206f +818180b7 +18108093 +01f00113 +4020df33 +fff00e93 +27100193 +01df0463 +07c0206f +818180b7 +18108093 +fc000113 +4020df33 +81818eb7 +181e8e93 +27200193 +01df0463 +0580206f +818180b7 +18108093 +fc100113 +4020df33 +c0c0ceb7 +0c0e8e93 +27300193 +01df0463 +0340206f +818180b7 +18108093 +fc700113 +4020df33 +ff030eb7 +303e8e93 +27400193 +01df0463 +0100206f +818180b7 +18108093 +fce00113 +4020df33 +fffe0eb7 +606e8e93 +27500193 +01df0463 +7ed0106f +818180b7 +18108093 +fff00113 +4020df33 +fff00e93 +27600193 +01df0463 +7cd0106f +800000b7 +00700113 +4020d0b3 +ff000eb7 +27700193 +01d08463 +7b10106f +800000b7 +00e00113 +4020d133 +fffe0eb7 +27800193 +01d10463 +7950106f +00700093 +4010d0b3 +00000e93 +27900193 +01d08463 +77d0106f +00000213 +800000b7 +00700113 +4020df33 +000f0313 +00120213 +00200293 +fe5214e3 +ff000eb7 +27a00193 +01d30463 +74d0106f +00000213 +800000b7 +00e00113 +4020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +fffe0eb7 +27b00193 +01d30463 +7190106f +00000213 +800000b7 +01f00113 +4020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +27c00193 +01d30463 +6e10106f +00000213 +800000b7 +00700113 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +27d00193 +01df0463 +6b50106f +00000213 +800000b7 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +27e00193 +01df0463 +6850106f +00000213 +800000b7 +01f00113 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +27f00193 +01df0463 +6510106f +00000213 +800000b7 +00000013 +00700113 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +28000193 +01df0463 +6210106f +00000213 +800000b7 +00000013 +00e00113 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +28100193 +01df0463 +5ed0106f +00000213 +800000b7 +00000013 +00000013 +01f00113 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28200193 +01df0463 +5b90106f +00000213 +00700113 +800000b7 +4020df33 +00120213 +00200293 +fe5216e3 +ff000eb7 +28300193 +01df0463 +58d0106f +00000213 +00e00113 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5214e3 +fffe0eb7 +28400193 +01df0463 +55d0106f +00000213 +01f00113 +800000b7 +00000013 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28500193 +01df0463 +5290106f +00000213 +00700113 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5214e3 +ff000eb7 +28600193 +01df0463 +4f90106f +00000213 +00e00113 +00000013 +800000b7 +00000013 +4020df33 +00120213 +00200293 +fe5212e3 +fffe0eb7 +28700193 +01df0463 +4c50106f +00000213 +01f00113 +00000013 +00000013 +800000b7 +4020df33 +00120213 +00200293 +fe5212e3 +fff00e93 +28800193 +01df0463 +4910106f +00f00093 +40105133 +00000e93 +28900193 +01d10463 +4790106f +02000093 +4000d133 +02000e93 +28a00193 +01d10463 +4610106f +400050b3 +00000e93 +28b00193 +01d08463 +44d0106f +40000093 +00001137 +80010113 +4020d033 +00000e93 +28c00193 +01d00463 +42d0106f +00000093 +4000df13 +00000e93 +28d00193 +01df0463 +4150106f +800000b7 +4010df13 +c0000eb7 +28e00193 +01df0463 +3fd0106f +800000b7 +4070df13 +ff000eb7 +28f00193 +01df0463 +3e50106f +800000b7 +40e0df13 +fffe0eb7 +29000193 +01df0463 +3cd0106f +800000b7 +00108093 +41f0df13 +fff00e93 +29100193 +01df0463 +3b10106f +800000b7 +fff08093 +4010df13 +40000eb7 +fffe8e93 +29200193 +01df0463 +3910106f +800000b7 +fff08093 +4070df13 +01000eb7 +fffe8e93 +29300193 +01df0463 +3710106f +800000b7 +fff08093 +40e0df13 +00020eb7 +fffe8e93 +29400193 +01df0463 +3510106f +800000b7 +fff08093 +41f0df13 +00000e93 +29500193 +01df0463 +3350106f +818180b7 +18108093 +4000df13 +81818eb7 +181e8e93 +29600193 +01df0463 +3150106f +818180b7 +18108093 +4010df13 +c0c0ceb7 +0c0e8e93 +29700193 +01df0463 +2f50106f +818180b7 +18108093 +4070df13 +ff030eb7 +303e8e93 +29800193 +01df0463 +2d50106f +818180b7 +18108093 +40e0df13 +fffe0eb7 +606e8e93 +29900193 +01df0463 +2b50106f +818180b7 +18108093 +41f0df13 +fff00e93 +29a00193 +01df0463 +2990106f +800000b7 +4070d093 +ff000eb7 +29b00193 +01d08463 +2810106f +00000213 +800000b7 +4070df13 +000f0313 +00120213 +00200293 +fe5216e3 +ff000eb7 +29c00193 +01d30463 +2550106f +00000213 +800000b7 +40e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +fffe0eb7 +29d00193 +01d30463 +2250106f +00000213 +800000b7 +00108093 +41f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +fff00e93 +29e00193 +01d30463 +1ed0106f +00000213 +800000b7 +4070df13 +00120213 +00200293 +fe5218e3 +ff000eb7 +29f00193 +01df0463 +1c50106f +00000213 +800000b7 +00000013 +40e0df13 +00120213 +00200293 +fe5216e3 +fffe0eb7 +2a000193 +01df0463 +1990106f +00000213 +800000b7 +00108093 +00000013 +00000013 +41f0df13 +00120213 +00200293 +fe5212e3 +fff00e93 +2a100193 +01df0463 +1650106f +40405093 +00000e93 +2a200193 +01d08463 +1510106f +02100093 +40a0d013 +00000e93 +2a300193 +01d00463 +1390106f +800000b7 +00000113 +0020df33 +80000eb7 +2a400193 +01df0463 +11d0106f +800000b7 +00100113 +0020df33 +40000eb7 +2a500193 +01df0463 +1010106f +800000b7 +00700113 +0020df33 +01000eb7 +2a600193 +01df0463 +0e50106f +800000b7 +00e00113 +0020df33 +00020eb7 +2a700193 +01df0463 +0c90106f +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +2a800193 +01df0463 +0a90106f +fff00093 +00000113 +0020df33 +fff00e93 +2a900193 +01df0463 +08d0106f +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +2aa00193 +01df0463 +06d0106f +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +2ab00193 +01df0463 +04d0106f +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +2ac00193 +01df0463 +02d0106f +fff00093 +01f00113 +0020df33 +00100e93 +2ad00193 +01df0463 +0110106f +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +2ae00193 +01df0463 +7ec0106f +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +2af00193 +01df0463 +7c80106f +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +2b000193 +01df0463 +7a40106f +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +2b100193 +01df0463 +7800106f +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +2b200193 +01df0463 +7600106f +212120b7 +12108093 +fc000113 +0020df33 +21212eb7 +121e8e93 +2b300193 +01df0463 +73c0106f +212120b7 +12108093 +fc100113 +0020df33 +10909eb7 +090e8e93 +2b400193 +01df0463 +7180106f +212120b7 +12108093 +fc700113 +0020df33 +00424eb7 +242e8e93 +2b500193 +01df0463 +6f40106f +212120b7 +12108093 +fce00113 +0020df33 +00008eb7 +484e8e93 +2b600193 +01df0463 +6d00106f +212120b7 +12108093 +fff00113 +0020df33 +00000e93 +2b700193 +01df0463 +6b00106f +800000b7 +00700113 +0020d0b3 +01000eb7 +2b800193 +01d08463 +6940106f +800000b7 +00e00113 +0020d133 +00020eb7 +2b900193 +01d10463 +6780106f +00700093 +0010d0b3 +00000e93 +2ba00193 +01d08463 +6600106f +00000213 +800000b7 +00700113 +0020df33 +000f0313 +00120213 +00200293 +fe5214e3 +01000eb7 +2bb00193 +01d30463 +6300106f +00000213 +800000b7 +00e00113 +0020df33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00020eb7 +2bc00193 +01d30463 +5fc0106f +00000213 +800000b7 +01f00113 +0020df33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +2bd00193 +01d30463 +5c40106f +00000213 +800000b7 +00700113 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +2be00193 +01df0463 +5980106f +00000213 +800000b7 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +2bf00193 +01df0463 +5680106f +00000213 +800000b7 +01f00113 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c000193 +01df0463 +5340106f +00000213 +800000b7 +00000013 +00700113 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +2c100193 +01df0463 +5040106f +00000213 +800000b7 +00000013 +00e00113 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +2c200193 +01df0463 +4d00106f +00000213 +800000b7 +00000013 +00000013 +01f00113 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c300193 +01df0463 +49c0106f +00000213 +00700113 +800000b7 +0020df33 +00120213 +00200293 +fe5216e3 +01000eb7 +2c400193 +01df0463 +4700106f +00000213 +00e00113 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5214e3 +00020eb7 +2c500193 +01df0463 +4400106f +00000213 +01f00113 +800000b7 +00000013 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c600193 +01df0463 +40c0106f +00000213 +00700113 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5214e3 +01000eb7 +2c700193 +01df0463 +3dc0106f +00000213 +00e00113 +00000013 +800000b7 +00000013 +0020df33 +00120213 +00200293 +fe5212e3 +00020eb7 +2c800193 +01df0463 +3a80106f +00000213 +01f00113 +00000013 +00000013 +800000b7 +0020df33 +00120213 +00200293 +fe5212e3 +00100e93 +2c900193 +01df0463 +3740106f +00f00093 +00105133 +00000e93 +2ca00193 +01d10463 +35c0106f +02000093 +0000d133 +02000e93 +2cb00193 +01d10463 +3440106f +000050b3 +00000e93 +2cc00193 +01d08463 +3300106f +40000093 +00001137 +80010113 +0020d033 +00000e93 +2cd00193 +01d00463 +3100106f +800000b7 +00000113 +0020df33 +80000eb7 +2ce00193 +01df0463 +2f40106f +800000b7 +00100113 +0020df33 +40000eb7 +2cf00193 +01df0463 +2d80106f +800000b7 +00700113 +0020df33 +01000eb7 +2d000193 +01df0463 +2bc0106f +800000b7 +00e00113 +0020df33 +00020eb7 +2d100193 +01df0463 +2a00106f +800000b7 +00108093 +01f00113 +0020df33 +00100e93 +2d200193 +01df0463 +2800106f +fff00093 +00000113 +0020df33 +fff00e93 +2d300193 +01df0463 +2640106f +fff00093 +00100113 +0020df33 +80000eb7 +fffe8e93 +2d400193 +01df0463 +2440106f +fff00093 +00700113 +0020df33 +02000eb7 +fffe8e93 +2d500193 +01df0463 +2240106f +fff00093 +00e00113 +0020df33 +00040eb7 +fffe8e93 +2d600193 +01df0463 +2040106f +fff00093 +01f00113 +0020df33 +00100e93 +2d700193 +01df0463 +1e80106f +212120b7 +12108093 +00000113 +0020df33 +21212eb7 +121e8e93 +2d800193 +01df0463 +1c40106f +212120b7 +12108093 +00100113 +0020df33 +10909eb7 +090e8e93 +2d900193 +01df0463 +1a00106f +212120b7 +12108093 +00700113 +0020df33 +00424eb7 +242e8e93 +2da00193 +01df0463 +17c0106f +212120b7 +12108093 +00e00113 +0020df33 +00008eb7 +484e8e93 +2db00193 +01df0463 +1580106f +212120b7 +12108093 +01f00113 +0020df33 +00000e93 +2dc00193 +01df0463 +1380106f +800000b7 +0070d093 +01000eb7 +2dd00193 +01d08463 +1200106f +00000213 +800000b7 +0070df13 +000f0313 +00120213 +00200293 +fe5216e3 +01000eb7 +2de00193 +01d30463 +0f40106f +00000213 +800000b7 +00e0df13 +00000013 +000f0313 +00120213 +00200293 +fe5214e3 +00020eb7 +2df00193 +01d30463 +0c40106f +00000213 +800000b7 +00108093 +01f0df13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00100e93 +2e000193 +01d30463 +08c0106f +00000213 +800000b7 +0070df13 +00120213 +00200293 +fe5218e3 +01000eb7 +2e100193 +01df0463 +0640106f +00000213 +800000b7 +00000013 +00e0df13 +00120213 +00200293 +fe5216e3 +00020eb7 +2e200193 +01df0463 +0380106f +00000213 +800000b7 +00108093 +00000013 +00000013 +01f0df13 +00120213 +00200293 +fe5212e3 +00100e93 +2e300193 +01df0463 +0040106f +00405093 +00000e93 +2e400193 +7fd09ae3 +02100093 +00a0d013 +00000e93 +2e500193 +7fd010e3 +00000093 +00000113 +40208f33 +00000e93 +2e600193 +7ddf14e3 +00100093 +00100113 +40208f33 +00000e93 +2e700193 +7bdf18e3 +00300093 +00700113 +40208f33 +ffc00e93 +2e800193 +79df1ce3 +00000093 +ffff8137 +40208f33 +00008eb7 +2e900193 +79df10e3 +800000b7 +00000113 +40208f33 +80000eb7 +2ea00193 +77df14e3 +800000b7 +ffff8137 +40208f33 +80008eb7 +2eb00193 +75df18e3 +00000093 +00008137 +fff10113 +40208f33 +ffff8eb7 +001e8e93 +2ec00193 +73df18e3 +800000b7 +fff08093 +00000113 +40208f33 +80000eb7 +fffe8e93 +2ed00193 +71df18e3 +800000b7 +fff08093 +00008137 +fff10113 +40208f33 +7fff8eb7 +2ee00193 +6fdf18e3 +800000b7 +00008137 +fff10113 +40208f33 +7fff8eb7 +001e8e93 +2ef00193 +6ddf18e3 +800000b7 +fff08093 +ffff8137 +40208f33 +80008eb7 +fffe8e93 +2f000193 +6bdf18e3 +00000093 +fff00113 +40208f33 +00100e93 +2f100193 +69df1ce3 +fff00093 +00100113 +40208f33 +ffe00e93 +2f200193 +69df10e3 +fff00093 +fff00113 +40208f33 +00000e93 +2f300193 +67df14e3 +00d00093 +00b00113 +402080b3 +00200e93 +2f400193 +65d098e3 +00e00093 +00b00113 +40208133 +00300e93 +2f500193 +63d11ce3 +00d00093 +401080b3 +00000e93 +2f600193 +63d092e3 +00000213 +00d00093 +00b00113 +40208f33 +000f0313 +00120213 +00200293 +fe5214e3 +00200e93 +2f700193 +5fd31ce3 +00000213 +00e00093 +00b00113 +40208f33 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00300e93 +2f800193 +5dd314e3 +00000213 +00f00093 +00b00113 +40208f33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +00400e93 +2f900193 +59d31ae3 +00000213 +00d00093 +00b00113 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +2fa00193 +57df16e3 +00000213 +00e00093 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +2fb00193 +55df10e3 +00000213 +00f00093 +00b00113 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +2fc00193 +51df18e3 +00000213 +00d00093 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +2fd00193 +4fdf12e3 +00000213 +00e00093 +00000013 +00b00113 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +2fe00193 +4bdf1ae3 +00000213 +00f00093 +00000013 +00000013 +00b00113 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +2ff00193 +49df12e3 +00000213 +00b00113 +00d00093 +40208f33 +00120213 +00200293 +fe5216e3 +00200e93 +30000193 +45df1ee3 +00000213 +00b00113 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5214e3 +00300e93 +30100193 +43df18e3 +00000213 +00b00113 +00f00093 +00000013 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +30200193 +41df10e3 +00000213 +00b00113 +00000013 +00d00093 +40208f33 +00120213 +00200293 +fe5214e3 +00200e93 +30300193 +3ddf1ae3 +00000213 +00b00113 +00000013 +00e00093 +00000013 +40208f33 +00120213 +00200293 +fe5212e3 +00300e93 +30400193 +3bdf12e3 +00000213 +00b00113 +00000013 +00000013 +00f00093 +40208f33 +00120213 +00200293 +fe5212e3 +00400e93 +30500193 +37df1ae3 +ff100093 +40100133 +00f00e93 +30600193 +37d110e3 +02000093 +40008133 +02000e93 +30700193 +35d116e3 +400000b3 +00000e93 +30800193 +33d09ee3 +01000093 +01e00113 +40208033 +00000e93 +30900193 +33d012e3 +00002097 +b8c08093 +00aa0137 +0aa10113 +0020a023 +0000af03 +00aa0eb7 +0aae8e93 +30a00193 +2fdf1ee3 +00002097 +b6408093 +aa00b137 +a0010113 +0020a223 +0040af03 +aa00beb7 +a00e8e93 +30b00193 +2ddf1ae3 +00002097 +b3c08093 +0aa01137 +aa010113 +0020a423 +0080af03 +0aa01eb7 +aa0e8e93 +30c00193 +2bdf16e3 +00002097 +b1408093 +a00aa137 +00a10113 +0020a623 +00c0af03 +a00aaeb7 +00ae8e93 +30d00193 +29df12e3 +00002097 +b0808093 +00aa0137 +0aa10113 +fe20aa23 +ff40af03 +00aa0eb7 +0aae8e93 +30e00193 +25df1ee3 +00002097 +ae008093 +aa00b137 +a0010113 +fe20ac23 +ff80af03 +aa00beb7 +a00e8e93 +30f00193 +23df1ae3 +00002097 +ab808093 +0aa01137 +aa010113 +fe20ae23 +ffc0af03 +0aa01eb7 +aa0e8e93 +31000193 +21df16e3 +00002097 +a9008093 +a00aa137 +00a10113 +0020a023 +0000af03 +a00aaeb7 +00ae8e93 +31100193 +1fdf12e3 +00002097 +a6c08093 +12345137 +67810113 +fe008213 +02222023 +0000a283 +12345eb7 +678e8e93 +31200193 +1bd29ce3 +00002097 +a4008093 +58213137 +09810113 +ffd08093 +0020a3a3 +00002217 +a2c20213 +00022283 +58213eb7 +098e8e93 +31300193 +19d292e3 +31400193 +00000213 +aabbd0b7 +cdd08093 +00002117 +9dc10113 +00112023 +00012f03 +aabbdeb7 +cdde8e93 +15df1ce3 +00120213 +00200293 +fc521ae3 +31500193 +00000213 +daabc0b7 +ccd08093 +00002117 +9a410113 +00000013 +00112223 +00412f03 +daabceb7 +ccde8e93 +11df1ee3 +00120213 +00200293 +fc5218e3 +31600193 +00000213 +ddaac0b7 +bcc08093 +00002117 +96810113 +00000013 +00000013 +00112423 +00812f03 +ddaaceb7 +bcce8e93 +0ddf1ee3 +00120213 +00200293 +fc5216e3 +31700193 +00000213 +cddab0b7 +bbc08093 +00000013 +00002117 +92410113 +00112623 +00c12f03 +cddabeb7 +bbce8e93 +0bdf10e3 +00120213 +00200293 +fc5218e3 +31800193 +00000213 +ccddb0b7 +abb08093 +00000013 +00002117 +8e810113 +00000013 +00112823 +01012f03 +ccddbeb7 +abbe8e93 +07df10e3 +00120213 +00200293 +fc5216e3 +31900193 +00000213 +bccde0b7 +aab08093 +00000013 +00000013 +00002117 +8a410113 +00112a23 +01412f03 +bccdeeb7 +aabe8e93 +03df10e3 +00120213 +00200293 +fc5216e3 +31a00193 +00000213 +00002117 +87410113 +001120b7 +23308093 +00112023 +00012f03 +00112eb7 +233e8e93 +7fdf1463 +00120213 +00200293 +fc521ae3 +31b00193 +00000213 +00002117 +83c10113 +300110b7 +22308093 +00000013 +00112223 +00412f03 +30011eb7 +223e8e93 +7bdf1663 +00120213 +00200293 +fc5218e3 +31c00193 +00000213 +00002117 +80010113 +330010b7 +12208093 +00000013 +00000013 +00112423 +00812f03 +33001eb7 +122e8e93 +77df1663 +00120213 +00200293 +fc5216e3 +31d00193 +00000213 +00001117 +7c010113 +00000013 +233000b7 +11208093 +00112623 +00c12f03 +23300eb7 +112e8e93 +73df1863 +00120213 +00200293 +fc5218e3 +31e00193 +00000213 +00001117 +78410113 +00000013 +223300b7 +01108093 +00000013 +00112823 +01012f03 +22330eb7 +011e8e93 +6fdf1863 +00120213 +00200293 +fc5216e3 +31f00193 +00000213 +00001117 +74410113 +00000013 +00000013 +122330b7 +00108093 +00112a23 +01412f03 +12233eb7 +001e8e93 +6bdf1863 +00120213 +00200293 +fc5216e3 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +f00ffeb7 +00fe8e93 +32000193 +69df1063 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +ff010eb7 +f00e8e93 +32100193 +65df1e63 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +0ff01eb7 +ff0e8e93 +32200193 +63df1c63 +f00ff0b7 +00f08093 +f0f0f137 +0f010113 +0020cf33 +00ff0eb7 +0ffe8e93 +32300193 +61df1a63 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c0b3 +f00ffeb7 +00fe8e93 +32400193 +5fd09863 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020c133 +f00ffeb7 +00fe8e93 +32500193 +5dd11663 +ff0100b7 +f0008093 +0010c0b3 +00000e93 +32600193 +5bd09a63 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +32700193 +57d31e63 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +0020cf33 +00000013 +000f0313 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +32800193 +55d31063 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +0020cf33 +00000013 +00000013 +000f0313 +00120213 +00200293 +fc521ce3 +0ff01eb7 +ff0e8e93 +32900193 +51d31063 +00000213 +ff0100b7 +f0008093 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +32a00193 +4ddf1663 +00000213 +0ff010b7 +ff008093 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +32b00193 +49df1a63 +00000213 +00ff00b7 +0ff08093 +0f0f1137 +f0f10113 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +32c00193 +45df1c63 +00000213 +ff0100b7 +f0008093 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +32d00193 +43df1063 +00000213 +0ff010b7 +ff008093 +00000013 +f0f0f137 +0f010113 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +32e00193 +3fdf1263 +00000213 +00ff00b7 +0ff08093 +00000013 +00000013 +0f0f1137 +f0f10113 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +32f00193 +3bdf1463 +00000213 +0f0f1137 +f0f10113 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5212e3 +f00ffeb7 +00fe8e93 +33000193 +37df1a63 +00000213 +f0f0f137 +0f010113 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fe5210e3 +ff010eb7 +f00e8e93 +33100193 +33df1e63 +00000213 +0f0f1137 +f0f10113 +00ff00b7 +0ff08093 +00000013 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +33200193 +31df1063 +00000213 +0f0f1137 +f0f10113 +00000013 +ff0100b7 +f0008093 +0020cf33 +00120213 +00200293 +fe5210e3 +f00ffeb7 +00fe8e93 +33300193 +2ddf1463 +00000213 +f0f0f137 +0f010113 +00000013 +0ff010b7 +ff008093 +00000013 +0020cf33 +00120213 +00200293 +fc521ee3 +ff010eb7 +f00e8e93 +33400193 +29df1663 +00000213 +0f0f1137 +f0f10113 +00000013 +00000013 +00ff00b7 +0ff08093 +0020cf33 +00120213 +00200293 +fc521ee3 +0ff01eb7 +ff0e8e93 +33500193 +25df1863 +ff0100b7 +f0008093 +00104133 +ff010eb7 +f00e8e93 +33600193 +23d11a63 +00ff00b7 +0ff08093 +0000c133 +00ff0eb7 +0ffe8e93 +33700193 +21d11c63 +000040b3 +00000e93 +33800193 +21d09463 +111110b7 +11108093 +22222137 +22210113 +0020c033 +00000e93 +33900193 +1fd01463 +00ff10b7 +f0008093 +f0f0cf13 +ff00feb7 +00fe8e93 +33a00193 +1ddf1663 +0ff010b7 +ff008093 +0f00cf13 +0ff01eb7 +f00e8e93 +33b00193 +1bdf1863 +00ff10b7 +8ff08093 +70f0cf13 +00ff1eb7 +ff0e8e93 +33c00193 +19df1a63 +f00ff0b7 +00f08093 +0f00cf13 +f00ffeb7 +0ffe8e93 +33d00193 +17df1c63 +ff00f0b7 +70008093 +70f0c093 +ff00feb7 +00fe8e93 +33e00193 +15d09e63 +00000213 +0ff010b7 +ff008093 +0f00cf13 +000f0313 +00120213 +00200293 +fe5214e3 +0ff01eb7 +f00e8e93 +33f00193 +13d31663 +00000213 +00ff10b7 +8ff08093 +70f0cf13 +00000013 +000f0313 +00120213 +00200293 +fe5212e3 +00ff1eb7 +ff0e8e93 +34000193 +0fd31c63 +00000213 +f00ff0b7 +00f08093 +0f00cf13 +00000013 +00000013 +000f0313 +00120213 +00200293 +fe5210e3 +f00ffeb7 +0ffe8e93 +34100193 +0dd31063 +00000213 +0ff010b7 +ff008093 +0f00cf13 +00120213 +00200293 +fe5216e3 +0ff01eb7 +f00e8e93 +34200193 +09df1a63 +00000213 +00ff10b7 +fff08093 +00000013 +00f0cf13 +00120213 +00200293 +fe5214e3 +00ff1eb7 +ff0e8e93 +34300193 +07df1263 +00000213 +f00ff0b7 +00f08093 +00000013 +00000013 +0f00cf13 +00120213 +00200293 +fe5212e3 +f00ffeb7 +0ffe8e93 +34400193 +03df1863 +0f004093 +0f000e93 +34500193 +03d09063 +00ff00b7 +0ff08093 +70f0c013 +00000e93 +34600193 +01d01463 +00301463 +00000a6f +00100193 +00000a6f diff --git a/SIM-CPU/tb_cpu.sv b/SIM-CPU/tb_cpu.sv new file mode 100644 index 0000000..1f88ae4 --- /dev/null +++ b/SIM-CPU/tb_cpu.sv @@ -0,0 +1,69 @@ + +`timescale 1ps/1ps + +module tb_cpu #( + // Specify the instruction&data stream file to be run here + // notice: this is the file path in my PC, please modify it to the path in your PC + parameter INSTRUCTION_STREAM_FILE = "E:/FPGAcommon/USTC-RVSoC/SIM-CPU/rv32i_test/a_instr_stream.txt" // I provide three test instruction streams here, which are split from the official test of RISC-V RV32I + // b_instr_stream.txt" + // c_instr_stream.txt" +)(); + +logic clk = 1'b1; +logic rstn = 1'b0; +always #10000 clk = ~clk; // 50MHz clock +initial begin repeat(4) @(posedge clk); rstn <= 1'b1; end + +naive_bus bus_masters[2](); +naive_bus bus_slaves [1](); + +// RV32I Core +core_top core_top_i ( + .clk ( clk ), + .rstn ( rstn ), + .i_boot_addr ( 0 ), + .instr_master ( bus_masters[1] ), + .data_master ( bus_masters[0] ) +); + +naive_bus_router #( + .N_MASTER ( 2 ), + .N_SLAVE ( 1 ), + .SLAVES_MASK ( { 32'h0000_ffff } ), + .SLAVES_BASE ( { 32'h0000_0000 } ) +) soc_bus_router_i ( + .clk ( clk ), + .rstn ( rstn ), + .masters ( bus_masters ), + .slaves ( bus_slaves ) +); + +assign bus_slaves[0].rd_gnt = 1'b1; +assign bus_slaves[0].wr_gnt = 1'b1; + + +//---------------------------------------------------------------------------------------------------------- +// this ram stores both instruction and data +//---------------------------------------------------------------------------------------------------------- +logic [31:0] ram [4096]; + +initial $readmemh(INSTRUCTION_STREAM_FILE, ram); + +always @ (posedge clk or negedge rstn) + if(~rstn) + bus_slaves[0].rd_data <= 0; + else + bus_slaves[0].rd_data <= ram[bus_slaves[0].rd_addr[14:2]]; + +always @ (posedge clk) begin + if(bus_slaves[0].wr_be[0]) + ram[bus_slaves[0].wr_addr[14:2]][ 7: 0] <= bus_slaves[0].wr_data[ 7: 0]; + if(bus_slaves[0].wr_be[1]) + ram[bus_slaves[0].wr_addr[14:2]][15: 8] <= bus_slaves[0].wr_data[15: 8]; + if(bus_slaves[0].wr_be[2]) + ram[bus_slaves[0].wr_addr[14:2]][23:16] <= bus_slaves[0].wr_data[23:16]; + if(bus_slaves[0].wr_be[3]) + ram[bus_slaves[0].wr_addr[14:2]][31:24] <= bus_slaves[0].wr_data[31:24]; +end + +endmodule diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr b/SIM-CPU/vivado_sim/sim_cpu.xpr similarity index 78% rename from hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr rename to SIM-CPU/vivado_sim/sim_cpu.xpr index cb8ab72..c3dce0b 100644 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.xpr +++ b/SIM-CPU/vivado_sim/sim_cpu.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/SIM-SoC/questasim.tcl b/SIM-SoC/questasim.tcl new file mode 100644 index 0000000..4aedc15 --- /dev/null +++ b/SIM-SoC/questasim.tcl @@ -0,0 +1,12 @@ +quit -sim + +vlog -sv -incr tb_soc.sv ../RTL/*.sv ../RTL/cpu/*.sv ../RTL/uart/*.sv + +vsim -t ps -voptargs="+acc" work.tb_soc + +log -r /* +radix 16 + +do wave.do + +run 1000us diff --git a/SIM-SoC/tb_soc.sv b/SIM-SoC/tb_soc.sv new file mode 100644 index 0000000..9397b13 --- /dev/null +++ b/SIM-SoC/tb_soc.sv @@ -0,0 +1,27 @@ + +`timescale 1ps/1ps + +module tb_soc(); + +logic clk = 1'b1; +always #10000 clk = ~clk; // 50MHz clock + +wire uart_tx; +wire vga_hsync, vga_vsync, vga_red, vga_green, vga_blue; + +soc_top #( + .UART_RX_CLK_DIV ( 108 ), // 50MHz/4/115200 = 108 + .UART_TX_CLK_DIV ( 434 ), // 50MHz/1/115200 = 434 + .VGA_CLK_DIV ( 1 ) +) soc_i ( + .clk ( clk ), + .isp_uart_rx ( 1'b1 ), + .isp_uart_tx ( uart_tx ), + .vga_hsync ( vga_hsync ), + .vga_vsync ( vga_vsync ), + .vga_red ( vga_red ), + .vga_green ( vga_green ), + .vga_blue ( vga_blue ) +); + +endmodule diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr b/SIM-SoC/vivado_sim/sim_soc.xpr similarity index 80% rename from hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr rename to SIM-SoC/vivado_sim/sim_soc.xpr index 95ec5f1..5371eb6 100644 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.xpr +++ b/SIM-SoC/vivado_sim/sim_soc.xpr @@ -1,9 +1,9 @@ - + - + - + diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln deleted file mode 100644 index acd56e5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool.sln +++ /dev/null @@ -1,20 +0,0 @@ - -Microsoft Visual Studio Solution File, Format Version 12.00 -# Visual Studio 2012 -Project("{FAE04EC0-301F-11D3-BF4B-00C04F79EFBC}") = "USTCRVSoC-tool", "USTCRVSoC-tool\USTCRVSoC-tool.csproj", "{54C41CBE-83B8-44F7-ABCB-85F543A690CC}" -EndProject -Global - GlobalSection(SolutionConfigurationPlatforms) = preSolution - Debug|Any CPU = Debug|Any CPU - Release|Any CPU = Release|Any CPU - EndGlobalSection - GlobalSection(ProjectConfigurationPlatforms) = postSolution - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Debug|Any CPU.ActiveCfg = Debug|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Debug|Any CPU.Build.0 = Debug|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Release|Any CPU.ActiveCfg = Release|Any CPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC}.Release|Any CPU.Build.0 = Release|Any CPU - EndGlobalSection - GlobalSection(SolutionProperties) = preSolution - HideSolutionNode = FALSE - EndGlobalSection -EndGlobal diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config deleted file mode 100644 index 3d795e5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/App.config +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs deleted file mode 100644 index d476dd5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.Designer.cs +++ /dev/null @@ -1,701 +0,0 @@ -namespace USTCRVSoC_tool -{ - partial class MainForm - { - /// - /// 必需的设计器变量。 - /// - private System.ComponentModel.IContainer components = null; - - /// - /// 清理所有正在使用的资源。 - /// - /// 如果应释放托管资源,为 true;否则为 false。 - protected override void Dispose(bool disposing) - { - if (disposing && (components != null)) - { - components.Dispose(); - } - base.Dispose(disposing); - } - - #region Windows 窗体设计器生成的代码 - - /// - /// 设计器支持所需的方法 - 不要 - /// 使用代码编辑器修改此方法的内容。 - /// - private void InitializeComponent() - { - this.components = new System.ComponentModel.Container(); - this.fileSelectionText = new System.Windows.Forms.TextBox(); - this.fileSelectionBtn = new System.Windows.Forms.Button(); - this.compileGroup = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel3 = new System.Windows.Forms.TableLayoutPanel(); - this.otherSaveBtn = new System.Windows.Forms.Button(); - this.saveBtn = new System.Windows.Forms.Button(); - this.codeText = new System.Windows.Forms.TextBox(); - this.compilePromptText = new System.Windows.Forms.TextBox(); - this.HexStreamGroup = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel4 = new System.Windows.Forms.TableLayoutPanel(); - this.binText = new System.Windows.Forms.TextBox(); - this.tableLayoutPanel5 = new System.Windows.Forms.TableLayoutPanel(); - this.saveVerilog = new System.Windows.Forms.Button(); - this.compileBtn = new System.Windows.Forms.Button(); - this.tableLayoutPanel6 = new System.Windows.Forms.TableLayoutPanel(); - this.programBtn = new System.Windows.Forms.Button(); - this.portSelectionBox = new System.Windows.Forms.ComboBox(); - this.bootAddrGroup = new System.Windows.Forms.GroupBox(); - this.bootAddrTextBox = new System.Windows.Forms.TextBox(); - this.MainLayout = new System.Windows.Forms.TableLayoutPanel(); - this.tableLayoutPanel2 = new System.Windows.Forms.TableLayoutPanel(); - this.groupBox1 = new System.Windows.Forms.GroupBox(); - this.tableLayoutPanel7 = new System.Windows.Forms.TableLayoutPanel(); - this.userPortTextBox = new System.Windows.Forms.TextBox(); - this.tableLayoutPanel8 = new System.Windows.Forms.TableLayoutPanel(); - this.userPortClearBtn = new System.Windows.Forms.Button(); - this.userPortOpenCloseBtn = new System.Windows.Forms.Button(); - this.userPortShowHex = new System.Windows.Forms.CheckBox(); - this.UserPortRecvCountLabel = new System.Windows.Forms.Label(); - this.内存DumpGroup = new System.Windows.Forms.GroupBox(); - this.内存DumpLayout = new System.Windows.Forms.TableLayoutPanel(); - this.内存内容 = new System.Windows.Forms.TextBox(); - this.地址长度指定Layout = new System.Windows.Forms.TableLayoutPanel(); - this.起始地址 = new System.Windows.Forms.TextBox(); - this.长度 = new System.Windows.Forms.TextBox(); - this.长度Title = new System.Windows.Forms.Label(); - this.起始地址Title = new System.Windows.Forms.Label(); - this.DUMP内存 = new System.Windows.Forms.Button(); - this.serialPort = new System.IO.Ports.SerialPort(this.components); - this.compileGroup.SuspendLayout(); - this.tableLayoutPanel3.SuspendLayout(); - this.HexStreamGroup.SuspendLayout(); - this.tableLayoutPanel4.SuspendLayout(); - this.tableLayoutPanel5.SuspendLayout(); - this.tableLayoutPanel6.SuspendLayout(); - this.bootAddrGroup.SuspendLayout(); - this.MainLayout.SuspendLayout(); - this.tableLayoutPanel2.SuspendLayout(); - this.groupBox1.SuspendLayout(); - this.tableLayoutPanel7.SuspendLayout(); - this.tableLayoutPanel8.SuspendLayout(); - this.内存DumpGroup.SuspendLayout(); - this.内存DumpLayout.SuspendLayout(); - this.地址长度指定Layout.SuspendLayout(); - this.SuspendLayout(); - // - // fileSelectionText - // - this.fileSelectionText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.fileSelectionText.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.fileSelectionText.Location = new System.Drawing.Point(5, 5); - this.fileSelectionText.Margin = new System.Windows.Forms.Padding(5); - this.fileSelectionText.Name = "fileSelectionText"; - this.fileSelectionText.ReadOnly = true; - this.fileSelectionText.Size = new System.Drawing.Size(187, 28); - this.fileSelectionText.TabIndex = 0; - // - // fileSelectionBtn - // - this.fileSelectionBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.fileSelectionBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.fileSelectionBtn.Location = new System.Drawing.Point(200, 3); - this.fileSelectionBtn.Name = "fileSelectionBtn"; - this.fileSelectionBtn.Size = new System.Drawing.Size(114, 34); - this.fileSelectionBtn.TabIndex = 1; - this.fileSelectionBtn.Text = "打开..."; - this.fileSelectionBtn.UseVisualStyleBackColor = true; - this.fileSelectionBtn.Click += new System.EventHandler(this.fileSelectionBtn_Click); - // - // compileGroup - // - this.compileGroup.Controls.Add(this.tableLayoutPanel3); - this.compileGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.compileGroup.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.compileGroup.Location = new System.Drawing.Point(7, 7); - this.compileGroup.Margin = new System.Windows.Forms.Padding(7); - this.compileGroup.Name = "compileGroup"; - this.compileGroup.Size = new System.Drawing.Size(563, 64); - this.compileGroup.TabIndex = 2; - this.compileGroup.TabStop = false; - this.compileGroup.Text = "文件"; - // - // tableLayoutPanel3 - // - this.tableLayoutPanel3.ColumnCount = 4; - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 120F)); - this.tableLayoutPanel3.Controls.Add(this.otherSaveBtn, 3, 0); - this.tableLayoutPanel3.Controls.Add(this.saveBtn, 2, 0); - this.tableLayoutPanel3.Controls.Add(this.fileSelectionBtn, 1, 0); - this.tableLayoutPanel3.Controls.Add(this.fileSelectionText, 0, 0); - this.tableLayoutPanel3.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel3.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel3.Name = "tableLayoutPanel3"; - this.tableLayoutPanel3.RowCount = 1; - this.tableLayoutPanel3.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel3.Size = new System.Drawing.Size(557, 40); - this.tableLayoutPanel3.TabIndex = 7; - // - // otherSaveBtn - // - this.otherSaveBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.otherSaveBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.otherSaveBtn.Location = new System.Drawing.Point(440, 3); - this.otherSaveBtn.Name = "otherSaveBtn"; - this.otherSaveBtn.Size = new System.Drawing.Size(114, 34); - this.otherSaveBtn.TabIndex = 5; - this.otherSaveBtn.Text = "另存..."; - this.otherSaveBtn.UseVisualStyleBackColor = true; - this.otherSaveBtn.Click += new System.EventHandler(this.otherSaveBtn_Click); - // - // saveBtn - // - this.saveBtn.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.saveBtn.Enabled = false; - this.saveBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.saveBtn.Location = new System.Drawing.Point(320, 3); - this.saveBtn.Name = "saveBtn"; - this.saveBtn.Size = new System.Drawing.Size(114, 34); - this.saveBtn.TabIndex = 4; - this.saveBtn.Text = "保存"; - this.saveBtn.UseVisualStyleBackColor = true; - this.saveBtn.Click += new System.EventHandler(this.saveBtn_Click); - // - // codeText - // - this.codeText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.codeText.Font = new System.Drawing.Font("Consolas", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.codeText.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(128)))), ((int)(((byte)(64)))), ((int)(((byte)(64))))); - this.codeText.Location = new System.Drawing.Point(3, 81); - this.codeText.Multiline = true; - this.codeText.Name = "codeText"; - this.codeText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.codeText.Size = new System.Drawing.Size(571, 504); - this.codeText.TabIndex = 4; - // - // compilePromptText - // - this.compilePromptText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.compilePromptText.Font = new System.Drawing.Font("Consolas", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.compilePromptText.Location = new System.Drawing.Point(3, 591); - this.compilePromptText.Multiline = true; - this.compilePromptText.Name = "compilePromptText"; - this.compilePromptText.ReadOnly = true; - this.compilePromptText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.compilePromptText.Size = new System.Drawing.Size(571, 156); - this.compilePromptText.TabIndex = 3; - // - // HexStreamGroup - // - this.HexStreamGroup.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.HexStreamGroup.Controls.Add(this.tableLayoutPanel4); - this.HexStreamGroup.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.HexStreamGroup.Location = new System.Drawing.Point(586, 3); - this.HexStreamGroup.Name = "HexStreamGroup"; - this.HexStreamGroup.Size = new System.Drawing.Size(244, 750); - this.HexStreamGroup.TabIndex = 5; - this.HexStreamGroup.TabStop = false; - this.HexStreamGroup.Text = "指令流"; - // - // tableLayoutPanel4 - // - this.tableLayoutPanel4.ColumnCount = 1; - this.tableLayoutPanel4.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel4.Controls.Add(this.binText, 0, 3); - this.tableLayoutPanel4.Controls.Add(this.tableLayoutPanel5, 0, 0); - this.tableLayoutPanel4.Controls.Add(this.tableLayoutPanel6, 0, 1); - this.tableLayoutPanel4.Controls.Add(this.bootAddrGroup, 0, 2); - this.tableLayoutPanel4.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel4.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel4.Name = "tableLayoutPanel4"; - this.tableLayoutPanel4.RowCount = 4; - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 50F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 50F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 60F)); - this.tableLayoutPanel4.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel4.Size = new System.Drawing.Size(238, 726); - this.tableLayoutPanel4.TabIndex = 0; - // - // binText - // - this.binText.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.binText.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.binText.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(0)))), ((int)(((byte)(0)))), ((int)(((byte)(192))))); - this.binText.Location = new System.Drawing.Point(3, 163); - this.binText.Multiline = true; - this.binText.Name = "binText"; - this.binText.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.binText.Size = new System.Drawing.Size(232, 560); - this.binText.TabIndex = 5; - // - // tableLayoutPanel5 - // - this.tableLayoutPanel5.ColumnCount = 2; - this.tableLayoutPanel5.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 30F)); - this.tableLayoutPanel5.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 70F)); - this.tableLayoutPanel5.Controls.Add(this.saveVerilog, 1, 0); - this.tableLayoutPanel5.Controls.Add(this.compileBtn, 0, 0); - this.tableLayoutPanel5.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel5.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel5.Name = "tableLayoutPanel5"; - this.tableLayoutPanel5.RowCount = 1; - this.tableLayoutPanel5.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel5.Size = new System.Drawing.Size(232, 44); - this.tableLayoutPanel5.TabIndex = 0; - // - // saveVerilog - // - this.saveVerilog.Dock = System.Windows.Forms.DockStyle.Fill; - this.saveVerilog.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.saveVerilog.Location = new System.Drawing.Point(72, 3); - this.saveVerilog.Name = "saveVerilog"; - this.saveVerilog.Size = new System.Drawing.Size(157, 38); - this.saveVerilog.TabIndex = 2; - this.saveVerilog.Text = "保存指令流 (Verilog)"; - this.saveVerilog.UseVisualStyleBackColor = true; - this.saveVerilog.Click += new System.EventHandler(this.saveVerilog_Click); - // - // compileBtn - // - this.compileBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.compileBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.compileBtn.Location = new System.Drawing.Point(3, 3); - this.compileBtn.Name = "compileBtn"; - this.compileBtn.Size = new System.Drawing.Size(63, 38); - this.compileBtn.TabIndex = 0; - this.compileBtn.Text = "汇编"; - this.compileBtn.UseVisualStyleBackColor = true; - this.compileBtn.Click += new System.EventHandler(this.compileBtn_Click); - // - // tableLayoutPanel6 - // - this.tableLayoutPanel6.ColumnCount = 2; - this.tableLayoutPanel6.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel6.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 25F)); - this.tableLayoutPanel6.Controls.Add(this.programBtn, 1, 0); - this.tableLayoutPanel6.Controls.Add(this.portSelectionBox, 0, 0); - this.tableLayoutPanel6.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel6.Location = new System.Drawing.Point(3, 53); - this.tableLayoutPanel6.Name = "tableLayoutPanel6"; - this.tableLayoutPanel6.RowCount = 1; - this.tableLayoutPanel6.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel6.Size = new System.Drawing.Size(232, 44); - this.tableLayoutPanel6.TabIndex = 1; - this.tableLayoutPanel6.Paint += new System.Windows.Forms.PaintEventHandler(this.tableLayoutPanel6_Paint); - // - // programBtn - // - this.programBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.programBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.programBtn.Location = new System.Drawing.Point(157, 3); - this.programBtn.Name = "programBtn"; - this.programBtn.Size = new System.Drawing.Size(72, 38); - this.programBtn.TabIndex = 3; - this.programBtn.Text = "烧写"; - this.programBtn.UseVisualStyleBackColor = true; - this.programBtn.Click += new System.EventHandler(this.programBtn_Click); - // - // portSelectionBox - // - this.portSelectionBox.Dock = System.Windows.Forms.DockStyle.Fill; - this.portSelectionBox.DropDownStyle = System.Windows.Forms.ComboBoxStyle.DropDownList; - this.portSelectionBox.Font = new System.Drawing.Font("宋体", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.portSelectionBox.FormattingEnabled = true; - this.portSelectionBox.Location = new System.Drawing.Point(3, 3); - this.portSelectionBox.Name = "portSelectionBox"; - this.portSelectionBox.Size = new System.Drawing.Size(148, 28); - this.portSelectionBox.TabIndex = 0; - this.portSelectionBox.DropDown += new System.EventHandler(this.InitializeCurrentPort); - // - // bootAddrGroup - // - this.bootAddrGroup.Controls.Add(this.bootAddrTextBox); - this.bootAddrGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.bootAddrGroup.Location = new System.Drawing.Point(3, 103); - this.bootAddrGroup.Name = "bootAddrGroup"; - this.bootAddrGroup.Size = new System.Drawing.Size(232, 54); - this.bootAddrGroup.TabIndex = 6; - this.bootAddrGroup.TabStop = false; - this.bootAddrGroup.Text = "BOOT地址"; - // - // bootAddrTextBox - // - this.bootAddrTextBox.Dock = System.Windows.Forms.DockStyle.Fill; - this.bootAddrTextBox.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.bootAddrTextBox.Location = new System.Drawing.Point(3, 21); - this.bootAddrTextBox.Margin = new System.Windows.Forms.Padding(5); - this.bootAddrTextBox.Name = "bootAddrTextBox"; - this.bootAddrTextBox.Size = new System.Drawing.Size(226, 28); - this.bootAddrTextBox.TabIndex = 1; - this.bootAddrTextBox.Text = "00008000"; - this.bootAddrTextBox.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // MainLayout - // - this.MainLayout.ColumnCount = 4; - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 250F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 250F)); - this.MainLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 380F)); - this.MainLayout.Controls.Add(this.HexStreamGroup, 1, 0); - this.MainLayout.Controls.Add(this.tableLayoutPanel2, 0, 0); - this.MainLayout.Controls.Add(this.groupBox1, 2, 0); - this.MainLayout.Controls.Add(this.内存DumpGroup, 3, 0); - this.MainLayout.Dock = System.Windows.Forms.DockStyle.Fill; - this.MainLayout.Location = new System.Drawing.Point(0, 0); - this.MainLayout.Name = "MainLayout"; - this.MainLayout.RowCount = 1; - this.MainLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.MainLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 20F)); - this.MainLayout.Size = new System.Drawing.Size(1463, 756); - this.MainLayout.TabIndex = 6; - // - // tableLayoutPanel2 - // - this.tableLayoutPanel2.ColumnCount = 1; - this.tableLayoutPanel2.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel2.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel2.Controls.Add(this.compileGroup, 0, 0); - this.tableLayoutPanel2.Controls.Add(this.codeText, 0, 1); - this.tableLayoutPanel2.Controls.Add(this.compilePromptText, 0, 2); - this.tableLayoutPanel2.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel2.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel2.Name = "tableLayoutPanel2"; - this.tableLayoutPanel2.RowCount = 3; - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 78F)); - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 76F)); - this.tableLayoutPanel2.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 24F)); - this.tableLayoutPanel2.Size = new System.Drawing.Size(577, 750); - this.tableLayoutPanel2.TabIndex = 6; - // - // groupBox1 - // - this.groupBox1.Controls.Add(this.tableLayoutPanel7); - this.groupBox1.Dock = System.Windows.Forms.DockStyle.Fill; - this.groupBox1.Location = new System.Drawing.Point(836, 3); - this.groupBox1.Name = "groupBox1"; - this.groupBox1.Size = new System.Drawing.Size(244, 750); - this.groupBox1.TabIndex = 7; - this.groupBox1.TabStop = false; - this.groupBox1.Text = "串口查看"; - // - // tableLayoutPanel7 - // - this.tableLayoutPanel7.ColumnCount = 1; - this.tableLayoutPanel7.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.tableLayoutPanel7.Controls.Add(this.userPortTextBox, 0, 1); - this.tableLayoutPanel7.Controls.Add(this.tableLayoutPanel8, 0, 0); - this.tableLayoutPanel7.Controls.Add(this.UserPortRecvCountLabel, 0, 2); - this.tableLayoutPanel7.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel7.Location = new System.Drawing.Point(3, 21); - this.tableLayoutPanel7.Name = "tableLayoutPanel7"; - this.tableLayoutPanel7.RowCount = 3; - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 90F)); - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel7.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 40F)); - this.tableLayoutPanel7.Size = new System.Drawing.Size(238, 726); - this.tableLayoutPanel7.TabIndex = 0; - // - // userPortTextBox - // - this.userPortTextBox.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.userPortTextBox.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.userPortTextBox.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(64)))), ((int)(((byte)(0)))), ((int)(((byte)(0))))); - this.userPortTextBox.Location = new System.Drawing.Point(3, 93); - this.userPortTextBox.Multiline = true; - this.userPortTextBox.Name = "userPortTextBox"; - this.userPortTextBox.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.userPortTextBox.Size = new System.Drawing.Size(232, 590); - this.userPortTextBox.TabIndex = 6; - // - // tableLayoutPanel8 - // - this.tableLayoutPanel8.ColumnCount = 2; - this.tableLayoutPanel8.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 25F)); - this.tableLayoutPanel8.Controls.Add(this.userPortClearBtn, 1, 1); - this.tableLayoutPanel8.Controls.Add(this.userPortOpenCloseBtn, 1, 0); - this.tableLayoutPanel8.Controls.Add(this.userPortShowHex, 0, 1); - this.tableLayoutPanel8.Dock = System.Windows.Forms.DockStyle.Fill; - this.tableLayoutPanel8.Location = new System.Drawing.Point(3, 3); - this.tableLayoutPanel8.Name = "tableLayoutPanel8"; - this.tableLayoutPanel8.RowCount = 2; - this.tableLayoutPanel8.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.tableLayoutPanel8.Size = new System.Drawing.Size(232, 84); - this.tableLayoutPanel8.TabIndex = 2; - // - // userPortClearBtn - // - this.userPortClearBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortClearBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.userPortClearBtn.Location = new System.Drawing.Point(157, 45); - this.userPortClearBtn.Name = "userPortClearBtn"; - this.userPortClearBtn.Size = new System.Drawing.Size(72, 36); - this.userPortClearBtn.TabIndex = 4; - this.userPortClearBtn.Text = "清空"; - this.userPortClearBtn.UseVisualStyleBackColor = true; - this.userPortClearBtn.Click += new System.EventHandler(this.userPortClearBtn_Click); - // - // userPortOpenCloseBtn - // - this.userPortOpenCloseBtn.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortOpenCloseBtn.Font = new System.Drawing.Font("宋体", 9F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.userPortOpenCloseBtn.Location = new System.Drawing.Point(157, 3); - this.userPortOpenCloseBtn.Name = "userPortOpenCloseBtn"; - this.userPortOpenCloseBtn.Size = new System.Drawing.Size(72, 36); - this.userPortOpenCloseBtn.TabIndex = 3; - this.userPortOpenCloseBtn.Text = "打开"; - this.userPortOpenCloseBtn.UseVisualStyleBackColor = true; - this.userPortOpenCloseBtn.Click += new System.EventHandler(this.userPortOpenCloseBtn_Click); - // - // userPortShowHex - // - this.userPortShowHex.AutoSize = true; - this.userPortShowHex.Dock = System.Windows.Forms.DockStyle.Fill; - this.userPortShowHex.Location = new System.Drawing.Point(3, 45); - this.userPortShowHex.Name = "userPortShowHex"; - this.userPortShowHex.Size = new System.Drawing.Size(148, 36); - this.userPortShowHex.TabIndex = 5; - this.userPortShowHex.Text = "十六进制显示"; - this.userPortShowHex.UseVisualStyleBackColor = true; - // - // UserPortRecvCountLabel - // - this.UserPortRecvCountLabel.AutoSize = true; - this.UserPortRecvCountLabel.Dock = System.Windows.Forms.DockStyle.Fill; - this.UserPortRecvCountLabel.Location = new System.Drawing.Point(3, 689); - this.UserPortRecvCountLabel.Margin = new System.Windows.Forms.Padding(3); - this.UserPortRecvCountLabel.Name = "UserPortRecvCountLabel"; - this.UserPortRecvCountLabel.Size = new System.Drawing.Size(232, 34); - this.UserPortRecvCountLabel.TabIndex = 7; - this.UserPortRecvCountLabel.Text = "接收: 0 B"; - // - // 内存DumpGroup - // - this.内存DumpGroup.Controls.Add(this.内存DumpLayout); - this.内存DumpGroup.Dock = System.Windows.Forms.DockStyle.Fill; - this.内存DumpGroup.Location = new System.Drawing.Point(1086, 3); - this.内存DumpGroup.Name = "内存DumpGroup"; - this.内存DumpGroup.Size = new System.Drawing.Size(374, 750); - this.内存DumpGroup.TabIndex = 8; - this.内存DumpGroup.TabStop = false; - this.内存DumpGroup.Text = "内存DUMP"; - // - // 内存DumpLayout - // - this.内存DumpLayout.ColumnCount = 1; - this.内存DumpLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.内存DumpLayout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Absolute, 20F)); - this.内存DumpLayout.Controls.Add(this.内存内容, 0, 2); - this.内存DumpLayout.Controls.Add(this.地址长度指定Layout, 0, 0); - this.内存DumpLayout.Controls.Add(this.DUMP内存, 0, 1); - this.内存DumpLayout.Dock = System.Windows.Forms.DockStyle.Fill; - this.内存DumpLayout.Location = new System.Drawing.Point(3, 21); - this.内存DumpLayout.Name = "内存DumpLayout"; - this.内存DumpLayout.RowCount = 3; - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 85F)); - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Absolute, 40F)); - this.内存DumpLayout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 100F)); - this.内存DumpLayout.Size = new System.Drawing.Size(368, 726); - this.内存DumpLayout.TabIndex = 1; - // - // 内存内容 - // - this.内存内容.Anchor = ((System.Windows.Forms.AnchorStyles)((((System.Windows.Forms.AnchorStyles.Top | System.Windows.Forms.AnchorStyles.Bottom) - | System.Windows.Forms.AnchorStyles.Left) - | System.Windows.Forms.AnchorStyles.Right))); - this.内存内容.Font = new System.Drawing.Font("Consolas", 12F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(0))); - this.内存内容.ForeColor = System.Drawing.Color.FromArgb(((int)(((byte)(0)))), ((int)(((byte)(0)))), ((int)(((byte)(192))))); - this.内存内容.Location = new System.Drawing.Point(3, 128); - this.内存内容.Multiline = true; - this.内存内容.Name = "内存内容"; - this.内存内容.ReadOnly = true; - this.内存内容.ScrollBars = System.Windows.Forms.ScrollBars.Both; - this.内存内容.Size = new System.Drawing.Size(362, 595); - this.内存内容.TabIndex = 6; - // - // 地址长度指定Layout - // - this.地址长度指定Layout.ColumnCount = 2; - this.地址长度指定Layout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.ColumnStyles.Add(new System.Windows.Forms.ColumnStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.Controls.Add(this.起始地址, 1, 0); - this.地址长度指定Layout.Controls.Add(this.长度, 1, 1); - this.地址长度指定Layout.Controls.Add(this.长度Title, 0, 1); - this.地址长度指定Layout.Controls.Add(this.起始地址Title, 0, 0); - this.地址长度指定Layout.Dock = System.Windows.Forms.DockStyle.Fill; - this.地址长度指定Layout.Location = new System.Drawing.Point(3, 3); - this.地址长度指定Layout.Name = "地址长度指定Layout"; - this.地址长度指定Layout.RowCount = 2; - this.地址长度指定Layout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.RowStyles.Add(new System.Windows.Forms.RowStyle(System.Windows.Forms.SizeType.Percent, 50F)); - this.地址长度指定Layout.Size = new System.Drawing.Size(362, 79); - this.地址长度指定Layout.TabIndex = 0; - // - // 起始地址 - // - this.起始地址.Dock = System.Windows.Forms.DockStyle.Fill; - this.起始地址.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.起始地址.Location = new System.Drawing.Point(186, 5); - this.起始地址.Margin = new System.Windows.Forms.Padding(5); - this.起始地址.Name = "起始地址"; - this.起始地址.Size = new System.Drawing.Size(171, 28); - this.起始地址.TabIndex = 4; - this.起始地址.Text = "00010000"; - this.起始地址.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // 长度 - // - this.长度.Dock = System.Windows.Forms.DockStyle.Fill; - this.长度.Font = new System.Drawing.Font("宋体", 10.8F, System.Drawing.FontStyle.Regular, System.Drawing.GraphicsUnit.Point, ((byte)(134))); - this.长度.Location = new System.Drawing.Point(186, 44); - this.长度.Margin = new System.Windows.Forms.Padding(5); - this.长度.Name = "长度"; - this.长度.Size = new System.Drawing.Size(171, 28); - this.长度.TabIndex = 3; - this.长度.Text = "80"; - this.长度.TextAlign = System.Windows.Forms.HorizontalAlignment.Right; - // - // 长度Title - // - this.长度Title.AutoSize = true; - this.长度Title.Dock = System.Windows.Forms.DockStyle.Fill; - this.长度Title.Location = new System.Drawing.Point(3, 39); - this.长度Title.Name = "长度Title"; - this.长度Title.Size = new System.Drawing.Size(175, 40); - this.长度Title.TabIndex = 2; - this.长度Title.Text = "长度(16进制):"; - this.长度Title.TextAlign = System.Drawing.ContentAlignment.MiddleCenter; - // - // 起始地址Title - // - this.起始地址Title.AutoSize = true; - this.起始地址Title.Dock = System.Windows.Forms.DockStyle.Fill; - this.起始地址Title.Location = new System.Drawing.Point(3, 0); - this.起始地址Title.Name = "起始地址Title"; - this.起始地址Title.Size = new System.Drawing.Size(175, 39); - this.起始地址Title.TabIndex = 0; - this.起始地址Title.Text = "起始地址(16进制)"; - this.起始地址Title.TextAlign = System.Drawing.ContentAlignment.MiddleCenter; - // - // DUMP内存 - // - this.DUMP内存.Dock = System.Windows.Forms.DockStyle.Fill; - this.DUMP内存.Location = new System.Drawing.Point(3, 88); - this.DUMP内存.Name = "DUMP内存"; - this.DUMP内存.Size = new System.Drawing.Size(362, 34); - this.DUMP内存.TabIndex = 1; - this.DUMP内存.Text = "DUMP内存"; - this.DUMP内存.UseVisualStyleBackColor = true; - this.DUMP内存.Click += new System.EventHandler(this.DUMP内存_Click); - // - // serialPort - // - this.serialPort.BaudRate = 115200; - this.serialPort.ReadTimeout = 50; - this.serialPort.WriteTimeout = 300; - this.serialPort.DataReceived += new System.IO.Ports.SerialDataReceivedEventHandler(this.serialPort_DataReceived); - // - // MainForm - // - this.AutoScaleDimensions = new System.Drawing.SizeF(8F, 15F); - this.AutoScaleMode = System.Windows.Forms.AutoScaleMode.Font; - this.ClientSize = new System.Drawing.Size(1463, 756); - this.Controls.Add(this.MainLayout); - this.Name = "MainForm"; - this.Text = "USTCRVSoC 辅助工具"; - this.compileGroup.ResumeLayout(false); - this.tableLayoutPanel3.ResumeLayout(false); - this.tableLayoutPanel3.PerformLayout(); - this.HexStreamGroup.ResumeLayout(false); - this.tableLayoutPanel4.ResumeLayout(false); - this.tableLayoutPanel4.PerformLayout(); - this.tableLayoutPanel5.ResumeLayout(false); - this.tableLayoutPanel6.ResumeLayout(false); - this.bootAddrGroup.ResumeLayout(false); - this.bootAddrGroup.PerformLayout(); - this.MainLayout.ResumeLayout(false); - this.tableLayoutPanel2.ResumeLayout(false); - this.tableLayoutPanel2.PerformLayout(); - this.groupBox1.ResumeLayout(false); - this.tableLayoutPanel7.ResumeLayout(false); - this.tableLayoutPanel7.PerformLayout(); - this.tableLayoutPanel8.ResumeLayout(false); - this.tableLayoutPanel8.PerformLayout(); - this.内存DumpGroup.ResumeLayout(false); - this.内存DumpLayout.ResumeLayout(false); - this.内存DumpLayout.PerformLayout(); - this.地址长度指定Layout.ResumeLayout(false); - this.地址长度指定Layout.PerformLayout(); - this.ResumeLayout(false); - - } - - #endregion - - private System.Windows.Forms.TextBox fileSelectionText; - private System.Windows.Forms.Button fileSelectionBtn; - private System.Windows.Forms.GroupBox compileGroup; - private System.Windows.Forms.TextBox compilePromptText; - private System.Windows.Forms.TextBox codeText; - private System.Windows.Forms.Button saveBtn; - private System.Windows.Forms.GroupBox HexStreamGroup; - private System.Windows.Forms.TableLayoutPanel MainLayout; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel2; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel3; - private System.Windows.Forms.Button otherSaveBtn; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel4; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel5; - private System.Windows.Forms.Button saveVerilog; - private System.Windows.Forms.Button compileBtn; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel6; - private System.Windows.Forms.Button programBtn; - private System.Windows.Forms.ComboBox portSelectionBox; - private System.Windows.Forms.TextBox binText; - private System.IO.Ports.SerialPort serialPort; - private System.Windows.Forms.GroupBox groupBox1; - private System.Windows.Forms.GroupBox bootAddrGroup; - private System.Windows.Forms.TextBox bootAddrTextBox; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel7; - private System.Windows.Forms.TableLayoutPanel tableLayoutPanel8; - private System.Windows.Forms.Button userPortOpenCloseBtn; - private System.Windows.Forms.TextBox userPortTextBox; - private System.Windows.Forms.Button userPortClearBtn; - private System.Windows.Forms.CheckBox userPortShowHex; - private System.Windows.Forms.Label UserPortRecvCountLabel; - private System.Windows.Forms.GroupBox 内存DumpGroup; - private System.Windows.Forms.TableLayoutPanel 内存DumpLayout; - private System.Windows.Forms.TextBox 内存内容; - private System.Windows.Forms.TableLayoutPanel 地址长度指定Layout; - private System.Windows.Forms.TextBox 起始地址; - private System.Windows.Forms.TextBox 长度; - private System.Windows.Forms.Label 长度Title; - private System.Windows.Forms.Label 起始地址Title; - private System.Windows.Forms.Button DUMP内存; - - } -} - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs deleted file mode 100644 index 52913c0..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.cs +++ /dev/null @@ -1,559 +0,0 @@ -using System; -using System.IO; -using System.IO.Ports; -using System.Drawing; -using System.Text; -using System.Windows.Forms; - - -namespace USTCRVSoC_tool -{ - public partial class MainForm : Form - { - private const string RISCV_TOOLS_PATH = ".\\riscv32-elf-tools-windows\\"; // RISC-V工具链的路径 - - #region 控制接收字节数计数 - private uint _userPortCount; - private uint userPortCount // 接收字节数计数属性 - { - get - { - return _userPortCount; - } - set - { - _userPortCount = value; - changeCountText(String.Format("接收: {0:D} B", _userPortCount)); - } - } - #endregion - - public MainForm() // 窗体构造函数 - { - InitializeComponent(); - InitializeCurrentPort(null, null); - } - - #region 自动查照存在的串口 - private void InitializeCurrentPort(object sender, EventArgs e) - { - string[] ports = SerialPort.GetPortNames(); - portSelectionBox.Items.Clear(); - portSelectionBox.Items.AddRange(ports); - if (portSelectionBox.Items.Count > 0) - { - portSelectionBox.SelectedIndex = 0; - } - else - { - compilePromptText.Text = "未找到串口,请插入设备,或者检查串口驱动是否安装"; - } - } - #endregion - - #region 打开、保存、另存 汇编代码文件 - private void fileSelectionBtn_Click(object sender, EventArgs e) - { - OpenFileDialog openFileDialog = new OpenFileDialog(); - openFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - openFileDialog.Filter = "汇编语言文件|*.S"; - openFileDialog.RestoreDirectory = true; - openFileDialog.FilterIndex = 1; - if (openFileDialog.ShowDialog() == DialogResult.OK) - { - fileSelectionText.Text = openFileDialog.FileName; - try - { - codeText.Text = System.IO.File.ReadAllText(openFileDialog.FileName); - compilePromptText.Text = "已打开文件"; - saveBtn.Enabled = true; - } - catch (Exception ex) - { - compilePromptText.Text = "打开文件失败\n " + ex.Message; - } - } - } - - private void saveBtn_Click(object sender, EventArgs e) - { - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - compilePromptText.Text = " 已保存文件"; - } - catch (Exception ex) - { - compilePromptText.Text = " 保存文件失败\r\n" + ex.Message; - } - } - - private void otherSaveBtn_Click(object sender, EventArgs e) - { - SaveFileDialog saveFileDialog = new SaveFileDialog(); - saveFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - saveFileDialog.Filter = "汇编语言文件|*.S"; - saveFileDialog.RestoreDirectory = true; - saveFileDialog.FilterIndex = 1; - if (saveFileDialog.ShowDialog() == DialogResult.OK) - { - fileSelectionText.Text = saveFileDialog.FileName; - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - compilePromptText.Text = "已保存文件"; - } - catch (Exception ex) - { - compilePromptText.Text = "保存文件失败\n " + ex.Message; - } - } - } - #endregion - - #region 汇编 - public bool RunCmd(string path, string command, ref string msg) // 调用 CMD 运行一个命令 - { - try - { - msg = ">" + command + "\r\n\r\n"; - System.Diagnostics.Process pro = new System.Diagnostics.Process(); - pro.StartInfo.FileName = "cmd.exe"; - pro.StartInfo.CreateNoWindow = true; // 不创建新窗口 - pro.StartInfo.UseShellExecute = false; // 不启用shell启动进程 - pro.StartInfo.RedirectStandardInput = true; // 重定向输入 - pro.StartInfo.RedirectStandardOutput = true; // 重定向标准输出 - pro.StartInfo.RedirectStandardError = true; - pro.StartInfo.StandardErrorEncoding = System.Text.UTF8Encoding.UTF8; - pro.StartInfo.StandardOutputEncoding = System.Text.UTF8Encoding.UTF8; // 重定向错误输出 - pro.StartInfo.WorkingDirectory = path; - pro.Start(); //开启cmd - pro.StandardInput.WriteLine(command); - pro.StandardInput.AutoFlush = true; - pro.StandardInput.WriteLine("exit"); //若是运行时间短可加入此命令 - pro.WaitForExit();//若运行时间长,使用这个,等待程序执行完退出进程 - string errorStr = pro.StandardError.ReadToEnd(); - msg += errorStr; - pro.Close(); - return errorStr.Trim().Length == 0; - } - catch (Exception ex) - { - MessageBox.Show(ex.Message + "\r\n请确保工具链目录与本程序在同一目录下"); - return false; - } - } - private string dumpBin(string bin_file_path) // 读取汇编出的.bin 文件并调整字节序、转换为一行一行的指令 - { - StringBuilder strbuild = new StringBuilder(); - byte[] bin = System.IO.File.ReadAllBytes(bin_file_path); - for (int i = 0; i < bin.Length - 3; i += 4) - { - for (int j = 3; j >= 0; j--) - strbuild.Append(bin[i + j].ToString("x2")); - strbuild.AppendLine(); - } - return strbuild.ToString(); - } - private void compileBtn_Click(object sender, EventArgs e) // 点击“汇编”按钮时,完成一系列CMD命令,并把编译结果读入到 binText 这个控件里 - { - bool stat; - string msg = ""; - string asm_command = "riscv32-elf-as " + fileSelectionText.Text + " -o compile_tmp.o -march=rv32im"; - string ld_command = "riscv32-elf-ld compile_tmp.o -o compile_tmp.om"; - compilePromptText.Clear(); - - try - { - System.IO.File.WriteAllText(fileSelectionText.Text, codeText.Text); - } - catch (Exception ex) - { - compilePromptText.Text = "保存文件失败\n " + ex.Message; - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, asm_command, ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 编译出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, ld_command, ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 生成om文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "del compile_tmp.o", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 删除中间文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "riscv32-elf-objcopy -O binary compile_tmp.om compile_tmp.bin", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 生成bin文件出错! ***"); - return; - } - - stat = RunCmd(RISCV_TOOLS_PATH, "del compile_tmp.om", ref msg); - compilePromptText.AppendText(msg); - if (!stat) - { - compilePromptText.AppendText(" *** 删除中间文件出错! ***"); - return; - } - - try - { - binText.Text = dumpBin(RISCV_TOOLS_PATH + "compile_tmp.bin"); - compilePromptText.AppendText(" *** 编译完成! ***"); - } - catch - { - compilePromptText.AppendText(" *** 读取bin文件出错! ***"); - return; - } - } - #endregion - - #region 生成 Verilog InstrROM 代码 - private const string VerilogHead = "module instr_rom(\n input logic clk, rst_n,\n naive_bus.slave bus\n);\nlocalparam INSTR_CNT = 30'd"; - private const string VerilogMid = ";\nwire [0:INSTR_CNT-1] [31:0] instr_rom_cell = {\n"; - private const string VerilogTail = "};\n\nlogic [29:0] cell_rd_addr;\n\nassign bus.rd_gnt = bus.rd_req;\nassign bus.wr_gnt = bus.wr_req;\nassign cell_rd_addr = bus.rd_addr[31:2];\nalways @ (posedge clk or negedge rst_n)\n if(~rst_n)\n bus.rd_data <= 0;\n else begin\n if(bus.rd_req)\n bus.rd_data <= (cell_rd_addr>=INSTR_CNT) ? 0 : instr_rom_cell[cell_rd_addr];\n else\n bus.rd_data <= 0;\n end\n\nendmodule\n\n"; - - private string genVerilogRom() - { - StringBuilder strBuilder = new StringBuilder(); - int index = 0; - string[] lines = binText.Text.Trim().Split(); - for (int idx = 0; idx < lines.Length; idx++) - { - string line = lines[idx]; - string hex_num = line.Trim(); - if (hex_num.Length <= 0) - continue; - if (idx < lines.Length - 2) - strBuilder.Append(String.Format(" 32'h{1:S}, // 0x{0:x8}\n", index * 4, hex_num)); - else - strBuilder.Append(String.Format(" 32'h{1:S} // 0x{0:x8}\n", index * 4, hex_num)); - index += 1; - } - strBuilder.Insert(0, VerilogMid); - strBuilder.Insert(0, index.ToString()); - strBuilder.Insert(0, VerilogHead); - strBuilder.Append(VerilogTail); - return strBuilder.ToString(); - } - - private void saveVerilog_Click(object sender, EventArgs e) - { - SaveFileDialog saveFileDialog = new SaveFileDialog(); - saveFileDialog.InitialDirectory = ".\\"; //注意这里写路径时要用c:\\而不是c:\ - saveFileDialog.Filter = "SystemVerilog源文件|*.sv"; - saveFileDialog.RestoreDirectory = true; - saveFileDialog.FilterIndex = 1; - if (saveFileDialog.ShowDialog() == DialogResult.OK) - { - try - { - System.IO.File.WriteAllText(saveFileDialog.FileName, genVerilogRom()); - compilePromptText.Text = "已保存 Verilog ROM 文件"; - } - catch (Exception ex) - { - compilePromptText.Text = "保存 Verilog ROM 文件失败\r\n" + ex.Message; - } - } - } - #endregion - - #region 串口的命令函数 - private bool serialSessionA(string send, ref string response) // 发送一个命令并得到响应字符串 - { - return serialSessionTry(send, ref response, ""); - } - - private bool serialSessionB(string send, string respectResponse) // 发送一个命令并等待指定的响应字符串到来 - { - string response = ""; - return serialSessionTry(send, ref response, respectResponse); - } - - private bool serialSessionTry(string send, ref string response, string respectResponse, int try_time = 3) // 多次请求全部失败时,返回失败,否则返回成功 - { - for (int i = 0; i < try_time; i++) - { - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - if (serialSend(send)) - { - if (serialRead(ref response, respectResponse)) - return true; - } - } - compilePromptText.AppendText(" *** 串口调试多次尝试失败 ***\r\n"); - return false; - } - - private bool serialSend(string send) - { - compilePromptText.AppendText("send: " + send); - try - { - serialPort.Write(send + "\n"); - } - catch (Exception ex) - { - compilePromptText.AppendText(" " + ex.Message + "\r\n"); - return false; - } - return true; - } - - private bool serialRead(ref string response, string respectResponse) - { - try - { - for (int i = 0; i < 8; i++) - { - response = serialPort.ReadLine().Trim(); - bool is_respect = respectResponse.Equals("") || respectResponse.Equals(response); - if (is_respect) - { - compilePromptText.AppendText(" response: " + response + "\r\n"); - return true; - } - } - } - catch (Exception ex) - { - compilePromptText.AppendText(" " + ex.Message + "\r\n"); - return false; - } - compilePromptText.AppendText(" response: *** 超时 ***\r\n" + response); - return false; - } - #endregion - - #region 串口打开 - private bool refreshSerial() - { - if (serialPort.IsOpen) - serialPort.Close(); - try - { - serialPort.PortName = portSelectionBox.Text; - serialPort.Open(); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** 打开串口出错 ***\r\n " + ex.Message); - refreshPortStatus(); - return false; - } - return true; - } - private void refreshPortStatus() - { - if (serialPort.IsOpen) - userPortOpenCloseBtn.Text = "关闭"; - else - userPortOpenCloseBtn.Text = "打开"; - } - private void userPortOpenCloseBtn_Click(object sender, EventArgs e) - { - if (userPortOpenCloseBtn.Text == "打开") - { - compilePromptText.Clear(); - refreshSerial(); - serialSessionB("s", "debug"); - serialSessionB("o", "user"); - } - else - { - serialPort.Close(); - } - refreshPortStatus(); - } - #endregion - - #region 烧录程序 - private void programBtn_Click(object sender, EventArgs e) // 烧录程序 - { - enableUartDisplay = false; - userPortTextBox.Clear(); - compilePromptText.Clear(); - - uint boot_addr; - try - { - boot_addr = Convert.ToUInt32(bootAddrTextBox.Text, 16); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** Boot Addr格式有误 ***\r\n " + ex.Message); - return; - } - - if (!refreshSerial()) - return; - - if (!serialSessionB("s", "")) - return; - - uint index = 0; - foreach (string line in binText.Text.Split()) - { - string hex_num = line.Trim(); - if (hex_num.Length <= 0) - continue; - string send_str = String.Format("{0:x8} {1:S}", boot_addr + index * 4, hex_num); - index++; - - if (!serialSessionB(send_str, "wr done")) - return; - } - - if (!serialSessionB(string.Format("r{0:x8}", boot_addr), "rst done")) - return; - - compilePromptText.AppendText(" *** 烧录完成 ***\r\n"); - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - userPortTextBox.Clear(); - enableUartDisplay = true; - } - #endregion - - #region DUMP内存 - private void DUMP内存_Click(object sender, EventArgs e) // 查看内存 - { - enableUartDisplay = false; - userPortTextBox.Clear(); - compilePromptText.Clear(); - - uint start, len; - try - { - start = Convert.ToUInt32(起始地址.Text, 16); - len = Convert.ToUInt32(长度.Text, 16); - } - catch (Exception ex) - { - compilePromptText.AppendText(" *** 起始地址格式有误 ***\r\n " + ex.Message); - return; - } - start = 4 * (start / 4); // 起始地址自动与4对齐 - if (len > 0x1000) - { - compilePromptText.AppendText(" *** 长度不能大于0x1000 ***\r\n "); - return; - } - len /= 4; - - if (!refreshSerial()) - return; - string response = ""; - if (!serialSessionB("s", "")) - return; - - 内存内容.Clear(); - - uint index = 0; - for (index = 0; index < len; index++) - { - string send_str = String.Format("{0:x8}", start + index * 4); - response = ""; - if (!serialSessionA(send_str, ref response)) - return; - 内存内容.AppendText(String.Format("{0:x8} : {1:S}\r\n", start + index * 4, response.Trim())); - } - - serialSessionB("o", "user"); - compilePromptText.AppendText(" *** Dump内存完成 ***\r\n"); - try { serialPort.ReadExisting(); }// 清空接收缓冲区 - catch { } - userPortTextBox.Clear(); - enableUartDisplay = true; - } - #endregion - - #region 右侧串口监视窗的实时显示 - bool enableUartDisplay = true; - public delegate void changeTextHandler(object str); - - private void appendUserPortText(object str) - { - if (userPortTextBox.InvokeRequired == true) - { - changeTextHandler ct = new changeTextHandler(appendUserPortText); - userPortTextBox.Invoke(ct, new object[] { str }); - } - else - { - userPortTextBox.AppendText(str.ToString()); - } - } - - private void changeCountText(object str) - { - if (UserPortRecvCountLabel.InvokeRequired == true) - { - changeTextHandler ct = new changeTextHandler(changeCountText); - UserPortRecvCountLabel.Invoke(ct, new object[] { str }); - } - else - { - UserPortRecvCountLabel.Text = str.ToString(); - } - } - - private void userPortClearBtn_Click(object sender, EventArgs e) - { - userPortTextBox.Clear(); - } - - private void serialPort_DataReceived(object sender, System.IO.Ports.SerialDataReceivedEventArgs e) - { - if (enableUartDisplay) - { - SerialPort sp = (SerialPort)sender; - try - { - string recvdata = sp.ReadExisting(); - if (userPortShowHex.Checked) - { - StringBuilder sb = new StringBuilder(); - foreach (byte ch in recvdata) - { - sb.Append(String.Format("{0:X2} ", ch)); - } - appendUserPortText(sb.ToString()); - } - else - { - appendUserPortText(recvdata); - } - userPortCount += (uint)recvdata.Length; - } - catch { } - } - } - #endregion - - private void tableLayoutPanel6_Paint(object sender, PaintEventArgs e) - { - - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx deleted file mode 100644 index e9dba01..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/MainForm.resx +++ /dev/null @@ -1,123 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - text/microsoft-resx - - - 2.0 - - - System.Resources.ResXResourceReader, System.Windows.Forms, Version=4.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - System.Resources.ResXResourceWriter, System.Windows.Forms, Version=4.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - 17, 17 - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs deleted file mode 100644 index 4aaf223..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Program.cs +++ /dev/null @@ -1,22 +0,0 @@ -using System; -using System.Collections.Generic; -using System.Linq; -using System.Threading.Tasks; -using System.Windows.Forms; - -namespace USTCRVSoC_tool -{ - static class Program - { - /// - /// 应用程序的主入口点。 - /// - [STAThread] - static void Main() - { - Application.EnableVisualStyles(); - Application.SetCompatibleTextRenderingDefault(false); - Application.Run(new MainForm()); - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs deleted file mode 100644 index bf4f275..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/AssemblyInfo.cs +++ /dev/null @@ -1,36 +0,0 @@ -using System.Reflection; -using System.Runtime.CompilerServices; -using System.Runtime.InteropServices; - -// 有关程序集的常规信息通过以下 -// 特性集控制。更改这些特性值可修改 -// 与程序集关联的信息。 -[assembly: AssemblyTitle("programming_asm")] -[assembly: AssemblyDescription("")] -[assembly: AssemblyConfiguration("")] -[assembly: AssemblyCompany("")] -[assembly: AssemblyProduct("programming_asm")] -[assembly: AssemblyCopyright("Copyright © 2019")] -[assembly: AssemblyTrademark("")] -[assembly: AssemblyCulture("")] - -// 将 ComVisible 设置为 false 使此程序集中的类型 -// 对 COM 组件不可见。如果需要从 COM 访问此程序集中的类型, -// 则将该类型上的 ComVisible 特性设置为 true。 -[assembly: ComVisible(false)] - -// 如果此项目向 COM 公开,则下列 GUID 用于类型库的 ID -[assembly: Guid("b3ab6819-a056-4340-86b3-edae79aaab47")] - -// 程序集的版本信息由下面四个值组成: -// -// 主版本 -// 次版本 -// 生成号 -// 修订号 -// -// 可以指定所有这些值,也可以使用“生成号”和“修订号”的默认值, -// 方法是按如下所示使用“*”: -// [assembly: AssemblyVersion("1.0.*")] -[assembly: AssemblyVersion("1.0.0.0")] -[assembly: AssemblyFileVersion("1.0.0.0")] diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs deleted file mode 100644 index 7c8fb49..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.Designer.cs +++ /dev/null @@ -1,63 +0,0 @@ -//------------------------------------------------------------------------------ -// -// 此代码由工具生成。 -// 运行时版本:4.0.30319.42000 -// -// 对此文件的更改可能会导致不正确的行为,并且如果 -// 重新生成代码,这些更改将会丢失。 -// -//------------------------------------------------------------------------------ - -namespace USTCRVSoC_tool.Properties { - using System; - - - /// - /// 一个强类型的资源类,用于查找本地化的字符串等。 - /// - // 此类是由 StronglyTypedResourceBuilder - // 类通过类似于 ResGen 或 Visual Studio 的工具自动生成的。 - // 若要添加或移除成员,请编辑 .ResX 文件,然后重新运行 ResGen - // (以 /str 作为命令选项),或重新生成 VS 项目。 - [global::System.CodeDom.Compiler.GeneratedCodeAttribute("System.Resources.Tools.StronglyTypedResourceBuilder", "4.0.0.0")] - [global::System.Diagnostics.DebuggerNonUserCodeAttribute()] - [global::System.Runtime.CompilerServices.CompilerGeneratedAttribute()] - internal class Resources { - - private static global::System.Resources.ResourceManager resourceMan; - - private static global::System.Globalization.CultureInfo resourceCulture; - - [global::System.Diagnostics.CodeAnalysis.SuppressMessageAttribute("Microsoft.Performance", "CA1811:AvoidUncalledPrivateCode")] - internal Resources() { - } - - /// - /// 返回此类使用的缓存的 ResourceManager 实例。 - /// - [global::System.ComponentModel.EditorBrowsableAttribute(global::System.ComponentModel.EditorBrowsableState.Advanced)] - internal static global::System.Resources.ResourceManager ResourceManager { - get { - if (object.ReferenceEquals(resourceMan, null)) { - global::System.Resources.ResourceManager temp = new global::System.Resources.ResourceManager("USTCRVSoC_tool.Properties.Resources", typeof(Resources).Assembly); - resourceMan = temp; - } - return resourceMan; - } - } - - /// - /// 使用此强类型资源类,为所有资源查找 - /// 重写当前线程的 CurrentUICulture 属性。 - /// - [global::System.ComponentModel.EditorBrowsableAttribute(global::System.ComponentModel.EditorBrowsableState.Advanced)] - internal static global::System.Globalization.CultureInfo Culture { - get { - return resourceCulture; - } - set { - resourceCulture = value; - } - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx deleted file mode 100644 index ffecec8..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Resources.resx +++ /dev/null @@ -1,117 +0,0 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - text/microsoft-resx - - - 2.0 - - - System.Resources.ResXResourceReader, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - - System.Resources.ResXResourceWriter, System.Windows.Forms, Version=2.0.0.0, Culture=neutral, PublicKeyToken=b77a5c561934e089 - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs deleted file mode 100644 index 9fa0b96..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.Designer.cs +++ /dev/null @@ -1,26 +0,0 @@ -//------------------------------------------------------------------------------ -// -// 此代码由工具生成。 -// 运行时版本:4.0.30319.42000 -// -// 对此文件的更改可能会导致不正确的行为,并且如果 -// 重新生成代码,这些更改将会丢失。 -// -//------------------------------------------------------------------------------ - -namespace USTCRVSoC_tool.Properties { - - - [global::System.Runtime.CompilerServices.CompilerGeneratedAttribute()] - [global::System.CodeDom.Compiler.GeneratedCodeAttribute("Microsoft.VisualStudio.Editors.SettingsDesigner.SettingsSingleFileGenerator", "11.0.0.0")] - internal sealed partial class Settings : global::System.Configuration.ApplicationSettingsBase { - - private static Settings defaultInstance = ((Settings)(global::System.Configuration.ApplicationSettingsBase.Synchronized(new Settings()))); - - public static Settings Default { - get { - return defaultInstance; - } - } - } -} diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings deleted file mode 100644 index abf36c5..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/Properties/Settings.settings +++ /dev/null @@ -1,7 +0,0 @@ - - - - - - - diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj deleted file mode 100644 index b34a2c3..0000000 --- a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/USTCRVSoC-tool.csproj +++ /dev/null @@ -1,99 +0,0 @@ - - - - - Debug - AnyCPU - {54C41CBE-83B8-44F7-ABCB-85F543A690CC} - WinExe - Properties - USTCRVSoC_tool - USTCRVSoC-tool - v4.0 - 512 - - - - AnyCPU - true - full - false - bin\Debug\ - DEBUG;TRACE - prompt - 4 - - - AnyCPU - pdbonly - true - bin\Release\ - TRACE - prompt - 4 - - - chip.ico - - - - - - - - - - - - - - - - - - - Form - - - MainForm.cs - - - - - MainForm.cs - - - ResXFileCodeGenerator - Resources.Designer.cs - Designer - - - True - Resources.resx - True - - - SettingsSingleFileGenerator - Settings.Designer.cs - - - True - Settings.settings - True - - - - - - - - - - - \ No newline at end of file diff --git a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico b/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico deleted file mode 100644 index 2809e23..0000000 Binary files a/USTCRVSoC-tool-VS2012/USTCRVSoC-tool/chip.ico and /dev/null differ diff --git a/tools/USTCRVSoC-tool.exe b/USTCRVSoC-tool/USTCRVSoC-tool.exe similarity index 100% rename from tools/USTCRVSoC-tool.exe rename to USTCRVSoC-tool/USTCRVSoC-tool.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-as.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-as.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-as.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-as.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-ld.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-ld.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-ld.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-ld.exe diff --git a/tools/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe b/USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe similarity index 100% rename from tools/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe rename to USTCRVSoC-tool/riscv32-elf-tools-windows/riscv32-elf-objcopy.exe diff --git a/UartSession-VS2012/UartSession.sln b/UartSession-VS2012/UartSession.sln deleted file mode 100644 index d40d27b..0000000 --- a/UartSession-VS2012/UartSession.sln +++ /dev/null @@ -1,20 +0,0 @@ - -Microsoft Visual Studio Solution File, Format Version 12.00 -# Visual Studio 2012 -Project("{FAE04EC0-301F-11D3-BF4B-00C04F79EFBC}") = "UartSession", "UartSession\UartSession.csproj", "{90E1C916-2A9E-43DC-A0A4-56D029F666C2}" -EndProject -Global - GlobalSection(SolutionConfigurationPlatforms) = preSolution - Debug|Any CPU = Debug|Any CPU - Release|Any CPU = Release|Any CPU - EndGlobalSection - GlobalSection(ProjectConfigurationPlatforms) = postSolution - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Debug|Any CPU.ActiveCfg = Debug|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Debug|Any CPU.Build.0 = Debug|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Release|Any CPU.ActiveCfg = Release|Any CPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2}.Release|Any CPU.Build.0 = Release|Any CPU - EndGlobalSection - GlobalSection(SolutionProperties) = preSolution - HideSolutionNode = FALSE - EndGlobalSection -EndGlobal diff --git a/UartSession-VS2012/UartSession/App.config b/UartSession-VS2012/UartSession/App.config deleted file mode 100644 index fad249e..0000000 --- a/UartSession-VS2012/UartSession/App.config +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - \ No newline at end of file diff --git a/UartSession-VS2012/UartSession/Program.cs b/UartSession-VS2012/UartSession/Program.cs deleted file mode 100644 index 47dd327..0000000 --- a/UartSession-VS2012/UartSession/Program.cs +++ /dev/null @@ -1,110 +0,0 @@ -using System; -using System.IO.Ports; - -namespace UartSession -{ - class Program - { - static SerialPort port = new SerialPort(); - - static void DataReceived(object sender, System.IO.Ports.SerialDataReceivedEventArgs e) - { - SerialPort sp = (SerialPort)sender; - try - { - string recvdata = sp.ReadExisting(); - Console.Write(recvdata); - } - catch { } - } - - static void Main(string[] args) - { - int index; - string input; - - port.BaudRate = 115200; - port.DataBits = 8; - port.Parity = Parity.None; - port.StopBits = StopBits.One; - port.DtrEnable = false; - port.RtsEnable = false; - port.ReadTimeout = 1000; - port.WriteTimeout = 500; - port.DataReceived += new SerialDataReceivedEventHandler(DataReceived); - - while (true) - { - int set_baud = -1; - int ser_no = -1; - string[] ser_names = { }; - - Console.WriteLine("\n\n命令列表:"); - try { ser_names = SerialPort.GetPortNames(); }catch { } - for (index = 0; index < ser_names.Length; index++) - Console.WriteLine(" {0:#0} : 打开 {1:S}", index, ser_names[index]); - if(index<=0) - Console.WriteLine(" (* 未找到端口 *)"); - Console.WriteLine(" baud [数字] : 设置COM口波特率,例如 baud 9600 表示设置波特率为9600"); - Console.WriteLine(" refresh : 刷新COM口列表"); - Console.WriteLine(" exit : 退出"); - - Console.Write("\n当前波特率为{0:D}\n请输入你的命令:", port.BaudRate); - input = Console.ReadLine().Trim(); - try { ser_no = Convert.ToInt32(input); } catch {} - try{ - string[] tmps = input.Split(); - if (tmps.Length == 2 && tmps[0] == "baud") - set_baud = Convert.ToInt32(tmps[1]); - }catch{} - - if (input == "exit") - break; - else if (input == "refresh") - { - Console.WriteLine("\n\n"); - continue; - } - else if (set_baud>0) - { - try - { - port.BaudRate = set_baud; - } - catch (Exception ex) - { - Console.WriteLine(" *** 错误: {0:S} ***", ex.Message); - continue; - } - } - else if (ser_no >= 0 && ser_no < index) - { - string ser_name = ser_names[ser_no]; - try - { - port.PortName = ser_name; - port.Open(); - } - catch (Exception ex) - { - Console.WriteLine(" *** 开启串口错误: {0:S} ***", ex.Message); - continue; - } - Console.WriteLine(" 已经打开{0:S},请输入发送数据,输入exit退出", ser_name); - while (true) - { - input = Console.ReadLine().Trim(); - if (input == "exit") - break; - try { port.WriteLine(input); } - catch { } - } - port.Close(); - break; - } - else - Console.WriteLine(" *** 格式错误 ***"); - } - } - } -} diff --git a/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs b/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs deleted file mode 100644 index e95efe8..0000000 --- a/UartSession-VS2012/UartSession/Properties/AssemblyInfo.cs +++ /dev/null @@ -1,36 +0,0 @@ -using System.Reflection; -using System.Runtime.CompilerServices; -using System.Runtime.InteropServices; - -// 有关程序集的常规信息通过以下 -// 特性集控制。更改这些特性值可修改 -// 与程序集关联的信息。 -[assembly: AssemblyTitle("UartSession")] -[assembly: AssemblyDescription("")] -[assembly: AssemblyConfiguration("")] -[assembly: AssemblyCompany("")] -[assembly: AssemblyProduct("UartSession")] -[assembly: AssemblyCopyright("Copyright © 2019")] -[assembly: AssemblyTrademark("")] -[assembly: AssemblyCulture("")] - -// 将 ComVisible 设置为 false 使此程序集中的类型 -// 对 COM 组件不可见。如果需要从 COM 访问此程序集中的类型, -// 则将该类型上的 ComVisible 特性设置为 true。 -[assembly: ComVisible(false)] - -// 如果此项目向 COM 公开,则下列 GUID 用于类型库的 ID -[assembly: Guid("03cfee7d-74be-4491-8eff-8f2b5393d25d")] - -// 程序集的版本信息由下面四个值组成: -// -// 主版本 -// 次版本 -// 生成号 -// 修订号 -// -// 可以指定所有这些值,也可以使用“生成号”和“修订号”的默认值, -// 方法是按如下所示使用“*”: -// [assembly: AssemblyVersion("1.0.*")] -[assembly: AssemblyVersion("1.0.0.0")] -[assembly: AssemblyFileVersion("1.0.0.0")] diff --git a/UartSession-VS2012/UartSession/USB.ico b/UartSession-VS2012/UartSession/USB.ico deleted file mode 100644 index 0abcde2..0000000 Binary files a/UartSession-VS2012/UartSession/USB.ico and /dev/null differ diff --git a/UartSession-VS2012/UartSession/UartSession.csproj b/UartSession-VS2012/UartSession/UartSession.csproj deleted file mode 100644 index 78dc74b..0000000 --- a/UartSession-VS2012/UartSession/UartSession.csproj +++ /dev/null @@ -1,64 +0,0 @@ - - - - - Debug - AnyCPU - {90E1C916-2A9E-43DC-A0A4-56D029F666C2} - Exe - Properties - UartSession - UartSession - v4.5 - 512 - - - AnyCPU - true - full - false - bin\Debug\ - DEBUG;TRACE - prompt - 4 - - - AnyCPU - pdbonly - true - bin\Release\ - TRACE - prompt - 4 - - - USB.ico - - - - - - - - - - - - - - - - - - - - - - - \ No newline at end of file diff --git a/tools/UartSession.exe b/UartSession/UartSession.exe similarity index 100% rename from tools/UartSession.exe rename to UartSession/UartSession.exe diff --git a/software/asm-code/basic-test/big_endian_little_endian.S b/asm-code/basic-test/big_endian_little_endian.S similarity index 100% rename from software/asm-code/basic-test/big_endian_little_endian.S rename to asm-code/basic-test/big_endian_little_endian.S diff --git a/software/asm-code/basic-test/branch_test.S b/asm-code/basic-test/branch_test.S similarity index 100% rename from software/asm-code/basic-test/branch_test.S rename to asm-code/basic-test/branch_test.S diff --git a/software/asm-code/basic-test/load_store.S b/asm-code/basic-test/load_store.S similarity index 100% rename from software/asm-code/basic-test/load_store.S rename to asm-code/basic-test/load_store.S diff --git a/software/asm-code/basic-test/test_auipc.S b/asm-code/basic-test/test_auipc.S similarity index 100% rename from software/asm-code/basic-test/test_auipc.S rename to asm-code/basic-test/test_auipc.S diff --git a/software/asm-code/calculation-test/Fibonacci.S b/asm-code/calculation-test/Fibonacci.S similarity index 100% rename from software/asm-code/calculation-test/Fibonacci.S rename to asm-code/calculation-test/Fibonacci.S diff --git a/software/asm-code/calculation-test/MatMul.S b/asm-code/calculation-test/MatMul.S similarity index 100% rename from software/asm-code/calculation-test/MatMul.S rename to asm-code/calculation-test/MatMul.S diff --git a/software/asm-code/calculation-test/Number2Ascii.S b/asm-code/calculation-test/Number2Ascii.S similarity index 100% rename from software/asm-code/calculation-test/Number2Ascii.S rename to asm-code/calculation-test/Number2Ascii.S diff --git a/software/asm-code/calculation-test/QuickSort.S b/asm-code/calculation-test/QuickSort.S similarity index 100% rename from software/asm-code/calculation-test/QuickSort.S rename to asm-code/calculation-test/QuickSort.S diff --git a/software/asm-code/calculation-test/SimpleSprintf.S b/asm-code/calculation-test/SimpleSprintf.S similarity index 100% rename from software/asm-code/calculation-test/SimpleSprintf.S rename to asm-code/calculation-test/SimpleSprintf.S diff --git a/software/asm-code/io-test/uart_print.S b/asm-code/io-test/uart_print.S similarity index 100% rename from software/asm-code/io-test/uart_print.S rename to asm-code/io-test/uart_print.S diff --git a/software/asm-code/io-test/vga_hello.S b/asm-code/io-test/vga_hello.S similarity index 100% rename from software/asm-code/io-test/vga_hello.S rename to asm-code/io-test/vga_hello.S diff --git a/figures/CPU.png b/figures/CPU.png new file mode 100644 index 0000000..2a1a78d Binary files /dev/null and b/figures/CPU.png differ diff --git a/figures/DE0-Nano.png b/figures/DE0-Nano.png new file mode 100644 index 0000000..cc56ffe Binary files /dev/null and b/figures/DE0-Nano.png differ diff --git a/figures/SoC.png b/figures/SoC.png new file mode 100644 index 0000000..c26e374 Binary files /dev/null and b/figures/SoC.png differ diff --git a/figures/USTCRVSoC.png b/figures/USTCRVSoC.png new file mode 100644 index 0000000..002f06f Binary files /dev/null and b/figures/USTCRVSoC.png differ diff --git a/figures/UartSession1.png b/figures/UartSession1.png new file mode 100644 index 0000000..21a7257 Binary files /dev/null and b/figures/UartSession1.png differ diff --git a/figures/UartSession2.png b/figures/UartSession2.png new file mode 100644 index 0000000..3149dc8 Binary files /dev/null and b/figures/UartSession2.png differ diff --git a/figures/UartSession3.png b/figures/UartSession3.png new file mode 100644 index 0000000..a81734f Binary files /dev/null and b/figures/UartSession3.png differ diff --git a/figures/de0nano-connection.png b/figures/de0nano-connection.png new file mode 100644 index 0000000..3cfb26f Binary files /dev/null and b/figures/de0nano-connection.png differ diff --git a/figures/nexys4-connection.png b/figures/nexys4-connection.png new file mode 100644 index 0000000..f864389 Binary files /dev/null and b/figures/nexys4-connection.png differ diff --git a/figures/vga_show.png b/figures/vga_show.png new file mode 100644 index 0000000..26483e1 Binary files /dev/null and b/figures/vga_show.png differ diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.cdf b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.cdf deleted file mode 100644 index e847627..0000000 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.cdf +++ /dev/null @@ -1,13 +0,0 @@ -/* Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version */ -JedecChain; - FileRevision(JESD32A); - DefaultMfr(6E); - - P ActionCode(Cfg) - Device PartName(EP4CE22F17) Path("E:/work-Lab/USTCRVSoC/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/") File("DE0Nano_USTCRVSoC.sof") MfrSpec(OpMask(1)); - -ChainEnd; - -AlteraBegin; - ChainType(JTAG); -AlteraEnd; diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi deleted file mode 100644 index a0e49a5..0000000 --- a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.jdi +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof b/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof deleted file mode 100644 index b612d13..0000000 Binary files a/hardware/Quartus/DE0Nano_USTCRVSoC/output_files/DE0Nano_USTCRVSoC.sof and /dev/null differ diff --git a/hardware/README.md b/hardware/README.md deleted file mode 100644 index 0cb6084..0000000 --- a/hardware/README.md +++ /dev/null @@ -1,9 +0,0 @@ -# USTCRVSoC 硬件 - -* RTL 目录中是 SoC 全部的 SystemVerilog 代码。 -* Quartus 目录中是基于 Altera FPGA 的工程,目前有 DE0-Nano 开发板。 -* Vivado 目录中是基于 Xilinx FPGA 的工程,目前有 Arty-7 开发板和 Nexys4 开发板。 -* Simulation_SoC 目录是对整个 SoC 的仿真工程 -* Simulation_RiscvCPU 目录是对 RiscV-CPU 进行的指令集测试仿真(使用RiscV官方测试) - -请注意,所有工程共用 ./RTL 目录,因此在一个工程里修改 SoC 代码也会导致其它工程中的代码发生变化 diff --git a/hardware/RTL/char8x16_rom.sv b/hardware/RTL/char8x16_rom.sv deleted file mode 100644 index 040e9f9..0000000 --- a/hardware/RTL/char8x16_rom.sv +++ /dev/null @@ -1,2074 +0,0 @@ -// -// 存放所有 ASCII 字符的字码 -// 该ROM自动综合成Block RAM -// 每个字符为8*16个像素,8列16行 -// 机制:每次读取时需要输入一个 7bit ASCII 值和一个 4bit 行号,共同组成12bit地址 -// ASCII值表示想要读取的字符的ASCII码 -// 4bit行号的取值范围是0~15,指定了想要读取该字符的哪一行像素。 -// 输出8bit,即该字符这一行的8个像素。0代表黑色,1代表白色(黑底白字) -// 因此该模块只是一个普通的8bit数据总线,12bit地址总线的ROM而已 - -module char8x16_rom( - input logic clk, - input logic [11:0] addr, - output logic [ 7:0] data -); - -wire [0:2047] [7:0] rom_cell = { - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h10, - 8'h00, - 8'h10, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h2c, - 8'h24, - 8'h24, - 8'h24, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h68, - 8'h24, - 8'hfe, - 8'h24, - 8'h24, - 8'h24, - 8'h7e, - 8'h24, - 8'h24, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h10, - 8'h7c, - 8'h16, - 8'h12, - 8'h16, - 8'h38, - 8'h68, - 8'h48, - 8'h48, - 8'h3e, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h86, - 8'h4b, - 8'h69, - 8'h2e, - 8'h10, - 8'h08, - 8'h68, - 8'h94, - 8'h92, - 8'h63, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h26, - 8'h26, - 8'h1c, - 8'h4e, - 8'h52, - 8'h73, - 8'h62, - 8'hfe, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h10, - 8'h18, - 8'h08, - 8'h0c, - 8'h0c, - 8'h0c, - 8'h0c, - 8'h08, - 8'h08, - 8'h18, - 8'h30, - 8'h20, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h08, - 8'h10, - 8'h10, - 8'h30, - 8'h20, - 8'h20, - 8'h20, - 8'h30, - 8'h10, - 8'h18, - 8'h08, - 8'h04, - 8'h00, - 8'h00, - 8'h00, - 8'h10, - 8'h50, - 8'h2c, - 8'h38, - 8'h56, - 8'h10, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h18, - 8'hfe, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h10, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h40, - 8'h60, - 8'h20, - 8'h30, - 8'h10, - 8'h10, - 8'h08, - 8'h08, - 8'h04, - 8'h04, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h66, - 8'h42, - 8'he2, - 8'hda, - 8'hce, - 8'h42, - 8'h66, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h1e, - 8'h12, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h62, - 8'h60, - 8'h60, - 8'h20, - 8'h10, - 8'h08, - 8'h04, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h60, - 8'h60, - 8'h20, - 8'h3c, - 8'h40, - 8'h40, - 8'h60, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h30, - 8'h38, - 8'h28, - 8'h24, - 8'h26, - 8'h22, - 8'hff, - 8'h20, - 8'h20, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h40, - 8'h40, - 8'h60, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h0c, - 8'h06, - 8'h12, - 8'h6e, - 8'h42, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h40, - 8'h60, - 8'h20, - 8'h30, - 8'h10, - 8'h18, - 8'h08, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'h6c, - 8'h38, - 8'h66, - 8'h42, - 8'h42, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h66, - 8'h42, - 8'h42, - 8'h66, - 8'h58, - 8'h40, - 8'h20, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h10, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h18, - 8'h0c, - 8'h06, - 8'h08, - 8'h30, - 8'h60, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h00, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h18, - 8'h20, - 8'h60, - 8'h30, - 8'h08, - 8'h04, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h38, - 8'h60, - 8'h60, - 8'h60, - 8'h18, - 8'h08, - 8'h00, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h38, - 8'h44, - 8'h82, - 8'h82, - 8'hbb, - 8'had, - 8'ha5, - 8'ha5, - 8'hf5, - 8'h29, - 8'h03, - 8'h02, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h38, - 8'h2c, - 8'h24, - 8'h64, - 8'h46, - 8'h7e, - 8'hc2, - 8'h83, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'h62, - 8'h3e, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h04, - 8'h02, - 8'h02, - 8'h02, - 8'h02, - 8'h02, - 8'h06, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'hc2, - 8'hc2, - 8'hc2, - 8'h42, - 8'h62, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h7e, - 8'h06, - 8'h06, - 8'h06, - 8'h06, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h06, - 8'h02, - 8'h02, - 8'h73, - 8'h42, - 8'h42, - 8'h46, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h7e, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h22, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h22, - 8'h12, - 8'h0a, - 8'h0e, - 8'h1a, - 8'h32, - 8'h22, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h04, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h66, - 8'he6, - 8'hfa, - 8'hda, - 8'hda, - 8'h83, - 8'h83, - 8'h83, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h46, - 8'h4e, - 8'h4a, - 8'h5a, - 8'h52, - 8'h72, - 8'h62, - 8'h62, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'hc2, - 8'hc3, - 8'hc3, - 8'hc3, - 8'hc2, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h62, - 8'h42, - 8'h42, - 8'h62, - 8'h1e, - 8'h02, - 8'h02, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'hc2, - 8'hc3, - 8'hc3, - 8'hc3, - 8'hc2, - 8'h46, - 8'h3c, - 8'h18, - 8'hf0, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3e, - 8'h66, - 8'h46, - 8'h66, - 8'h3e, - 8'h36, - 8'h26, - 8'h66, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h06, - 8'h02, - 8'h06, - 8'h38, - 8'h60, - 8'h40, - 8'h40, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hfe, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'hc2, - 8'h42, - 8'h46, - 8'h64, - 8'h24, - 8'h2c, - 8'h38, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h83, - 8'h82, - 8'h92, - 8'hda, - 8'hda, - 8'h6e, - 8'h66, - 8'h66, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h66, - 8'h2c, - 8'h18, - 8'h18, - 8'h38, - 8'h24, - 8'h66, - 8'hc3, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h42, - 8'h66, - 8'h2c, - 8'h38, - 8'h18, - 8'h18, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h40, - 8'h20, - 8'h30, - 8'h18, - 8'h08, - 8'h04, - 8'h06, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h38, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h38, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h04, - 8'h04, - 8'h08, - 8'h08, - 8'h10, - 8'h10, - 8'h30, - 8'h20, - 8'h60, - 8'h40, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h30, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h38, - 8'h24, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hff, - 8'h00, - 8'h00, - 8'h00, - 8'h04, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h60, - 8'h40, - 8'h7c, - 8'h42, - 8'h62, - 8'h5e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h02, - 8'h02, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h78, - 8'h04, - 8'h06, - 8'h02, - 8'h06, - 8'h04, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h40, - 8'h40, - 8'h40, - 8'h7c, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'h7e, - 8'h02, - 8'h06, - 8'h7c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hf0, - 8'h18, - 8'h08, - 8'h08, - 8'h7e, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hfc, - 8'h66, - 8'h42, - 8'h66, - 8'h1a, - 8'h02, - 8'h7c, - 8'hc2, - 8'h42, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h02, - 8'h02, - 8'h02, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h18, - 8'h18, - 8'h00, - 8'h1e, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h20, - 8'h30, - 8'h00, - 8'h3e, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h20, - 8'h30, - 8'h1e, - 8'h00, - 8'h00, - 8'h00, - 8'h06, - 8'h06, - 8'h06, - 8'h46, - 8'h36, - 8'h1e, - 8'h0e, - 8'h16, - 8'h26, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h1e, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h6e, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'hd2, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3c, - 8'h46, - 8'h42, - 8'hc2, - 8'h42, - 8'h46, - 8'h3c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h3a, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h3e, - 8'h02, - 8'h02, - 8'h02, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h46, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h40, - 8'h40, - 8'h40, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h76, - 8'h4e, - 8'hc6, - 8'h06, - 8'h06, - 8'h06, - 8'h06, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7c, - 8'h04, - 8'h04, - 8'h3c, - 8'h60, - 8'h40, - 8'h3e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h08, - 8'h08, - 8'h7f, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h78, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h42, - 8'h66, - 8'h5c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h42, - 8'h66, - 8'h24, - 8'h2c, - 8'h18, - 8'h18, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h83, - 8'h83, - 8'hda, - 8'h5a, - 8'h7a, - 8'h66, - 8'h66, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h46, - 8'h64, - 8'h38, - 8'h18, - 8'h38, - 8'h64, - 8'h46, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'hc2, - 8'h42, - 8'h66, - 8'h24, - 8'h2c, - 8'h38, - 8'h18, - 8'h18, - 8'h0c, - 8'h07, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h7e, - 8'h60, - 8'h30, - 8'h18, - 8'h08, - 8'h04, - 8'h7e, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h70, - 8'h18, - 8'h08, - 8'h08, - 8'h08, - 8'h0c, - 8'h0e, - 8'h08, - 8'h08, - 8'h08, - 8'h08, - 8'h18, - 8'h70, - 8'h00, - 8'h00, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h00, - 8'h00, - 8'h00, - 8'h0c, - 8'h18, - 8'h10, - 8'h10, - 8'h10, - 8'h30, - 8'h70, - 8'h10, - 8'h10, - 8'h10, - 8'h10, - 8'h18, - 8'h0c, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h8e, - 8'hd2, - 8'h60, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00, - 8'h00 -}; - -always @ (posedge clk) - if(addr[11]) - data <= 8'h0; - else - data <= rom_cell[addr[10:0]]; - -endmodule diff --git a/hardware/RTL/dual_read_port_ram_32x32.sv b/hardware/RTL/dual_read_port_ram_32x32.sv deleted file mode 100644 index a747a6d..0000000 --- a/hardware/RTL/dual_read_port_ram_32x32.sv +++ /dev/null @@ -1,25 +0,0 @@ -module dual_read_port_ram_32x32( // 32bit*32addr - input logic clk, - input logic i_we, - input logic [ 4:0] i_waddr, - input logic [31:0] i_wdata, - input logic [ 4:0] i_raddr1, - output logic [31:0] o_rdata1, - input logic [ 4:0] i_raddr2, - output logic [31:0] o_rdata2 -); -initial begin o_rdata1 = 0; o_rdata2 = 0; end - -logic [31:0] data_ram_cell [0:31]; - -always @ (posedge clk) - o_rdata1 <= data_ram_cell[i_raddr1]; - -always @ (posedge clk) - o_rdata2 <= data_ram_cell[i_raddr2]; - -always @ (posedge clk) - if(i_we) - data_ram_cell[i_waddr] <= i_wdata; - -endmodule diff --git a/hardware/RTL/ram128B.sv b/hardware/RTL/ram128B.sv deleted file mode 100644 index 83cc133..0000000 --- a/hardware/RTL/ram128B.sv +++ /dev/null @@ -1,19 +0,0 @@ -module ram128B( // 128B - input logic clk, - input logic i_we, - input logic [ 6:0] i_addr, - input logic [ 7:0] i_wdata, - output logic [ 7:0] o_rdata -); -initial o_rdata = 8'h0; - -logic [7:0] data_ram_cell [0:127]; - -always @ (posedge clk) - o_rdata <= data_ram_cell[i_addr]; - -always @ (posedge clk) - if(i_we) - data_ram_cell[i_addr] <= i_wdata; - -endmodule \ No newline at end of file diff --git a/hardware/RTL/vga_char_86x32.sv b/hardware/RTL/vga_char_86x32.sv deleted file mode 100644 index 3233b56..0000000 --- a/hardware/RTL/vga_char_86x32.sv +++ /dev/null @@ -1,188 +0,0 @@ -module vga_char_86x32 #( - parameter VGA_CLK_DIV = 1 -)( - // clock - input logic clk, rst_n, - // vga interfaces - output logic hsync, vsync, - output logic red, green, blue, - // user interface - output logic req, - output logic [11:0] addr, - input logic [ 7:0] ascii -); -localparam H_END = 10'd688, - H_BRSTART = H_END + 10'd4 , - H_BREND = H_BRSTART + 10'd30 , - H_SYNCSTART = H_BREND + 10'd25 , - H_SYNCEND = H_SYNCSTART + 10'd128, - H_BLSTART = H_SYNCEND + 10'd89 , - H_BLEND = H_BLSTART + 10'd30 , - H_PERIOD = H_BLEND + 10'd4 , - V_END = 10'd512, - V_BRSTART = V_END + 10'd4 , - V_BREND = V_BRSTART + 10'd30 , - V_SYNCSTART = V_BREND + 10'd38 , - V_SYNCEND = V_SYNCSTART + 10'd4 , - V_BLSTART = V_SYNCEND + 10'd66 , - V_BLEND = V_BLSTART + 10'd30 , - V_PERIOD = V_BLEND + 10'd4 ; - -logic [3:0] rlp=4'h0, clp=4'h0, hsp=4'h0, vsp=4'h0; -logic vlbr=1'b0, vgbl=1'b0, vlbl=1'b0, vgbr=1'b0, hlbr=1'b0, hgbl=1'b0, hlbl=1'b0, hgbr=1'b0; -logic vir=1'b0, hir=1'b0, vbr=1'b0, hbr=1'b0, vbl=1'b0, hbl=1'b0, hb=1'b0, vb=1'b0, border=1'b0; -logic [9:0] cnt = 0, hcnt = 0, vcnt = 0; -logic req1 = 1'b0, req2 = 1'b0; -logic [7:0] ascii_bufferout, ascii_latch=8'h0, ascii_to_rom; -logic [7:0] rom_data; -logic [6:0] x_h, x_h1=7'h0, x_h2=7'h0; -logic [5:0] y_h; - -logic [2:0] x_l, x_l1 = 3'h0, x_l2 = 3'h0, x_l3 = 3'h0, x_l4 = 3'h0; -logic [3:0] y_l, y_l1 = 4'h0, y_l2 = 4'h0, y_l3 = 4'h0; - -assign {x_h, x_l} = hcnt; -assign {y_h, y_l} = vcnt; - -initial begin hsync=1'b0; vsync=1'b0; {red,green,blue}=3'h0; req=1'b0; addr = 12'h0; end - -always @ (posedge clk) - if(~rst_n) begin - vlbr<= 1'b0; - vgbl<= 1'b0; - vlbl<= 1'b0; - vgbr<= 1'b0; - hlbr<= 1'b0; - hgbl<= 1'b0; - hlbl<= 1'b0; - hgbr<= 1'b0; - vir <= 1'b0; - hir <= 1'b0; - vbr <= 1'b0; - hbr <= 1'b0; - vbl <= 1'b0; - hbl <= 1'b0; - hb <= 1'b0; - vb <= 1'b0; - border <= 1'b0; - end else begin - vlbr<= vcnt < V_BREND ; - vgbl<= vcnt >= V_BLSTART; - vlbl<= vcnt < V_BLEND ; - vgbr<= vcnt >= V_BRSTART; - hlbr<= hcnt < H_BREND ; - hgbl<= hcnt >= H_BLSTART; - hlbl<= hcnt < H_BLEND; - hgbr<= hcnt >= H_BRSTART; - vir <= vlbr | vgbl; - hir <= hlbr | hgbl; - vbr <= vgbr & vlbr; - hbr <= hgbr & hlbr; - vbl <= vgbl & vlbl; - hbl <= hgbl & hlbl; - hb <= (hbr | hbl) & vir; - vb <= (vbr | vbl) & hir; - border <= hb | vb; - end - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - cnt <= 10'h0; - hcnt <= 10'h0; - vcnt <= 10'h0; - end else begin - cnt <= (cnt<(VGA_CLK_DIV-1)) ? cnt + 10'h1 : 10'h0; - if(cnt==10'h0) begin - if(hcnt < H_PERIOD) begin - hcnt <= hcnt + 10'h1; - end else begin - hcnt <= 10'h0; - vcnt <= (vcnt=H_SYNCSTART && hcnt=V_SYNCSTART && vcnt - - - - - diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt b/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv b/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv deleted file mode 100644 index aca9290..0000000 --- a/hardware/Simulation_RiscvCPU/Vivado_Simulation/Simulation_RiscvCPU.srcs/sim_1/new/tb_core.sv +++ /dev/null @@ -1,72 +0,0 @@ -//-------------------------------------------------------------------------------------------------------------- -// This project runs RISC-V official ISA test -// see https://github.com/riscv/riscv-tests -//-------------------------------------------------------------------------------------------------------------- - -`timescale 1ns / 1ns - -module tb_core #( - // Specify the instruction&data stream file to be tested here - // We modified RISC-V official ISA test into 3 small tests (In path USTCRVSoC/hardware/Simulation_RiscvCPU/RISCV_RV32I_Test) - - // notice: this is the file-path in my computer, dont forget to modify it - parameter INSTRUCTION_STREAM_FILE = "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testA_InstructionStream.txt" - // "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testB_InstructionStream.txt" - // "E:\\FPGAcommon\\USTCRVSoC\\hardware\\Simulation_RiscvCPU\\RISCV_RV32I_Test\\testC_InstructionStream.txt" -)(); - -logic [31:0] ram [4096]; // this ram stores both instruction and data - -initial $readmemh(INSTRUCTION_STREAM_FILE, ram); - -logic clk = 1'b1, rst_n = 1'b0; -always #5 clk = ~clk; // 100MHz clock -initial #40 rst_n = 1'b1; - -naive_bus bus_masters[2](); -naive_bus bus_slaves [1](); - -// RV32I Core -core_top core_top_inst( - .clk ( clk ), - .rst_n ( rst_n ), - .i_boot_addr ( 0 ), - .instr_master ( bus_masters[1] ), - .data_master ( bus_masters[0] ) -); - -naive_bus_router #( - .N_MASTER ( 2 ), - .N_SLAVE ( 1 ), - .SLAVES_MASK ( { 32'h0000_ffff } ), - .SLAVES_BASE ( { 32'h0000_0000 } ) -) soc_bus_router_inst ( - .clk ( clk ), - .rst_n ( rst_n ), - .masters ( bus_masters ), - .slaves ( bus_slaves ) -); - -assign bus_slaves[0].rd_gnt = 1'b1; -assign bus_slaves[0].wr_gnt = 1'b1; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) - bus_slaves[0].rd_data <= 0; - else - bus_slaves[0].rd_data <= ram[bus_slaves[0].rd_addr[14:2]]; - -always @ (posedge clk or negedge rst_n) - if(~rst_n) begin - end else begin - if(bus_slaves[0].wr_be[0]) - ram[bus_slaves[0].wr_addr[14:2]][ 7: 0] <= bus_slaves[0].wr_data[ 7: 0]; - if(bus_slaves[0].wr_be[1]) - ram[bus_slaves[0].wr_addr[14:2]][15: 8] <= bus_slaves[0].wr_data[15: 8]; - if(bus_slaves[0].wr_be[2]) - ram[bus_slaves[0].wr_addr[14:2]][23:16] <= bus_slaves[0].wr_data[23:16]; - if(bus_slaves[0].wr_be[3]) - ram[bus_slaves[0].wr_addr[14:2]][31:24] <= bus_slaves[0].wr_data[31:24]; - end - -endmodule diff --git a/hardware/Simulation_SoC/Questsim/demo.tcl b/hardware/Simulation_SoC/Questsim/demo.tcl deleted file mode 100644 index a652a14..0000000 --- a/hardware/Simulation_SoC/Questsim/demo.tcl +++ /dev/null @@ -1,13 +0,0 @@ -quit -sim - -# source files -vlog -sv -incr tb_soc.sv ../../RTL/*.sv - -vsim -t ps -voptargs="+acc" work.tb_soc - -log -r /* -radix 16 - -do wave.do - -run 20us diff --git a/hardware/Simulation_SoC/Questsim/tb_soc.sv b/hardware/Simulation_SoC/Questsim/tb_soc.sv deleted file mode 100644 index 42907d3..0000000 --- a/hardware/Simulation_SoC/Questsim/tb_soc.sv +++ /dev/null @@ -1,24 +0,0 @@ -`timescale 1ns/1ns - -module tb_soc(); - -logic clk = 1'b1; -always #5 clk = ~clk; // 100MHz clock - -wire uart_tx, vga_hsync, vga_vsync; -wire [ 2:0] vga_pixel; - -soc_top soc_inst( - .clk ( clk ), - .isp_uart_rx ( 1'b1 ), - .isp_uart_tx ( uart_tx ), - .vga_hsync ( vga_hsync ), - .vga_vsync ( vga_vsync ), - .vga_red ( vga_pixel[2] ), - .vga_green ( vga_pixel[1] ), - .vga_blue ( vga_pixel[0] ) -); - -initial #800000 $stop; - -endmodule diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf deleted file mode 100644 index d4183f7..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,26 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e657770726f6a65637477697a6172645f646f5f6e6f745f737065636966795f736f75726365735f61745f746869735f74696d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:32:00:00 -eof:3418869837 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 8c9e80a..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,7 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e666f7274696d65:33:00:00 -eof:3580635558 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc deleted file mode 100644 index 3b35f9e..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/project.wpc +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf deleted file mode 100644 index 4c790ad..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr deleted file mode 100644 index 6931117..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.hw/Simulation_SoC.lpr +++ /dev/null @@ -1,6 +0,0 @@ - - - - - - diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv b/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv deleted file mode 100644 index 42907d3..0000000 --- a/hardware/Simulation_SoC/Vivado_Simulation/Simulation_SoC.srcs/sim_1/new/tb_soc.sv +++ /dev/null @@ -1,24 +0,0 @@ -`timescale 1ns/1ns - -module tb_soc(); - -logic clk = 1'b1; -always #5 clk = ~clk; // 100MHz clock - -wire uart_tx, vga_hsync, vga_vsync; -wire [ 2:0] vga_pixel; - -soc_top soc_inst( - .clk ( clk ), - .isp_uart_rx ( 1'b1 ), - .isp_uart_tx ( uart_tx ), - .vga_hsync ( vga_hsync ), - .vga_vsync ( vga_vsync ), - .vga_red ( vga_pixel[2] ), - .vga_green ( vga_pixel[1] ), - .vga_blue ( vga_pixel[0] ) -); - -initial #800000 $stop; - -endmodule diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf deleted file mode 100644 index f0b0fb4..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6670676163686f6f7365725f667067615f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:3631:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f6372656174655f70726f6a6563745f7375626469726563746f7279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6570726f7070616e656c735f73696d756c6174696f6e:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:32:00:00 -eof:219087460 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 27c7631..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,11 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:33:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:35:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:31:00:00 -eof:3044315420 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc deleted file mode 100644 index cbe628e..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:2 -6d6f64655f636f756e7465727c4755494d6f6465:1 -eof: diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf deleted file mode 100644 index 4c41ce2..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761333574696373673332342d314c:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:41727479375f555354435256536f435f746f70:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a323873:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313032312e3830394d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3639392e3838334d42:00:00 -eof:3717510150 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf deleted file mode 100644 index 227096f..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr deleted file mode 100644 index c52c42d..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.hw/USTCRVSoC-Arty7.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc deleted file mode 100644 index c2a2d01..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/constrs_1/new/Arty7.xdc +++ /dev/null @@ -1,35 +0,0 @@ - -## Clock signal -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=gclk[100] -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports { CLK100MHZ }]; - -##RGB LEDs -set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { LEDB[0] }]; #IO_L18N_T2_35 Sch=led0_b -#set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { LEDG[0] }]; #IO_L19N_T3_VREF_35 Sch=led0_g -#set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { LEDR[0] }]; #IO_L19P_T3_35 Sch=led0_r -set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { LEDB[1] }]; #IO_L20P_T3_35 Sch=led1_b -#set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { LEDG[1] }]; #IO_L21P_T3_DQS_35 Sch=led1_g -#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { LEDR[1] }]; #IO_L20N_T3_35 Sch=led1_r -#set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { LEDB[2] }]; #IO_L21N_T3_DQS_35 Sch=led2_b -#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { LEDG[2] }]; #IO_L22N_T3_35 Sch=led2_g -#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { LEDR[2] }]; #IO_L22P_T3_35 Sch=led2_r -#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { LEDB[3] }]; #IO_L23P_T3_35 Sch=led3_b -#set_property -dict { PACKAGE_PIN H6 IOSTANDARD LVCMOS33 } [get_ports { LEDG[3] }]; #IO_L24P_T3_35 Sch=led3_g -#set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { LEDR[3] }]; #IO_L23N_T3_35 Sch=led3_r - -##LEDs -set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L24N_T3_35 Sch=led[4] -set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_25_35 Sch=led[5] -set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L24P_T3_A01_D17_14 Sch=led[6] -set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L24N_T3_A00_D16_14 Sch=led[7] - -##USB-UART Interface -set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L19N_T3_VREF_16 Sch=uart_rxd_out -set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L14N_T2_SRCC_16 Sch=uart_txd_in - -##Quad SPI Flash -#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { FLASH_CS }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_cs -#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -#set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { FLASH_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] diff --git a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv b/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv deleted file mode 100644 index e327616..0000000 --- a/hardware/Vivado/Arty7/USTCRVSoC-Arty7.srcs/sources_1/new/Arty7_USTCRVSoC_top.sv +++ /dev/null @@ -1,45 +0,0 @@ - -module Arty7_USTCRVSoC_top( - input logic CLK100MHZ, - output logic [3:0] LED, - output logic [1:0] LEDB, - output logic UART_TX, - input logic UART_RX -); - -logic [26:0] cnt = 22'h0; - -soc_top #( - .UART_RX_CLK_DIV ( 217 ), - .UART_TX_CLK_DIV ( 868 ), - .VGA_CLK_DIV ( 2 ) -)soc_inst ( - .clk ( CLK100MHZ ), - .isp_uart_rx ( UART_RX ), - .isp_uart_tx ( UART_TX ), - .vga_hsync ( ), // there is no VGA port on Arty-7 - .vga_vsync ( ), // so leave these pins float - .vga_red ( ), - .vga_green ( ), - .vga_blue ( ) -); - -// show UART on blue LED (RGB LED on Arty-7) -assign LEDB = ~{UART_RX, UART_TX}; - -// blink leds to show that the clock is working -always @ (posedge CLK100MHZ) begin - case(cnt[26:24]) - 3'd0 : LED <= 4'b0001; - 3'd1 : LED <= 4'b0010; - 3'd2 : LED <= 4'b0100; - 3'd3 : LED <= 4'b1000; - 3'd4 : LED <= 4'b1000; - 3'd5 : LED <= 4'b0100; - 3'd6 : LED <= 4'b0010; - 3'd7 : LED <= 4'b0001; - endcase - cnt++; -end - -endmodule diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf deleted file mode 100644 index a8889f3..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/gui_handlers.wdf +++ /dev/null @@ -1,98 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f68646c5f6e65746c6973745f626c6f636b5f64657369676e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f63616e63656c:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:626173656469616c6f675f6f6b:3430:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636d646d73676469616c6f675f6f6b:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6d6d616e6473696e7075745f747970655f74636c5f636f6d6d616e645f68657265:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6164645f66696c6573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:636f7265747265657461626c6570616e656c5f636f72655f747265655f7461626c65:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:63726561746573726366696c656469616c6f675f66696c655f74797065:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:65787072756e7472656570616e656c5f6578705f72756e5f747265655f7461626c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:333239:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3738:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67657474696e6773746172746564766965775f6372656174655f6e65775f70726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f696e:3338:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:67726170686963616c766965775f7a6f6f6d5f6f7574:313035:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68636f6465656469746f725f7365617263685f746578745f636f6d626f5f626f78:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:68617264776172657472656570616e656c5f68617264776172655f747265655f7461626c65:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6970636f7265766965775f7461626265645f70616e65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6c6f676d6f6e69746f725f6d6f6e69746f72:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f66696c65:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f666c6f77:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f68656c70:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f7265706f7274:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f746f6f6c73:37:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f76696577:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e6d656e756d67725f77696e646f77:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e746f6f6c6261726d67725f72756e:3237:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d61696e77696e6d656e756d67725f6c61796f7574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d657373616765776974686f7074696f6e6469616c6f675f646f6e745f73686f775f746869735f6469616c6f675f616761696e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f7365766572697479:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d73677472656570616e656c5f6d6573736167655f766965775f74726565:3635:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f637269746963616c5f7761726e696e6773:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6d7367766965775f7761726e696e675f6d65737361676573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:6e65746c69737474726565766965775f6e65746c6973745f74726565:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6164645f736f7572636573:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f636c6f73655f736572766572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f676f746f5f6e65746c6973745f64657369676e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6c6963656e73655f6d616e616765:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f70726f6a6563745f73756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7265706f7274735f77696e646f77:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f62697467656e:3139:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f696d706c656d656e746174696f6e:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f72756e5f73796e746865736973:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f7365745f61735f746f70:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f627265616b:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72657374617274:38:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f6c6976655f72756e:3635:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72656c61756e6368:3139:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f7265736574:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:34:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f636f6465:3232:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f646576696365:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:706176696577735f70726f6a6563745f73756d6d617279:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f66616d696c795f63686f6f736572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7061727463686f6f7365725f7061727473:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f70726f6772616d:3236:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6772616d667067616469616c6f675f737065636966795f62697473747265616d5f66696c65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f6261636b67726f756e64:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f67726573736469616c6f675f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f63686f6f73655f70726f6a6563745f6c6f636174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a6563746e616d6563686f6f7365725f70726f6a6563745f6e616d65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d61727974696d696e6770616e656c5f70726f6a6563745f73756d6d6172795f74696d696e675f70616e656c5f746162626564:3137:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e6761646765745f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f6761646765745f746162626564:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:70726f6a65637473756d6d6172797574696c697a6174696f6e70616e656c5f70726f6a6563745f73756d6d6172795f7574696c697a6174696f6e5f70616e656c5f746162626564:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f64656c657465:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f70726f70657274696573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:726469636f6d6d616e64735f73657474696e6773:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72646976696577735f77617665666f726d5f766965776572:3735:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f72756e5f6761646765745f7461626265645f70616e65:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f6572726f72:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:72756e6761646765745f73686f775f7761726e696e675f616e645f6572726f725f6d657373616765735f696e5f6d65737361676573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f63616e63656c:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7361766570726f6a6563747574696c735f73617665:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6c69766572756e666f72636f6d705f737065636966795f74696d655f616e645f756e697473:36:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e6f626a6563747370616e656c5f73696d756c6174696f6e5f6f626a656374735f747265655f7461626c65:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73696d756c6174696f6e73636f70657370616e656c5f73696d756c6174655f73636f70655f7461626c65:3430:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6164645f68646c5f616e645f6e65746c6973745f66696c65735f746f5f796f75725f70726f6a656374:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726363686f6f73657270616e656c5f6372656174655f66696c65:32:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73726366696c6570726f7070616e656c735f73696d756c6174696f6e:3234:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f69705f686965726172636879:3233:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7372636d656e755f726566726573685f686965726172636879:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7374616c6572756e6469616c6f675f796573:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73746174656d6f6e69746f725f72657365745f72756e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:33:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:7461736b62616e6e65725f636c6f7365:35:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:746f756368706f696e747375727665796469616c6f675f6e6f:31:00:00 -70726f6a656374:76697661646f5f75736167655c6775695f68616e646c657273:77617665666f726d6e616d65747265655f77617665666f726d5f6e616d655f74726565:3135:00:00 -eof:921471974 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf deleted file mode 100644 index 64fdfeb..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/java_command_handlers.wdf +++ /dev/null @@ -1,31 +0,0 @@ -version:1 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:3134:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:3136:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f7365736572766572:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636f726576696577:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:637573746f6d697a65636f7265:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697464656c657465:3131:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6564697470726f70657274696573:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:3238:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6e657770726f6a656374:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3534:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:32:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:3239:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6a65637473756d6d617279:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7265706f72747574696c697a6174696f6e:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e696d706c656d656e746174696f6e:3135:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:3230:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:736574746f706e6f6465:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:3130:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e627265616b:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72656c61756e6368:3138:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72657374617274:38:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:34:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e666f7274696d65:3633:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f6f6c7373657474696e6773:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7570646174657265676964:31:00:00 -70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:32:00:00 -eof:2572897370 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc deleted file mode 100644 index abf739c..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/project.wpc +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -57656254616c6b5472616e736d697373696f6e417474656d70746564:13 -6d6f64655f636f756e7465727c4755494d6f6465:26 -eof: diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf deleted file mode 100644 index 8d82498..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis.wdf +++ /dev/null @@ -1,39 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:78633761313030746373673332342d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:4e65787973345f555354435256536f435f746f70:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 -73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30313a333073:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:313034342e3336374d42:00:00 -73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3732322e3134354d42:00:00 -eof:1614001231 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf deleted file mode 100644 index 227096f..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/synthesis_details.wdf +++ /dev/null @@ -1,3 +0,0 @@ -version:1 -73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 -eof:2511430288 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf deleted file mode 100644 index 4c790ad..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.cache/wt/xsim.wdf +++ /dev/null @@ -1,4 +0,0 @@ -version:1 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:6265686176696f72616c:00:00 -7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 -eof:2427094519 diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr deleted file mode 100644 index b30161e..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.hw/USTCRVSoC-nexys4.lpr +++ /dev/null @@ -1,8 +0,0 @@ - - - - - - - - diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt deleted file mode 100644 index 9015e04..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.ip_user_files/README.txt +++ /dev/null @@ -1 +0,0 @@ -The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc deleted file mode 100644 index 402f833..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/constrs_1/Nexys-A7-100T-Master.xdc +++ /dev/null @@ -1,192 +0,0 @@ -## This file is a general .xdc for the Nexys A7-100T -## To use it in a project: -## - uncomment the lines corresponding to used pins -## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project - -## Clock signal -set_property -dict { PACKAGE_PIN E3 IOSTANDARD LVCMOS33 } [get_ports { CLK100MHZ }]; #IO_L12P_T1_MRCC_35 Sch=clk100mhz -create_clock -add -name sys_clk_pin -period 10.00 -waveform {0 5} [get_ports {CLK100MHZ}]; - -## LEDs -set_property -dict { PACKAGE_PIN H17 IOSTANDARD LVCMOS33 } [get_ports { LED[0] }]; #IO_L18P_T2_A24_15 Sch=led[0] -set_property -dict { PACKAGE_PIN K15 IOSTANDARD LVCMOS33 } [get_ports { LED[1] }]; #IO_L24P_T3_RS1_15 Sch=led[1] -set_property -dict { PACKAGE_PIN J13 IOSTANDARD LVCMOS33 } [get_ports { LED[2] }]; #IO_L17N_T2_A25_15 Sch=led[2] -set_property -dict { PACKAGE_PIN N14 IOSTANDARD LVCMOS33 } [get_ports { LED[3] }]; #IO_L8P_T1_D11_14 Sch=led[3] -set_property -dict { PACKAGE_PIN R18 IOSTANDARD LVCMOS33 } [get_ports { LED[4] }]; #IO_L7P_T1_D09_14 Sch=led[4] -set_property -dict { PACKAGE_PIN V17 IOSTANDARD LVCMOS33 } [get_ports { LED[5] }]; #IO_L18N_T2_A11_D27_14 Sch=led[5] -set_property -dict { PACKAGE_PIN U17 IOSTANDARD LVCMOS33 } [get_ports { LED[6] }]; #IO_L17P_T2_A14_D30_14 Sch=led[6] -set_property -dict { PACKAGE_PIN U16 IOSTANDARD LVCMOS33 } [get_ports { LED[7] }]; #IO_L18P_T2_A12_D28_14 Sch=led[7] -set_property -dict { PACKAGE_PIN V16 IOSTANDARD LVCMOS33 } [get_ports { LED[8] }]; #IO_L16N_T2_A15_D31_14 Sch=led[8] -set_property -dict { PACKAGE_PIN T15 IOSTANDARD LVCMOS33 } [get_ports { LED[9] }]; #IO_L14N_T2_SRCC_14 Sch=led[9] -#set_property -dict { PACKAGE_PIN U14 IOSTANDARD LVCMOS33 } [get_ports { LED[10] }]; #IO_L22P_T3_A05_D21_14 Sch=led[10] -#set_property -dict { PACKAGE_PIN T16 IOSTANDARD LVCMOS33 } [get_ports { LED[11] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=led[11] -#set_property -dict { PACKAGE_PIN V15 IOSTANDARD LVCMOS33 } [get_ports { LED[12] }]; #IO_L16P_T2_CSI_B_14 Sch=led[12] -#set_property -dict { PACKAGE_PIN V14 IOSTANDARD LVCMOS33 } [get_ports { LED[13] }]; #IO_L22N_T3_A04_D20_14 Sch=led[13] -#set_property -dict { PACKAGE_PIN V12 IOSTANDARD LVCMOS33 } [get_ports { LED[14] }]; #IO_L20N_T3_A07_D23_14 Sch=led[14] -#set_property -dict { PACKAGE_PIN V11 IOSTANDARD LVCMOS33 } [get_ports { LED[15] }]; #IO_L21N_T3_DQS_A06_D22_14 Sch=led[15] - -## RGB LEDs -#set_property -dict { PACKAGE_PIN R12 IOSTANDARD LVCMOS33 } [get_ports { LED16_B }]; #IO_L5P_T0_D06_14 Sch=led16_b -#set_property -dict { PACKAGE_PIN M16 IOSTANDARD LVCMOS33 } [get_ports { LED16_G }]; #IO_L10P_T1_D14_14 Sch=led16_g -#set_property -dict { PACKAGE_PIN N15 IOSTANDARD LVCMOS33 } [get_ports { LED16_R }]; #IO_L11P_T1_SRCC_14 Sch=led16_r -#set_property -dict { PACKAGE_PIN G14 IOSTANDARD LVCMOS33 } [get_ports { LED17_B }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=led17_b -#set_property -dict { PACKAGE_PIN R11 IOSTANDARD LVCMOS33 } [get_ports { LED17_G }]; #IO_0_14 Sch=led17_g -#set_property -dict { PACKAGE_PIN N16 IOSTANDARD LVCMOS33 } [get_ports { LED17_R }]; #IO_L11N_T1_SRCC_14 Sch=led17_r - -##7 segment display -#set_property -dict { PACKAGE_PIN T10 IOSTANDARD LVCMOS33 } [get_ports { CA }]; #IO_L24N_T3_A00_D16_14 Sch=ca -#set_property -dict { PACKAGE_PIN R10 IOSTANDARD LVCMOS33 } [get_ports { CB }]; #IO_25_14 Sch=cb -#set_property -dict { PACKAGE_PIN K16 IOSTANDARD LVCMOS33 } [get_ports { CC }]; #IO_25_15 Sch=cc -#set_property -dict { PACKAGE_PIN K13 IOSTANDARD LVCMOS33 } [get_ports { CD }]; #IO_L17P_T2_A26_15 Sch=cd -#set_property -dict { PACKAGE_PIN P15 IOSTANDARD LVCMOS33 } [get_ports { CE }]; #IO_L13P_T2_MRCC_14 Sch=ce -#set_property -dict { PACKAGE_PIN T11 IOSTANDARD LVCMOS33 } [get_ports { CF }]; #IO_L19P_T3_A10_D26_14 Sch=cf -#set_property -dict { PACKAGE_PIN L18 IOSTANDARD LVCMOS33 } [get_ports { CG }]; #IO_L4P_T0_D04_14 Sch=cg -#set_property -dict { PACKAGE_PIN H15 IOSTANDARD LVCMOS33 } [get_ports { DP }]; #IO_L19N_T3_A21_VREF_15 Sch=dp -#set_property -dict { PACKAGE_PIN J17 IOSTANDARD LVCMOS33 } [get_ports { AN[0] }]; #IO_L23P_T3_FOE_B_15 Sch=an[0] -#set_property -dict { PACKAGE_PIN J18 IOSTANDARD LVCMOS33 } [get_ports { AN[1] }]; #IO_L23N_T3_FWE_B_15 Sch=an[1] -#set_property -dict { PACKAGE_PIN T9 IOSTANDARD LVCMOS33 } [get_ports { AN[2] }]; #IO_L24P_T3_A01_D17_14 Sch=an[2] -#set_property -dict { PACKAGE_PIN J14 IOSTANDARD LVCMOS33 } [get_ports { AN[3] }]; #IO_L19P_T3_A22_15 Sch=an[3] -#set_property -dict { PACKAGE_PIN P14 IOSTANDARD LVCMOS33 } [get_ports { AN[4] }]; #IO_L8N_T1_D12_14 Sch=an[4] -#set_property -dict { PACKAGE_PIN T14 IOSTANDARD LVCMOS33 } [get_ports { AN[5] }]; #IO_L14P_T2_SRCC_14 Sch=an[5] -#set_property -dict { PACKAGE_PIN K2 IOSTANDARD LVCMOS33 } [get_ports { AN[6] }]; #IO_L23P_T3_35 Sch=an[6] -#set_property -dict { PACKAGE_PIN U13 IOSTANDARD LVCMOS33 } [get_ports { AN[7] }]; #IO_L23N_T3_A02_D18_14 Sch=an[7] - -##Buttons -#set_property -dict { PACKAGE_PIN C12 IOSTANDARD LVCMOS33 } [get_ports { CPU_RESETN }]; #IO_L3P_T0_DQS_AD1P_15 Sch=cpu_resetn -#set_property -dict { PACKAGE_PIN N17 IOSTANDARD LVCMOS33 } [get_ports { BTNC }]; #IO_L9P_T1_DQS_14 Sch=btnc -#set_property -dict { PACKAGE_PIN M18 IOSTANDARD LVCMOS33 } [get_ports { BTNU }]; #IO_L4N_T0_D05_14 Sch=btnu -#set_property -dict { PACKAGE_PIN P17 IOSTANDARD LVCMOS33 } [get_ports { BTNL }]; #IO_L12P_T1_MRCC_14 Sch=btnl -#set_property -dict { PACKAGE_PIN M17 IOSTANDARD LVCMOS33 } [get_ports { BTNR }]; #IO_L10N_T1_D15_14 Sch=btnr -#set_property -dict { PACKAGE_PIN P18 IOSTANDARD LVCMOS33 } [get_ports { BTND }]; #IO_L9N_T1_DQS_D13_14 Sch=btnd - - -##Pmod Headers -##Pmod Header JA -#set_property -dict { PACKAGE_PIN C17 IOSTANDARD LVCMOS33 } [get_ports { JA[1] }]; #IO_L20N_T3_A19_15 Sch=ja[1] -#set_property -dict { PACKAGE_PIN D18 IOSTANDARD LVCMOS33 } [get_ports { JA[2] }]; #IO_L21N_T3_DQS_A18_15 Sch=ja[2] -#set_property -dict { PACKAGE_PIN E18 IOSTANDARD LVCMOS33 } [get_ports { JA[3] }]; #IO_L21P_T3_DQS_15 Sch=ja[3] -#set_property -dict { PACKAGE_PIN G17 IOSTANDARD LVCMOS33 } [get_ports { JA[4] }]; #IO_L18N_T2_A23_15 Sch=ja[4] -#set_property -dict { PACKAGE_PIN D17 IOSTANDARD LVCMOS33 } [get_ports { JA[7] }]; #IO_L16N_T2_A27_15 Sch=ja[7] -#set_property -dict { PACKAGE_PIN E17 IOSTANDARD LVCMOS33 } [get_ports { JA[8] }]; #IO_L16P_T2_A28_15 Sch=ja[8] -#set_property -dict { PACKAGE_PIN F18 IOSTANDARD LVCMOS33 } [get_ports { JA[9] }]; #IO_L22N_T3_A16_15 Sch=ja[9] -#set_property -dict { PACKAGE_PIN G18 IOSTANDARD LVCMOS33 } [get_ports { JA[10] }]; #IO_L22P_T3_A17_15 Sch=ja[10] - -##Pmod Header JB -#set_property -dict { PACKAGE_PIN D14 IOSTANDARD LVCMOS33 } [get_ports { JB[1] }]; #IO_L1P_T0_AD0P_15 Sch=jb[1] -#set_property -dict { PACKAGE_PIN F16 IOSTANDARD LVCMOS33 } [get_ports { JB[2] }]; #IO_L14N_T2_SRCC_15 Sch=jb[2] -#set_property -dict { PACKAGE_PIN G16 IOSTANDARD LVCMOS33 } [get_ports { JB[3] }]; #IO_L13N_T2_MRCC_15 Sch=jb[3] -#set_property -dict { PACKAGE_PIN H14 IOSTANDARD LVCMOS33 } [get_ports { JB[4] }]; #IO_L15P_T2_DQS_15 Sch=jb[4] -#set_property -dict { PACKAGE_PIN E16 IOSTANDARD LVCMOS33 } [get_ports { JB[7] }]; #IO_L11N_T1_SRCC_15 Sch=jb[7] -#set_property -dict { PACKAGE_PIN F13 IOSTANDARD LVCMOS33 } [get_ports { JB[8] }]; #IO_L5P_T0_AD9P_15 Sch=jb[8] -#set_property -dict { PACKAGE_PIN G13 IOSTANDARD LVCMOS33 } [get_ports { JB[9] }]; #IO_0_15 Sch=jb[9] -#set_property -dict { PACKAGE_PIN H16 IOSTANDARD LVCMOS33 } [get_ports { JB[10] }]; #IO_L13P_T2_MRCC_15 Sch=jb[10] - -##Pmod Header JC -#set_property -dict { PACKAGE_PIN K1 IOSTANDARD LVCMOS33 } [get_ports { JC[1] }]; #IO_L23N_T3_35 Sch=jc[1] -#set_property -dict { PACKAGE_PIN F6 IOSTANDARD LVCMOS33 } [get_ports { JC[2] }]; #IO_L19N_T3_VREF_35 Sch=jc[2] -#set_property -dict { PACKAGE_PIN J2 IOSTANDARD LVCMOS33 } [get_ports { JC[3] }]; #IO_L22N_T3_35 Sch=jc[3] -#set_property -dict { PACKAGE_PIN G6 IOSTANDARD LVCMOS33 } [get_ports { JC[4] }]; #IO_L19P_T3_35 Sch=jc[4] -#set_property -dict { PACKAGE_PIN E7 IOSTANDARD LVCMOS33 } [get_ports { JC[7] }]; #IO_L6P_T0_35 Sch=jc[7] -#set_property -dict { PACKAGE_PIN J3 IOSTANDARD LVCMOS33 } [get_ports { JC[8] }]; #IO_L22P_T3_35 Sch=jc[8] -#set_property -dict { PACKAGE_PIN J4 IOSTANDARD LVCMOS33 } [get_ports { JC[9] }]; #IO_L21P_T3_DQS_35 Sch=jc[9] -#set_property -dict { PACKAGE_PIN E6 IOSTANDARD LVCMOS33 } [get_ports { JC[10] }]; #IO_L5P_T0_AD13P_35 Sch=jc[10] - -##Pmod Header JD -#set_property -dict { PACKAGE_PIN H4 IOSTANDARD LVCMOS33 } [get_ports { JD[1] }]; #IO_L21N_T3_DQS_35 Sch=jd[1] -#set_property -dict { PACKAGE_PIN H1 IOSTANDARD LVCMOS33 } [get_ports { JD[2] }]; #IO_L17P_T2_35 Sch=jd[2] -#set_property -dict { PACKAGE_PIN G1 IOSTANDARD LVCMOS33 } [get_ports { JD[3] }]; #IO_L17N_T2_35 Sch=jd[3] -#set_property -dict { PACKAGE_PIN G3 IOSTANDARD LVCMOS33 } [get_ports { JD[4] }]; #IO_L20N_T3_35 Sch=jd[4] -#set_property -dict { PACKAGE_PIN H2 IOSTANDARD LVCMOS33 } [get_ports { JD[7] }]; #IO_L15P_T2_DQS_35 Sch=jd[7] -#set_property -dict { PACKAGE_PIN G4 IOSTANDARD LVCMOS33 } [get_ports { JD[8] }]; #IO_L20P_T3_35 Sch=jd[8] -#set_property -dict { PACKAGE_PIN G2 IOSTANDARD LVCMOS33 } [get_ports { JD[9] }]; #IO_L15N_T2_DQS_35 Sch=jd[9] -#set_property -dict { PACKAGE_PIN F3 IOSTANDARD LVCMOS33 } [get_ports { JD[10] }]; #IO_L13N_T2_MRCC_35 Sch=jd[10] - -##Pmod Header JXADC -#set_property -dict { PACKAGE_PIN A14 IOSTANDARD LVCMOS33 } [get_ports { XA_N[1] }]; #IO_L9N_T1_DQS_AD3N_15 Sch=xa_n[1] -#set_property -dict { PACKAGE_PIN A13 IOSTANDARD LVCMOS33 } [get_ports { XA_P[1] }]; #IO_L9P_T1_DQS_AD3P_15 Sch=xa_p[1] -#set_property -dict { PACKAGE_PIN A16 IOSTANDARD LVCMOS33 } [get_ports { XA_N[2] }]; #IO_L8N_T1_AD10N_15 Sch=xa_n[2] -#set_property -dict { PACKAGE_PIN A15 IOSTANDARD LVCMOS33 } [get_ports { XA_P[2] }]; #IO_L8P_T1_AD10P_15 Sch=xa_p[2] -#set_property -dict { PACKAGE_PIN B17 IOSTANDARD LVCMOS33 } [get_ports { XA_N[3] }]; #IO_L7N_T1_AD2N_15 Sch=xa_n[3] -#set_property -dict { PACKAGE_PIN B16 IOSTANDARD LVCMOS33 } [get_ports { XA_P[3] }]; #IO_L7P_T1_AD2P_15 Sch=xa_p[3] -#set_property -dict { PACKAGE_PIN A18 IOSTANDARD LVCMOS33 } [get_ports { XA_N[4] }]; #IO_L10N_T1_AD11N_15 Sch=xa_n[4] -#set_property -dict { PACKAGE_PIN B18 IOSTANDARD LVCMOS33 } [get_ports { XA_P[4] }]; #IO_L10P_T1_AD11P_15 Sch=xa_p[4] - -##VGA Connector -set_property -dict { PACKAGE_PIN A3 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[0] }]; #IO_L8N_T1_AD14N_35 Sch=vga_r[0] -set_property -dict { PACKAGE_PIN B4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[1] }]; #IO_L7N_T1_AD6N_35 Sch=vga_r[1] -set_property -dict { PACKAGE_PIN C5 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[2] }]; #IO_L1N_T0_AD4N_35 Sch=vga_r[2] -set_property -dict { PACKAGE_PIN A4 IOSTANDARD LVCMOS33 } [get_ports { VGA_R[3] }]; #IO_L8P_T1_AD14P_35 Sch=vga_r[3] -set_property -dict { PACKAGE_PIN C6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[0] }]; #IO_L1P_T0_AD4P_35 Sch=vga_g[0] -set_property -dict { PACKAGE_PIN A5 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=vga_g[1] -set_property -dict { PACKAGE_PIN B6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[2] }]; #IO_L2N_T0_AD12N_35 Sch=vga_g[2] -set_property -dict { PACKAGE_PIN A6 IOSTANDARD LVCMOS33 } [get_ports { VGA_G[3] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=vga_g[3] -set_property -dict { PACKAGE_PIN B7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[0] }]; #IO_L2P_T0_AD12P_35 Sch=vga_b[0] -set_property -dict { PACKAGE_PIN C7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[1] }]; #IO_L4N_T0_35 Sch=vga_b[1] -set_property -dict { PACKAGE_PIN D7 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[2] }]; #IO_L6N_T0_VREF_35 Sch=vga_b[2] -set_property -dict { PACKAGE_PIN D8 IOSTANDARD LVCMOS33 } [get_ports { VGA_B[3] }]; #IO_L4P_T0_35 Sch=vga_b[3] -set_property -dict { PACKAGE_PIN B11 IOSTANDARD LVCMOS33 } [get_ports { VGA_HS }]; #IO_L4P_T0_15 Sch=vga_hs -set_property -dict { PACKAGE_PIN B12 IOSTANDARD LVCMOS33 } [get_ports { VGA_VS }]; #IO_L3N_T0_DQS_AD1N_15 Sch=vga_vs - -##Micro SD Connector -#set_property -dict { PACKAGE_PIN E2 IOSTANDARD LVCMOS33 } [get_ports { SD_RESET }]; #IO_L14P_T2_SRCC_35 Sch=sd_reset -#set_property -dict { PACKAGE_PIN A1 IOSTANDARD LVCMOS33 } [get_ports { SD_CD }]; #IO_L9N_T1_DQS_AD7N_35 Sch=sd_cd -#set_property -dict { PACKAGE_PIN B1 IOSTANDARD LVCMOS33 } [get_ports { SD_SCK }]; #IO_L9P_T1_DQS_AD7P_35 Sch=sd_sck -#set_property -dict { PACKAGE_PIN C1 IOSTANDARD LVCMOS33 } [get_ports { SD_CMD }]; #IO_L16N_T2_35 Sch=sd_cmd -#set_property -dict { PACKAGE_PIN C2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[0] }]; #IO_L16P_T2_35 Sch=sd_dat[0] -#set_property -dict { PACKAGE_PIN E1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[1] }]; #IO_L18N_T2_35 Sch=sd_dat[1] -#set_property -dict { PACKAGE_PIN F1 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[2] }]; #IO_L18P_T2_35 Sch=sd_dat[2] -#set_property -dict { PACKAGE_PIN D2 IOSTANDARD LVCMOS33 } [get_ports { SD_DAT[3] }]; #IO_L14N_T2_SRCC_35 Sch=sd_dat[3] - -##Accelerometer -#set_property -dict { PACKAGE_PIN E15 IOSTANDARD LVCMOS33 } [get_ports { ACL_MISO }]; #IO_L11P_T1_SRCC_15 Sch=acl_miso -#set_property -dict { PACKAGE_PIN F14 IOSTANDARD LVCMOS33 } [get_ports { ACL_MOSI }]; #IO_L5N_T0_AD9N_15 Sch=acl_mosi -#set_property -dict { PACKAGE_PIN F15 IOSTANDARD LVCMOS33 } [get_ports { ACL_SCLK }]; #IO_L14P_T2_SRCC_15 Sch=acl_sclk -#set_property -dict { PACKAGE_PIN D15 IOSTANDARD LVCMOS33 } [get_ports { ACL_CSN }]; #IO_L12P_T1_MRCC_15 Sch=acl_csn -#set_property -dict { PACKAGE_PIN B13 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[1] }]; #IO_L2P_T0_AD8P_15 Sch=acl_int[1] -#set_property -dict { PACKAGE_PIN C16 IOSTANDARD LVCMOS33 } [get_ports { ACL_INT[2] }]; #IO_L20P_T3_A20_15 Sch=acl_int[2] - -##Temperature Sensor -#set_property -dict { PACKAGE_PIN C14 IOSTANDARD LVCMOS33 } [get_ports { TMP_SCL }]; #IO_L1N_T0_AD0N_15 Sch=tmp_scl -#set_property -dict { PACKAGE_PIN C15 IOSTANDARD LVCMOS33 } [get_ports { TMP_SDA }]; #IO_L12N_T1_MRCC_15 Sch=tmp_sda -#set_property -dict { PACKAGE_PIN D13 IOSTANDARD LVCMOS33 } [get_ports { TMP_INT }]; #IO_L6N_T0_VREF_15 Sch=tmp_int -#set_property -dict { PACKAGE_PIN B14 IOSTANDARD LVCMOS33 } [get_ports { TMP_CT }]; #IO_L2N_T0_AD8N_15 Sch=tmp_ct - -##Omnidirectional Microphone -#set_property -dict { PACKAGE_PIN J5 IOSTANDARD LVCMOS33 } [get_ports { M_CLK }]; #IO_25_35 Sch=m_clk -#set_property -dict { PACKAGE_PIN H5 IOSTANDARD LVCMOS33 } [get_ports { M_DATA }]; #IO_L24N_T3_35 Sch=m_data -#set_property -dict { PACKAGE_PIN F5 IOSTANDARD LVCMOS33 } [get_ports { M_LRSEL }]; #IO_0_35 Sch=m_lrsel - -##PWM Audio Amplifier -#set_property -dict { PACKAGE_PIN A11 IOSTANDARD LVCMOS33 } [get_ports { AUD_PWM }]; #IO_L4N_T0_15 Sch=aud_pwm -#set_property -dict { PACKAGE_PIN D12 IOSTANDARD LVCMOS33 } [get_ports { AUD_SD }]; #IO_L6P_T0_15 Sch=aud_sd - -##USB-RS232 Interface -set_property -dict { PACKAGE_PIN C4 IOSTANDARD LVCMOS33 } [get_ports { UART_RX }]; #IO_L7P_T1_AD6P_35 Sch=uart_txd_in -set_property -dict { PACKAGE_PIN D4 IOSTANDARD LVCMOS33 } [get_ports { UART_TX }]; #IO_L11N_T1_SRCC_35 Sch=uart_rxd_out -#set_property -dict { PACKAGE_PIN D3 IOSTANDARD LVCMOS33 } [get_ports { UART_CTS }]; #IO_L12N_T1_MRCC_35 Sch=uart_cts -#set_property -dict { PACKAGE_PIN E5 IOSTANDARD LVCMOS33 } [get_ports { UART_RTS }]; #IO_L5N_T0_AD13N_35 Sch=uart_rts - -##USB HID (PS/2) -#set_property -dict { PACKAGE_PIN F4 IOSTANDARD LVCMOS33 } [get_ports { PS2_CLK }]; #IO_L13P_T2_MRCC_35 Sch=ps2_clk -#set_property -dict { PACKAGE_PIN B2 IOSTANDARD LVCMOS33 } [get_ports { PS2_DATA }]; #IO_L10N_T1_AD15N_35 Sch=ps2_data - -##SMSC Ethernet PHY -#set_property -dict { PACKAGE_PIN C9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDC }]; #IO_L11P_T1_SRCC_16 Sch=eth_mdc -#set_property -dict { PACKAGE_PIN A9 IOSTANDARD LVCMOS33 } [get_ports { ETH_MDIO }]; #IO_L14N_T2_SRCC_16 Sch=eth_mdio -#set_property -dict { PACKAGE_PIN B3 IOSTANDARD LVCMOS33 } [get_ports { ETH_RSTN }]; #IO_L10P_T1_AD15P_35 Sch=eth_rstn -#set_property -dict { PACKAGE_PIN D9 IOSTANDARD LVCMOS33 } [get_ports { ETH_CRSDV }]; #IO_L6N_T0_VREF_16 Sch=eth_crsdv -#set_property -dict { PACKAGE_PIN C10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXERR }]; #IO_L13N_T2_MRCC_16 Sch=eth_rxerr -#set_property -dict { PACKAGE_PIN C11 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[0] }]; #IO_L13P_T2_MRCC_16 Sch=eth_rxd[0] -#set_property -dict { PACKAGE_PIN D10 IOSTANDARD LVCMOS33 } [get_ports { ETH_RXD[1] }]; #IO_L19N_T3_VREF_16 Sch=eth_rxd[1] -#set_property -dict { PACKAGE_PIN B9 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXEN }]; #IO_L11N_T1_SRCC_16 Sch=eth_txen -#set_property -dict { PACKAGE_PIN A10 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[0] }]; #IO_L14P_T2_SRCC_16 Sch=eth_txd[0] -#set_property -dict { PACKAGE_PIN A8 IOSTANDARD LVCMOS33 } [get_ports { ETH_TXD[1] }]; #IO_L12N_T1_MRCC_16 Sch=eth_txd[1] -#set_property -dict { PACKAGE_PIN D5 IOSTANDARD LVCMOS33 } [get_ports { ETH_REFCLK }]; #IO_L11P_T1_SRCC_35 Sch=eth_refclk -#set_property -dict { PACKAGE_PIN B8 IOSTANDARD LVCMOS33 } [get_ports { ETH_INTN }]; #IO_L12P_T1_MRCC_16 Sch=eth_intn - -##Quad SPI Flash -#set_property -dict { PACKAGE_PIN K17 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[0] }]; #IO_L1P_T0_D00_MOSI_14 Sch=qspi_dq[0] -#set_property -dict { PACKAGE_PIN K18 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[1] }]; #IO_L1N_T0_D01_DIN_14 Sch=qspi_dq[1] -#set_property -dict { PACKAGE_PIN L14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[2] }]; #IO_L2P_T0_D02_14 Sch=qspi_dq[2] -#set_property -dict { PACKAGE_PIN M14 IOSTANDARD LVCMOS33 } [get_ports { QSPI_DQ[3] }]; #IO_L2N_T0_D03_14 Sch=qspi_dq[3] -#set_property -dict { PACKAGE_PIN L13 IOSTANDARD LVCMOS33 } [get_ports { QSPI_CSN }]; #IO_L6P_T0_FCS_B_14 Sch=qspi_csn diff --git a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv b/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv deleted file mode 100644 index 744b1aa..0000000 --- a/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.srcs/sources_1/Nexys4_USTCRVSoC_top.sv +++ /dev/null @@ -1,43 +0,0 @@ - -module Nexys4_USTCRVSoC_top( - input logic CLK100MHZ, - output logic [9:0] LED, - output logic UART_TX, - input logic UART_RX, - output logic VGA_HS, VGA_VS, - output logic [3:0] VGA_R, VGA_G, VGA_B -); - -logic vga_red, vga_green, vga_blue; -assign {VGA_R, VGA_G, VGA_B} = {{4{vga_red}}, {4{vga_green}}, {4{vga_blue}}}; - -soc_top #( - .UART_RX_CLK_DIV ( 217 ), - .UART_TX_CLK_DIV ( 868 ), - .VGA_CLK_DIV ( 2 ) -) soc_inst ( - .clk ( CLK100MHZ ), - .isp_uart_rx ( UART_RX ), - .isp_uart_tx ( UART_TX ), - .vga_hsync ( VGA_HS ), - .vga_vsync ( VGA_VS ), - .vga_red ( vga_red ), - .vga_green ( vga_green ), - .vga_blue ( vga_blue ) -); - -// Show UART on LED -assign LED[9:8] = ~{UART_RX, UART_TX}; - -// blink LED to show that clock is running -reg [21:0] cnt = 22'h0; -reg [ 7:0] flow = 7'h0; -always @ (posedge CLK100MHZ) begin - cnt <= cnt + 22'h1; - if(cnt==22'h0) - flow <= {flow[6:0], ~flow[7]}; - end - -assign LED[7:0] = flow; - -endmodule diff --git a/hardware/Vivado/Nexys4/vivado.jou b/hardware/Vivado/Nexys4/vivado.jou deleted file mode 100644 index 9a58b93..0000000 --- a/hardware/Vivado/Nexys4/vivado.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Sep 1 20:20:24 2019 -# Process ID: 14792 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4 -# Command line: vivado.exe E:\FPGAcommon\USTCRVSoC\hardware\Vivado\Nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado.log b/hardware/Vivado/Nexys4/vivado.log deleted file mode 100644 index f7b8826..0000000 --- a/hardware/Vivado/Nexys4/vivado.log +++ /dev/null @@ -1,65 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2018.3 (64-bit) -# SW Build 2405991 on Thu Dec 6 23:38:27 MST 2018 -# IP Build 2404404 on Fri Dec 7 01:43:56 MST 2018 -# Start of session at: Sun Sep 1 20:20:24 2019 -# Process ID: 14792 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4 -# Command line: vivado.exe E:\FPGAcommon\USTCRVSoC\hardware\Vivado\Nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/Nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/char8x16_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/char8x16_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_alu.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_alu.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_id_stage.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_id_stage.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_instr_bus_adapter.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_instr_bus_adapter.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_regfile.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_regfile.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/dual_read_port_ram_32x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/instr_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/instr_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/isp_uart.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/isp_uart.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus_router.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus_router.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram128B.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram128B.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/soc_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/soc_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_rx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_rx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_tx_line.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_tx_line.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/user_uart_tx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/user_uart_tx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/vga_char_86x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/vga_char_86x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/video_ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/video_ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/char8x16_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/char8x16_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_alu.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_alu.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_id_stage.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_id_stage.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_instr_bus_adapter.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_instr_bus_adapter.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_regfile.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_regfile.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/core_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/core_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/dual_read_port_ram_32x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/dual_read_port_ram_32x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/instr_rom.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/instr_rom.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/isp_uart.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/isp_uart.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/naive_bus_router.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/naive_bus_router.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram128B.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram128B.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/ram_bus_wrapper.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/ram_bus_wrapper.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/soc_top.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/soc_top.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_rx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_rx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/uart_tx_line.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/uart_tx_line.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/user_uart_tx.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/user_uart_tx.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/vga_char_86x32.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/vga_char_86x32.sv' instead. -WARNING: [Project 1-312] File not found as 'E:/FPGAcommon/USTCRVSoC/RTL/video_ram.sv'; using path 'E:/FPGAcommon/USTCRVSoC/hardware/RTL/video_ram.sv' instead. -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Vivado2018/Vivado/2018.3/data/ip'. -open_project: Time (s): cpu = 00:00:13 ; elapsed = 00:00:06 . Memory (MB): peak = 779.813 ; gain = 151.820 -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sun Sep 1 20:21:31 2019... diff --git a/hardware/Vivado/Nexys4/vivado_12448.backup.jou b/hardware/Vivado/Nexys4/vivado_12448.backup.jou deleted file mode 100644 index ba891ef..0000000 --- a/hardware/Vivado/Nexys4/vivado_12448.backup.jou +++ /dev/null @@ -1,35 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Mon Mar 11 16:18:42 2019 -# Process ID: 12448 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12796 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -launch_runs impl_1 -to_step write_bitstream -jobs 8 -wait_on_run impl_1 -open_hw -connect_hw_server -open_hw_target -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] diff --git a/hardware/Vivado/Nexys4/vivado_12448.backup.log b/hardware/Vivado/Nexys4/vivado_12448.backup.log deleted file mode 100644 index c54658f..0000000 --- a/hardware/Vivado/Nexys4/vivado_12448.backup.log +++ /dev/null @@ -1,70 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Mon Mar 11 16:18:42 2019 -# Process ID: 12448 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent12796 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:06 . Memory (MB): peak = 852.980 ; gain = 139.285 -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Mon Mar 11 16:19:50 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Mon Mar 11 16:21:52 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -launch_runs impl_1 -jobs 8 -[Mon Mar 11 16:24:05 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -launch_runs impl_1 -to_step write_bitstream -jobs 8 -[Mon Mar 11 16:26:31 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -open_hw -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B5DFA -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -exit -INFO: [Common 17-206] Exiting Vivado at Mon Mar 11 16:29:40 2019... diff --git a/hardware/Vivado/Nexys4/vivado_17980.backup.jou b/hardware/Vivado/Nexys4/vivado_17980.backup.jou deleted file mode 100644 index 1cf832c..0000000 --- a/hardware/Vivado/Nexys4/vivado_17980.backup.jou +++ /dev/null @@ -1,32 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Tue Mar 12 00:06:43 2019 -# Process ID: 17980 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent15368 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv] -no_script -reset -force -quiet -remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -add_files -norecurse E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -update_compile_order -fileset sources_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v] -no_script -reset -force -quiet -remove_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -reset_run synth_1 -launch_runs synth_1 -jobs 8 -wait_on_run synth_1 -launch_runs impl_1 -jobs 8 -wait_on_run impl_1 -launch_runs impl_1 -to_step write_bitstream -jobs 8 -wait_on_run impl_1 diff --git a/hardware/Vivado/Nexys4/vivado_17980.backup.log b/hardware/Vivado/Nexys4/vivado_17980.backup.log deleted file mode 100644 index 92d4cd9..0000000 --- a/hardware/Vivado/Nexys4/vivado_17980.backup.log +++ /dev/null @@ -1,46 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Tue Mar 12 00:06:43 2019 -# Process ID: 17980 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent15368 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -CRITICAL WARNING: [Project 1-19] Could not find the file 'E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv'. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'C:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:06 . Memory (MB): peak = 862.133 ; gain = 140.059 -update_compile_order -fileset sources_1 -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Tue Mar 12 00:07:28 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -export_ip_user_files -of_objects [get_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv] -no_script -reset -force -quiet -remove_files E:/work-Lab/USTCRVSoC/hardware/RTL/core_ex_branch_judge.sv -launch_runs impl_1 -jobs 8 -[Tue Mar 12 00:09:58 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -add_files -norecurse E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -update_compile_order -fileset sources_1 -export_ip_user_files -of_objects [get_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v] -no_script -reset -force -quiet -remove_files E:/work-Lab/RISCV-Pipline-CPU/1_VerilogSourceCode/1_CPUCore_src/BRAMModule/FakeCache.v -reset_run synth_1 -launch_runs synth_1 -jobs 8 -[Tue Mar 12 00:22:48 2019] Launched synth_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/synth_1/runme.log -launch_runs impl_1 -jobs 8 -[Tue Mar 12 00:31:54 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -launch_runs impl_1 -to_step write_bitstream -jobs 8 -[Tue Mar 12 00:43:16 2019] Launched impl_1... -Run output will be captured here: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/runme.log -exit -INFO: [Common 17-206] Exiting Vivado at Tue Mar 12 13:15:09 2019... diff --git a/hardware/Vivado/Nexys4/vivado_20220.backup.jou b/hardware/Vivado/Nexys4/vivado_20220.backup.jou deleted file mode 100644 index c7dffb2..0000000 --- a/hardware/Vivado/Nexys4/vivado_20220.backup.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:34:02 2019 -# Process ID: 20220 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17164 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado_20220.backup.log b/hardware/Vivado/Nexys4/vivado_20220.backup.log deleted file mode 100644 index cbc2deb..0000000 --- a/hardware/Vivado/Nexys4/vivado_20220.backup.log +++ /dev/null @@ -1,23 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:34:02 2019 -# Process ID: 20220 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent17164 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:11 ; elapsed = 00:00:09 . Memory (MB): peak = 827.039 ; gain = 88.969 -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sat Jul 20 15:35:08 2019... diff --git a/hardware/Vivado/Nexys4/vivado_27748.backup.jou b/hardware/Vivado/Nexys4/vivado_27748.backup.jou deleted file mode 100644 index 1f23278..0000000 --- a/hardware/Vivado/Nexys4/vivado_27748.backup.jou +++ /dev/null @@ -1,41 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Thu Apr 11 23:30:43 2019 -# Process ID: 27748 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent69896 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 -open_hw -connect_hw_server -open_hw_target -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -current_hw_device [get_hw_devices xc6slx16_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc6slx16_0] 0] -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -disconnect_hw_server localhost:3121 -connect_hw_server -open_hw_target -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] diff --git a/hardware/Vivado/Nexys4/vivado_27748.backup.log b/hardware/Vivado/Nexys4/vivado_27748.backup.log deleted file mode 100644 index 8c98415..0000000 --- a/hardware/Vivado/Nexys4/vivado_27748.backup.log +++ /dev/null @@ -1,170 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Thu Apr 11 23:30:43 2019 -# Process ID: 27748 -# Current directory: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent69896 E:\work-Lab\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -open_project: Time (s): cpu = 00:00:10 ; elapsed = 00:00:11 . Memory (MB): peak = 786.871 ; gain = 115.031 -update_compile_order -fileset sources_1 -open_hw -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -current_hw_device [get_hw_devices xc7a100t_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -INFO: [Labtools 27-2222] Launching hw_server... -INFO: [Labtools 27-2221] Launch Output: - -****** Xilinx hw_server v2017.4 - **** Build date : Dec 15 2017-21:08:27 - ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. - - -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -current_hw_device [get_hw_devices xc6slx16_0] -refresh_hw_device -update_hw_probes false [lindex [get_hw_devices xc6slx16_0] 0] -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2312] Device xc6slx16_0 is no longer available. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-initialize the hardware target. -Use open_hw_target to re-register the hardware device. -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-register this hardware target. -ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors. -disconnect_hw_server localhost:3121 -connect_hw_server -INFO: [Labtools 27-2285] Connecting to hw_server url TCP:localhost:3121 -open_hw_target -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -ERROR: [Labtools 27-2269] No devices detected on target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A. -Check cable connectivity and that the target board is powered up then -use the disconnect_hw_server and connect_hw_server to re-register this hardware target. -ERROR: [Common 17-39] 'open_hw_target' failed due to earlier errors. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc6slx16 (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtoolstcl 44-466] Opening hw_target localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -WARNING: [Labtoolstcl 44-129] No matching hw_ila_data was found. -set_property PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property FULL_PROBES.FILE {} [get_hw_devices xc7a100t_0] -set_property PROGRAM.FILE {E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.runs/impl_1/Nexys4_USTCRVSoC_top.bit} [get_hw_devices xc7a100t_0] -program_hw_devices [get_hw_devices xc7a100t_0] -INFO: [Labtools 27-3164] End of startup status: HIGH -refresh_hw_device [lindex [get_hw_devices xc7a100t_0] 0] -INFO: [Labtools 27-1434] Device xc7a100t (JTAG device index = 0) is programmed with a design that has no supported debug core(s) in it. -WARNING: [Labtools 27-3361] The debug hub core was not detected. -Resolution: -1. Make sure the clock connected to the debug hub (dbg_hub) core is a free running clock and is active. -2. Make sure the BSCAN_SWITCH_USER_MASK device property in Vivado Hardware Manager reflects the user scan chain setting in the design and refresh the device. To determine the user scan chain setting in the design, open the implemented design and use 'get_property C_USER_SCAN_CHAIN [get_debug_cores dbg_hub]'. -For more details on setting the scan chain property, consult the Vivado Debug and Programming User Guide (UG908). -ERROR: [Labtoolstcl 44-513] HW Target shutdown. Closing target: localhost:3121/xilinx_tcf/Digilent/210292A8B2C7A -exit -INFO: [Common 17-206] Exiting Vivado at Fri Apr 12 00:38:39 2019... diff --git a/hardware/Vivado/Nexys4/vivado_8068.backup.jou b/hardware/Vivado/Nexys4/vivado_8068.backup.jou deleted file mode 100644 index 46ff4d1..0000000 --- a/hardware/Vivado/Nexys4/vivado_8068.backup.jou +++ /dev/null @@ -1,14 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:35:22 2019 -# Process ID: 8068 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent20140 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -update_compile_order -fileset sources_1 diff --git a/hardware/Vivado/Nexys4/vivado_8068.backup.log b/hardware/Vivado/Nexys4/vivado_8068.backup.log deleted file mode 100644 index 15d7777..0000000 --- a/hardware/Vivado/Nexys4/vivado_8068.backup.log +++ /dev/null @@ -1,22 +0,0 @@ -#----------------------------------------------------------- -# Vivado v2017.4 (64-bit) -# SW Build 2086221 on Fri Dec 15 20:55:39 MST 2017 -# IP Build 2085800 on Fri Dec 15 22:25:07 MST 2017 -# Start of session at: Sat Jul 20 15:35:22 2019 -# Process ID: 8068 -# Current directory: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4 -# Command line: vivado.exe -gui_launcher_event rodinguilauncherevent20140 E:\FPGAcommon\USTCRVSoC\hardware\Vivado\nexys4\USTCRVSoC-nexys4\USTCRVSoC-nexys4.xpr -# Log file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/vivado.log -# Journal file: E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4\vivado.jou -#----------------------------------------------------------- -start_gui -open_project E:/FPGAcommon/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4/USTCRVSoC-nexys4.xpr -INFO: [Project 1-313] Project file moved from 'E:/work-Lab/USTCRVSoC/hardware/Vivado/nexys4/USTCRVSoC-nexys4' since last save. -Scanning sources... -Finished scanning sources -INFO: [IP_Flow 19-234] Refreshing IP repositories -INFO: [IP_Flow 19-1704] No user IP repositories specified -INFO: [IP_Flow 19-2313] Loaded Vivado IP repository 'H:/Xilinx/Vivado/2017.4/data/ip'. -update_compile_order -fileset sources_1 -exit -INFO: [Common 17-206] Exiting Vivado at Sat Jul 20 16:01:36 2019... diff --git a/images/DE0-Nano.png b/images/DE0-Nano.png deleted file mode 100644 index e987998..0000000 Binary files a/images/DE0-Nano.png and /dev/null differ diff --git a/images/SoC.png b/images/SoC.png deleted file mode 100644 index 9b8800f..0000000 Binary files a/images/SoC.png and /dev/null differ diff --git a/images/USTCRVSoC-tool-image.png b/images/USTCRVSoC-tool-image.png deleted file mode 100644 index eefa1d0..0000000 Binary files a/images/USTCRVSoC-tool-image.png and /dev/null differ diff --git a/images/UartSession1.png b/images/UartSession1.png deleted file mode 100644 index b5b7362..0000000 Binary files a/images/UartSession1.png and /dev/null differ diff --git a/images/UartSession2.png b/images/UartSession2.png deleted file mode 100644 index 53df9e6..0000000 Binary files a/images/UartSession2.png and /dev/null differ diff --git a/images/UartSession3.png b/images/UartSession3.png deleted file mode 100644 index a5a9a02..0000000 Binary files a/images/UartSession3.png and /dev/null differ diff --git a/images/connection.png b/images/connection.png deleted file mode 100644 index 26cca7a..0000000 Binary files a/images/connection.png and /dev/null differ diff --git a/images/nexys4-connection2.png b/images/nexys4-connection2.png deleted file mode 100644 index cbe9d6c..0000000 Binary files a/images/nexys4-connection2.png and /dev/null differ diff --git a/images/vga_show.png b/images/vga_show.png deleted file mode 100644 index 0f04db9..0000000 Binary files a/images/vga_show.png and /dev/null differ